Index index by Group index by Distribution index by Vendor index by creation date index by Name Mirrors Help Search

verilator-4.228-1.10 RPM for i586

From OpenSuSE Ports Tumbleweed for i586

Name: verilator Distribution: openSUSE Tumbleweed
Version: 4.228 Vendor: openSUSE
Release: 1.10 Build date: Sat Feb 3 02:10:18 2024
Group: Productivity/Scientific/Electronics Build host: i04-ch4d
Size: 19513625 Source RPM: verilator-4.228-1.10.src.rpm
Packager: http://bugs.opensuse.org
Url: https://www.veripool.org/projects/verilator/wiki/Intro
Summary: Compiling Verilog HDL simulator
Verilator compiles synthesizable Verilog (not test-bench code), plus
some PSL, SystemVerilog and Synthesis assertions into an optimized
model which is in turn wrapped inside a C++/SystemC module for faster
execution.

Provides

Requires

License

Artistic-2.0 OR LGPL-3.0-only

Changelog

* Sat Oct 29 2022 Dirk Müller <dmueller@suse.com>
  - update to 4.228:
    * Support some IEEE signal strengths
    * Add --main to generate main() C++
    * Add --build-jobs, and rework arguments for -j
    * Rename --bin to --build-dep-bin
    * Rename debug flags --dumpi-tree, --dumpi-graph, etc.
    * Fix thread saftey in SystemC VL_ASSIGN_SBW/WSB
    * Fix crash in gate optimization of circular logic
    * Fix arguments in non-static method call
    * Fix default --mod-prefix when --prefix is repeated
    * Fix calling trace() after open() segfault
    * Fix typedef'ed class conversion to boolean
    * Fix Verilation speed when disabled warnings
    * Add --future0 and --future1 options
    * Support class parameters
    * Support wildcard index associative arrays
    * Support negated properties
    * Support $test$plusargs(expr)
    * Rename trace rolloverSize()
    * Improve Verilation speed with --threads on large designs.
    * Improve Verilation memory by reducing V3Number
    * Fix struct pattern assignment
    * Fix public combo propagation issues
    * Fix incorrect tristate logic (#3399)
    * Fix incorrect bit op tree optimization
    * Fix bisonpre for MSYS2
    * Fix max memory usage
    * Fix empty string arguments to display
    * Fix table misoptimizing away display
    * Fix unique_ptr memory header for MinGW64
    * Fix $dump systemtask with --output-split-cfuncs
    * Fix wrong bit op tree optimization
    * Fix nested default assignment for struct pattern
    * Fix sformat string incorrectly cleared
    * Fix segfault exporting non-existant package
    * Fix void-cast queue pop_front or pop_back
    * Fix case statement comparing string literal
    * Fix === with some tristate constants
    * Fix converting subclasses to string
    * Fix --hierarchical with order-based pin connections
    * VCD tracing is now parallelized with --threads
    * Add -f<optimization> options to replace -O<letter> options
    * Changed --no-merge-const-pool to -fno-merge-const-pool
    * Changed --no-decoration to remove output whitespace
    * Support compile time trace signal selection with tracing_on/off
    * Support non-ANSI interface port declarations
    * Support concat assignment to packed array
    * Improve conditional merging optimization
    * Define VM_TRACE_VCD when tracing in VCD format.
    * Add assert when VerilatedContext is mis-deleted
    * Internal prep work towards timing control.
    * Fix hang with large case statement optimization
    * Fix UNOPTFLAT warning from initial static var
    * Fix compile error when enable VL_LEAK_CHECKS
    * Fix cmake rules to support higher-level targets
    * Fix BLKANDNBLK on $readmem/$writemem
    * Fix 'with' operator with type casting
    * Fix incorrect conditional merging
    * Fix passing VL_TRACE_FST_WRITER_THREAD in CMake build.
    * Fix compile error under strict C++11 mode
    * Fix public unpacked input ports [Todd Strader]
* Tue Jun 07 2022 Stefan Brüns <stefan.bruens@rwth-aachen.de>
  - Update to 4.222. For a list of changes, see included Changes or
    https://github.com/verilator/verilator/blob/v4.222/Changes
  - See https://verilator.org/guide/latest/ for documentation
  - Remove obsolete verilator-rpmlintrc
* Tue Jun 01 2021 Christophe Giboudeaux <christophe@krop.fr>
  - Update to 4.202. Changes since 4.200:
    * Documentation has been rewritten into a book format.
    * Verilated signals now use VlWide and VlPacked in place of C
      arrays.
    * Add an URL on warnings to point to the manual's description.
    * Add EOFNEWLINE warning when missing a newline at EOF.
    * Changed TIMESCALEMOD from error into a warning.
    * Mark --no-relative-cfuncs as scheduled for deprecation.
    * Add --coverage-max-width.
    * Add VerilatedCovContext::forcePerInstance.
    * Add FST SystemC tracing.
    * Add PINNOTFOUND warning in place of error.
    * Support overlaps in priority case statements.
    * Support for null ports.
    * Fix class unpacked-array compile error.
    * Fix scope types in FST and VCD traces.
    * Fix exceeding command-line ar limit.
    * Fix false $dumpfile warning on model save.
    * Fix --timescale-override not suppressing TIMESCALEMOD.
    * Fix false TIMESCALEMOD on generate-ignored instances.
    * Fix --output-split with class extends.
    * Fix false WIDTHCONCAT on casted constant.
    * Fix tracing of long hashed names.
    * Fix --public-flat-rw / DPI issue.
    * Fix interface localparam access.
    * Fix Cygwin example compile issues.
    * Fix select of with index variable.
    * Fix cmake version number to be numeric.
    * Fix MinGW not supportting 'localtime_r'.
    * Fix cast from packed, typedef'ed interface signal.
    * Fix VPI package reported as vpiModule.
    * Fix dumping waveforms to multiple FST files.
    * Fix assertion failure in bitOpTree.
    * Fix V3Premit infinite loop on always read-and-write.
    * Fix VPI packed vectors.
    * Fix VPI public interface parameters.
    * Check the Changes file for older changes
* Sat Jan 23 2021 Dirk Müller <dmueller@suse.com>
  - update to 4.108:
    * Many VPI changes for IEEE compatibility, which may alter
      behavior from previous releases.
    * Support randomize() class method and rand
    * Support $cast and new CASTCONST warning.
    * Add --top option as alias of --top-module.
    * Add LATCH and NOLATCH warnings
    * Remove Unix::Processors internal test dependency.
    * Report UNUSED on parameters, localparam and genvars
    * Add error on real to non-real output pins
    * Support package imports before parameters in interfaces
    * Support --sanitize in internal tests
    * Fix passing parameter type instantiations by position number.
    * Fix DPI open array handling issues.
    * Fix error when dotted refers to missing module
    * Fix little endian packed array counting
    * Fix showing reference locations for BLKANDNBLK
    * Fix genblk naming to match IEEE
    * Fix VPI memory word indexing
    * Fix vpiLeftRange on little-endian memories
    * Fix VPI module tree
    * Fix vpi_release_handle to be called implicitly per IEEE
    * Fix to allow inheriting 'VerilatedVcdFile' class.
    * Fix $urandom_range maximum value
    * Fix to emit timescale in hierarchical blocks
    * Fix to ignore coverage on real ports
* Sat Jan 02 2021 Stefan Brüns <stefan.bruens@rwth-aachen.de>
  - Update to version 4.106 (see included Changes file for details)
  - Remove add-shebangs.patch, just mangle she-bang with sed
* Tue Feb 12 2019 John Vandenberg <jayvdb@gmail.com>
  - Update to version 4.010 (see included Changes file for details)
  - Add add-shebangs.patch to add shebangs to executable scripts
  - Move development files into devel subpackage
* Thu Dec 06 2018 Stefan Brüns <stefan.bruens@rwth-aachen.de>
  - Update to version 4.008 (see included Changes file for details)
  - Remove SystemPerl dependency, no longer supported since version 3.910
* Sat Jul 07 2018 david.lanzendoerfer@o2s.ch
  - Update to version 3.904 (see Changes)
* Thu Feb 16 2017 jengelh@inai.de
  - Rewrite description to give more insight as to what it does.
* Sun Feb 05 2017 aloisio@gmx.com
  - Update to version 3.900 (see Changes)

Files

/usr/bin/verilator
/usr/bin/verilator_bin
/usr/bin/verilator_bin_dbg
/usr/bin/verilator_coverage
/usr/bin/verilator_coverage_bin_dbg
/usr/bin/verilator_gantt
/usr/bin/verilator_profcfunc
/usr/share/doc/packages/verilator
/usr/share/doc/packages/verilator/Changes
/usr/share/doc/packages/verilator/README.rst
/usr/share/licenses/verilator
/usr/share/licenses/verilator/Artistic
/usr/share/licenses/verilator/LICENSE
/usr/share/man/man1/verilator.1.gz
/usr/share/man/man1/verilator_coverage.1.gz
/usr/share/verilator
/usr/share/verilator/bin
/usr/share/verilator/bin/verilator_ccache_report
/usr/share/verilator/bin/verilator_includer
/usr/share/verilator/verilator-config-version.cmake
/usr/share/verilator/verilator-config.cmake


Generated by rpm2html 1.8.1

Fabrice Bellet, Tue Apr 9 21:38:35 2024