Index index by Group index by Distribution index by Vendor index by creation date index by Name Mirrors Help Search

kernel-docs-6.17.4-1.1 RPM for noarch

From OpenSuSE Ports Tumbleweed for noarch

Name: kernel-docs Distribution: openSUSE Tumbleweed
Version: 6.17.4 Vendor: openSUSE
Release: 1.1 Build date: Mon Oct 20 11:22:09 2025
Group: Documentation/Man Build host: reproducible
Size: 960703 Source RPM: kernel-docs-6.17.4-1.1.nosrc.rpm
Packager: http://bugs.opensuse.org
Url: https://www.kernel.org/
Summary: Kernel Documentation
A few basic documents from the current kernel sources.

Source Timestamp: 2025-10-20 09:22:09 +0000
GIT Revision: b07233be47a165373ff091a3fd1400b01b969ce8
GIT Branch: stable

Provides

Requires

License

GPL-2.0-only

Changelog

* Mon Oct 20 2025 jslaby@suse.cz
  - Refresh
    patches.suse/net-bonding-update-the-slave-array-for-broadcast-mod.patch.
    Make series_sort happy again -- makes Git-repo correct.
  - commit b07233b
* Mon Oct 20 2025 jslaby@suse.cz
  - PCI: vmd: Override irq_startup()/irq_shutdown() in
    vmd_init_dev_msi_info() (bsc#1252291).
  - commit 75528d9
* Mon Oct 20 2025 jslaby@suse.cz
  - Refresh
    patches.suse/Revert-io_uring-rw-drop-EOPNOTSUPP-check-in-__io_com.patch.
  - Refresh
    patches.suse/net-bonding-update-the-slave-array-for-broadcast-mod.patch.
    Update upstream status.
  - commit dcf1521
* Mon Oct 20 2025 jslaby@suse.cz
  - Linux 6.17.4 (bsc#1012628).
  - fs: always return zero on success from replace_fd()
    (bsc#1012628).
  - fscontext: do not consume log entries when returning -EMSGSIZE
    (bsc#1012628).
  - btrfs: fix the incorrect max_bytes value for
    find_lock_delalloc_range() (bsc#1012628).
  - arm64: map [_text, _stext) virtual address range
    non-executable+read-only (bsc#1012628).
  - rseq: Protect event mask against membarrier IPI (bsc#1012628).
  - statmount: don't call path_put() under namespace semaphore
    (bsc#1012628).
  - listmount: don't call path_put() under namespace semaphore
    (bsc#1012628).
  - clocksource/drivers/clps711x: Fix resource leaks in error paths
    (bsc#1012628).
  - memcg: skip cgroup_file_notify if spinning is not allowed
    (bsc#1012628).
  - page_pool: Fix PP_MAGIC_MASK to avoid crashing on some 32-bit
    arches (bsc#1012628).
  - PM: runtime: Update kerneldoc return codes (bsc#1012628).
  - dma-mapping: fix direction in dma_alloc direction traces
    (bsc#1012628).
  - cpufreq: Make drivers using CPUFREQ_ETERNAL specify transition
    latency (bsc#1012628).
  - nfsd: unregister with rpcbind when deleting a transport
    (bsc#1012628).
  - KVM: x86: Add helper to retrieve current value of user return
    MSR (bsc#1012628).
  - KVM: SVM: Emulate PERF_CNTR_GLOBAL_STATUS_SET for PerfMonV2
    (bsc#1012628).
  - iio: frequency: adf4350: Fix ADF4350_REG3_12BIT_CLKDIV_MODE
    (bsc#1012628).
  - media: v4l2-subdev: Fix alloc failure check in
    v4l2_subdev_call_state_try() (bsc#1012628).
  - asm-generic/io.h: Skip trace helpers if rwmmio events are
    disabled (bsc#1012628).
  - clk: npcm: select CONFIG_AUXILIARY_BUS (bsc#1012628).
  - clk: thead: th1520-ap: describe gate clocks with clk_gate
    (bsc#1012628).
  - clk: thead: th1520-ap: fix parent of padctrl0 clock
    (bsc#1012628).
  - clk: thead: Correct parent for DPU pixel clocks (bsc#1012628).
  - clk: renesas: r9a08g045: Add MSTOP for GPIO (bsc#1012628).
  - perf disasm: Avoid undefined behavior in incrementing NULL
    (bsc#1012628).
  - perf test trace_btf_enum: Skip if permissions are insufficient
    (bsc#1012628).
  - perf evsel: Avoid container_of on a NULL leader (bsc#1012628).
  - libperf event: Ensure tracing data is multiple of 8 sized
    (bsc#1012628).
  - clk: qcom: common: Fix NULL vs IS_ERR() check in
    qcom_cc_icc_register() (bsc#1012628).
  - clk: qcom: Select the intended config in QCS_DISPCC_615
    (bsc#1012628).
  - perf parse-events: Handle fake PMUs in CPU terms (bsc#1012628).
  - clk: at91: peripheral: fix return value (bsc#1012628).
  - clk: renesas: cpg-mssr: Fix memory leak in
    cpg_mssr_reserved_init() (bsc#1012628).
  - perf: Completely remove possibility to override MAX_NR_CPUS
    (bsc#1012628).
  - perf drm_pmu: Fix fd_dir leaks in for_each_drm_fdinfo_in_dir()
    (bsc#1012628).
  - perf util: Fix compression checks returning -1 as bool
    (bsc#1012628).
  - rtc: x1205: Fix Xicor X1205 vendor prefix (bsc#1012628).
  - rtc: optee: fix memory leak on driver removal (bsc#1012628).
  - perf arm_spe: Correct setting remote access (bsc#1012628).
  - perf arm_spe: Correct memory level for remote access
    (bsc#1012628).
  - perf vendor events arm64 AmpereOneX: Fix typo - should be
    l1d_cache_access_prefetches (bsc#1012628).
  - perf test: AMD IBS swfilt skip kernel tests if paranoia is >1
    (bsc#1012628).
  - perf test shell lbr: Avoid failures with perf event paranoia
    (bsc#1012628).
  - perf trace: Fix IS_ERR() vs NULL check bug (bsc#1012628).
  - perf session: Fix handling when buffer exceeds 2 GiB
    (bsc#1012628).
  - perf test: Don't leak workload gopipe in PERF_RECORD_*
    (bsc#1012628).
  - perf evsel: Fix uniquification when PMU given without suffix
    (bsc#1012628).
  - perf test: Avoid uncore_imc/clockticks in uniquification test
    (bsc#1012628).
  - perf evsel: Ensure the fallback message is always written to
    (bsc#1012628).
  - perf build-id: Ensure snprintf string is empty when size is 0
    (bsc#1012628).
  - clk: mediatek: mt8195-infra_ao: Fix parent for infra_ao_hdmi_26m
    (bsc#1012628).
  - clk: mediatek: clk-mux: Do not pass flags to
    clk_mux_determine_rate_flags() (bsc#1012628).
  - clk: nxp: lpc18xx-cgu: convert from round_rate() to
    determine_rate() (bsc#1012628).
  - clk: nxp: Fix pll0 rate check condition in LPC18xx CGU driver
    (bsc#1012628).
  - clk: tegra: do not overallocate memory for bpmp clocks
    (bsc#1012628).
  - nfsd: fix assignment of ia_ctime.tv_nsec on delegated mtime
    update (bsc#1012628).
  - nfsd: ignore ATTR_DELEG when checking ia_valid before
    notify_change() (bsc#1012628).
  - vfs: add ATTR_CTIME_SET flag (bsc#1012628).
  - nfsd: use ATTR_CTIME_SET for delegated ctime updates
    (bsc#1012628).
  - nfsd: track original timestamps in nfs4_delegation
    (bsc#1012628).
  - nfsd: fix SETATTR updates for delegated timestamps
    (bsc#1012628).
  - nfsd: fix timestamp updates in CB_GETATTR (bsc#1012628).
  - tracing: Fix the bug where bpf_get_stackid returns -EFAULT on
    the ARM64 (bsc#1012628).
  - PM: core: Annotate loops walking device links as _srcu
    (bsc#1012628).
  - PM: core: Add two macros for walking device links (bsc#1012628).
  - PM: sleep: Do not wait on SYNC_STATE_ONLY device links
    (bsc#1012628).
  - cpufreq: tegra186: Set target frequency for all cpus in policy
    (bsc#1012628).
  - scsi: mvsas: Fix use-after-free bugs in mvs_work_queue
    (bsc#1012628).
  - perf bpf-filter: Fix opts declaration on older libbpfs
    (bsc#1012628).
  - scsi: ufs: sysfs: Make HID attributes visible (bsc#1012628).
  - mshv: Handle NEED_RESCHED_LAZY before transferring to guest
    (bsc#1012628).
  - perf bpf_counter: Fix handling of cpumap fixing hybrid
    (bsc#1012628).
  - ASoC: SOF: ipc4-topology: Correct the minimum host DMA buffer
    size (bsc#1012628).
  - ASoC: SOF: ipc4-topology: Account for different ChainDMA host
    buffer size (bsc#1012628).
  - ASoC: SOF: Intel: hda-pcm: Place the constraint on period time
    instead of buffer time (bsc#1012628).
  - LoongArch: Add cflag -fno-isolate-erroneous-paths-dereference
    (bsc#1012628).
  - LoongArch: Fix build error for LTO with LLVM-18 (bsc#1012628).
  - LoongArch: Init acpi_gbl_use_global_lock to false (bsc#1012628).
  - ASoC: SOF: Intel: Read the LLP via the associated Link DMA
    channel (bsc#1012628).
  - net: usb: lan78xx: Fix lost EEPROM read timeout
    error(-ETIMEDOUT) in lan78xx_read_raw_eeprom (bsc#1012628).
  - net/mlx4: prevent potential use after free in
    mlx4_en_do_uc_filter() (bsc#1012628).
  - drm/xe/hw_engine_group: Fix double write lock release in error
    path (bsc#1012628).
  - drm/xe/i2c: Don't rely on d3cold.allowed flag in system PM path
    (bsc#1012628).
  - s390/cio: Update purge function to unregister the unused
    subchannels (bsc#1012628).
  - drm/vmwgfx: Fix a null-ptr access in the cursor snooper
    (bsc#1012628).
  - drm/vmwgfx: Fix Use-after-free in validation (bsc#1012628).
  - drm/vmwgfx: Fix copy-paste typo in validation (bsc#1012628).
  - net/sctp: fix a null dereference in sctp_disposition
    sctp_sf_do_5_1D_ce() (bsc#1012628).
  - tcp: Don't call reqsk_fastopen_remove() in tcp_conn_request()
    (bsc#1012628).
  - net: mscc: ocelot: Fix use-after-free caused by cyclic delayed
    work (bsc#1012628).
  - selftest: net: ovpn: Fix uninit return values (bsc#1012628).
  - ice: ice_adapter: release xa entry on adapter allocation failure
    (bsc#1012628).
  - net: fsl_pq_mdio: Fix device node reference leak in
    fsl_pq_mdio_probe (bsc#1012628).
  - tools build: Align warning options with perf (bsc#1012628).
  - perf python: split Clang options when invoking Popen
    (bsc#1012628).
  - tcp: take care of zero tp->window_clamp in tcp_set_rcvlowat()
    (bsc#1012628).
  - perf tools: Fix arm64 libjvmti build by generating unistd_64.h
    (bsc#1012628).
  - mailbox: zynqmp-ipi: Remove redundant
    mbox_controller_unregister() call (bsc#1012628).
  - mailbox: zynqmp-ipi: Remove dev.parent check in
    zynqmp_ipi_free_mboxes (bsc#1012628).
  - mailbox: zynqmp-ipi: Fix out-of-bounds access in mailbox
    cleanup loop (bsc#1012628).
  - mailbox: zynqmp-ipi: Fix SGI cleanup on unbind (bsc#1012628).
  - bpf: Fix metadata_dst leak __bpf_redirect_neigh_v{4,6}
    (bsc#1012628).
  - net: mdio: mdio-i2c: Hold the i2c bus lock during smbus
    transactions (bsc#1012628).
  - net: sparx5/lan969x: fix flooding configuration on bridge
    join/leave (bsc#1012628).
  - net/mlx5: Prevent tunnel mode conflicts between FDB and NIC
    IPsec tables (bsc#1012628).
  - net/mlx5e: Prevent tunnel reformat when tunnel mode not allowed
    (bsc#1012628).
  - mailbox: mtk-cmdq: Remove pm_runtime APIs from
    cmdq_mbox_send_data() (bsc#1012628).
  - drm/amdgpu: Add additional DCE6 SCL registers (bsc#1012628).
  - drm/amd/display: Add missing DCE6 SCL_HORZ_FILTER_INIT* SRIs
    (bsc#1012628).
  - drm/amd/display: Properly clear SCL_*_FILTER_CONTROL on DCE6
    (bsc#1012628).
  - drm/amd/display: Properly disable scaling on DCE6 (bsc#1012628).
  - drm/amd/display: Disable scaling on DCE6 for now (bsc#1012628).
  - drm/amdkfd: Fix kfd process ref leaking when userptr unmapping
    (bsc#1012628).
  - net: pse-pd: tps23881: Fix current measurement scaling
    (bsc#1012628).
  - crypto: skcipher - Fix reqsize handling (bsc#1012628).
  - netfilter: nft_objref: validate objref and objrefmap expressions
    (bsc#1012628).
  - bridge: br_vlan_fill_forward_path_pvid: use br_vlan_group_rcu()
    (bsc#1012628).
  - selftests: netfilter: nft_fib.sh: fix spurious test failures
    (bsc#1012628).
  - selftests: netfilter: query conntrack state to check for port
    clash resolution (bsc#1012628).
  - io_uring/zcrx: increment fallback loop src offset (bsc#1012628).
  - crypto: essiv - Check ssize for decryption and in-place
    encryption (bsc#1012628).
  - net: airoha: Fix loopback mode configuration for GDM2 port
    (bsc#1012628).
  - cifs: Fix copy_to_iter return value check (bsc#1012628).
  - smb: client: fix missing timestamp updates after utime(2)
    (bsc#1012628).
  - rtc: isl12022: Fix initial enable_irq/disable_irq balance
    (bsc#1012628).
  - cifs: Query EA $LXMOD in cifs_query_path_info() for WSL reparse
    points (bsc#1012628).
  - tpm_tis: Fix incorrect arguments in tpm_tis_probe_irq_single
    (bsc#1012628).
  - gpio: wcd934x: mark the GPIO controller as sleeping
    (bsc#1012628).
  - bpf: Avoid RCU context warning when unpinning htab with internal
    structs (bsc#1012628).
  - kbuild: always create intermediate vmlinux.unstripped
    (bsc#1012628).
  - kbuild: keep .modinfo section in vmlinux.unstripped
    (bsc#1012628).
  - kbuild: Restore pattern to avoid stripping .rela.dyn from
    vmlinux (bsc#1012628).
  - kbuild: Add '.rel.*' strip pattern for vmlinux (bsc#1012628).
  - s390: vmlinux.lds.S: Reorder sections (bsc#1012628).
  - s390/vmlinux.lds.S: Move .vmlinux.info to end of allocatable
    sections (bsc#1012628).
  - ACPICA: acpidump: drop ACPI_NONSTRING attribute from file_name
    (bsc#1012628).
  - ACPI: property: Fix buffer properties extraction for subnodes
    (bsc#1012628).
  - ACPI: TAD: Add missing sysfs_remove_group() for ACPI_TAD_RT
    (bsc#1012628).
  - ACPICA: Debugger: drop ACPI_NONSTRING attribute from name_seg
    (bsc#1012628).
  - ACPI: debug: fix signedness issues in read/write helpers
    (bsc#1012628).
  - ACPI: battery: Add synchronization between interface updates
    (bsc#1012628).
  - arm64: dts: qcom: msm8916: Add missing MDSS reset (bsc#1012628).
  - arm64: dts: qcom: msm8939: Add missing MDSS reset (bsc#1012628).
  - arm64: dts: qcom: sdm845: Fix slimbam num-channels/ees
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100-pmics: Disable pm8010 by default
    (bsc#1012628).
  - arm64: dts: ti: k3-am62a-main: Fix main padcfg length
    (bsc#1012628).
  - arm64: dts: ti: k3-am62p: Fix supported hardware for 1GHz OPP
    (bsc#1012628).
  - arm64: kprobes: call set_memory_rox() for kprobe page
    (bsc#1012628).
  - arm64: mte: Do not flag the zero page as PG_mte_tagged
    (bsc#1012628).
  - ARM: AM33xx: Implement TI advisory 1.0.36 (EMU0/EMU1 pins
    state on reset) (bsc#1012628).
  - ARM: OMAP2+: pm33xx-core: ix device node reference leaks in
    amx3_idle_init (bsc#1012628).
  - firmware: arm_scmi: quirk: Prevent writes to string constants
    (bsc#1012628).
  - perf/arm-cmn: Fix CMN S3 DTM offset (bsc#1012628).
  - KVM: s390: Fix to clear PTE when discarding a swapped page
    (bsc#1012628).
  - KVM: arm64: Fix debug checking for np-guests using huge mappings
    (bsc#1012628).
  - KVM: arm64: Fix page leak in user_mem_abort() (bsc#1012628).
  - x86/kvm: Force legacy PCI hole to UC when overriding MTRRs
    for TDX/SNP (bsc#1012628).
  - KVM: SVM: Re-load current, not host, TSC_AUX on #VMEXIT from
    SEV-ES guest (bsc#1012628).
  - KVM: TDX: Fix uninitialized error code for __tdx_bringup()
    (bsc#1012628).
  - dt-bindings: phy: rockchip-inno-csi-dphy: make power-domains
    non-required (bsc#1012628).
  - xen: take system_transition_mutex on suspend (bsc#1012628).
  - xen/events: Cleanup find_virq() return codes (bsc#1012628).
  - xen/manage: Fix suspend error path (bsc#1012628).
  - xen/events: Return -EEXIST for bound VIRQs (bsc#1012628).
  - xen/events: Update virq_to_irq on migration (bsc#1012628).
  - firmware: exynos-acpm: fix PMIC returned errno (bsc#1012628).
  - firmware: meson_sm: fix device leak at probe (bsc#1012628).
  - media: cec: extron-da-hd-4k-plus: drop external-module make
    commands (bsc#1012628).
  - media: cx18: Add missing check after DMA map (bsc#1012628).
  - media: i2c: mt9p031: fix mbus code initialization (bsc#1012628).
  - media: i2c: mt9v111: fix incorrect type for ret (bsc#1012628).
  - media: mc: Fix MUST_CONNECT handling for pads with no links
    (bsc#1012628).
  - media: pci: ivtv: Add missing check after DMA map (bsc#1012628).
  - media: pci: mg4b: fix uninitialized iio scan data (bsc#1012628).
  - media: platform: mtk-mdp3: Add missing MT8188 compatible to
    comp_dt_ids (bsc#1012628).
  - media: s5p-mfc: remove an unused/uninitialized variable
    (bsc#1012628).
  - media: staging/ipu7: fix isys device runtime PM usage in
    firmware closing (bsc#1012628).
  - media: uvcvideo: Avoid variable shadowing in uvc_ctrl_cleanup_fh
    (bsc#1012628).
  - media: venus: firmware: Use correct reset sequence for IRIS2
    (bsc#1012628).
  - media: venus: pm_helpers: add fallback for the opp-table
    (bsc#1012628).
  - media: vivid: fix disappearing <Vendor Command With ID> messages
    (bsc#1012628).
  - media: vsp1: Export missing vsp1_isp_free_buffer symbol
    (bsc#1012628).
  - media: ti: j721e-csi2rx: Use devm_of_platform_populate
    (bsc#1012628).
  - media: ti: j721e-csi2rx: Fix source subdev link creation
    (bsc#1012628).
  - media: lirc: Fix error handling in lirc_register()
    (bsc#1012628).
  - drm/exynos: exynos7_drm_decon: remove ctx->suspended
    (bsc#1012628).
  - drm/panthor: Fix memory leak in panthor_ioctl_group_create()
    (bsc#1012628).
  - drm/msm/a6xx: Fix PDC sleep sequence (bsc#1012628).
  - drm/rcar-du: dsi: Fix 1/2/3 lane support (bsc#1012628).
  - drm/nouveau: fix bad ret code in nouveau_bo_move_prep
    (bsc#1012628).
  - drm/xe/uapi: loosen used tracking restriction (bsc#1012628).
  - drm/amd/display: Incorrect Mirror Cositing (bsc#1012628).
  - drm/amd/display: Enable Dynamic DTBCLK Switch (bsc#1012628).
  - drm/amd/display: Fix unsafe uses of kernel mode FPU
    (bsc#1012628).
  - blk-crypto: fix missing blktrace bio split events (bsc#1012628).
  - btrfs: avoid potential out-of-bounds in btrfs_encode_fh()
    (bsc#1012628).
  - bus: mhi: ep: Fix chained transfer handling in read path
    (bsc#1012628).
  - bus: mhi: host: Do not use uninitialized 'dev' pointer in
    mhi_init_irq_setup() (bsc#1012628).
  - cdx: Fix device node reference leak in cdx_msi_domain_init
    (bsc#1012628).
  - clk: qcom: tcsrcc-x1e80100: Set the bi_tcxo as parent to eDP
    refclk (bsc#1012628).
  - clk: samsung: exynos990: Use PLL_CON0 for PLL parent muxes
    (bsc#1012628).
  - clk: samsung: exynos990: Fix CMU_TOP mux/div bit widths
    (bsc#1012628).
  - clk: samsung: exynos990: Replace bogus divs with fixed-factor
    clocks (bsc#1012628).
  - copy_sighand: Handle architectures where sizeof(unsigned long)
    < sizeof(u64) (bsc#1012628).
  - cpufreq: CPPC: Avoid using CPUFREQ_ETERNAL as transition delay
    (bsc#1012628).
  - cpufreq: intel_pstate: Fix object lifecycle issue in
    update_qos_request() (bsc#1012628).
  - crypto: aspeed - Fix dma_unmap_sg() direction (bsc#1012628).
  - crypto: atmel - Fix dma_unmap_sg() direction (bsc#1012628).
  - crypto: rockchip - Fix dma_unmap_sg() nents value (bsc#1012628).
  - eventpoll: Replace rwlock with spinlock (bsc#1012628).
  - fbdev: Fix logic error in "offb" name match (bsc#1012628).
  - fs/ntfs3: Fix a resource leak bug in wnd_extend() (bsc#1012628).
  - fs: quota: create dedicated workqueue for quota_release_work
    (bsc#1012628).
  - fsnotify: pass correct offset to fsnotify_mmap_perm()
    (bsc#1012628).
  - fuse: fix possibly missing fuse_copy_finish() call in
    fuse_notify() (bsc#1012628).
  - fuse: fix livelock in synchronous file put from fuseblk workers
    (bsc#1012628).
  - gpio: mpfs: fix setting gpio direction to output (bsc#1012628).
  - i3c: Fix default I2C adapter timeout value (bsc#1012628).
  - iio/adc/pac1934: fix channel disable configuration
    (bsc#1012628).
  - iio: dac: ad5360: use int type to store negative error codes
    (bsc#1012628).
  - iio: dac: ad5421: use int type to store negative error codes
    (bsc#1012628).
  - iio: frequency: adf4350: Fix prescaler usage (bsc#1012628).
  - iio: xilinx-ams: Fix AMS_ALARM_THR_DIRECT_MASK (bsc#1012628).
  - iio: xilinx-ams: Unmask interrupts after updating alarms
    (bsc#1012628).
  - init: handle bootloader identifier in kernel parameters
    (bsc#1012628).
  - iio: imu: inv_icm42600: Simplify pm_runtime setup (bsc#1012628).
  - iio: imu: inv_icm42600: Drop redundant pm_runtime
    reinitialization in resume (bsc#1012628).
  - iio: imu: inv_icm42600: Avoid configuring if already pm_runtime
    suspended (bsc#1012628).
  - iommu/vt-d: PRS isn't usable if PDS isn't supported
    (bsc#1012628).
  - ipmi: Rework user message limit handling (bsc#1012628).
  - ipmi:msghandler:Change seq_lock to a mutex (bsc#1012628).
  - kernel/sys.c: fix the racy usage of task_lock(tsk->group_leader)
    in sys_prlimit64() paths (bsc#1012628).
  - KEYS: trusted_tpm1: Compare HMAC values in constant time
    (bsc#1012628).
  - kho: only fill kimage if KHO is finalized (bsc#1012628).
  - lib/genalloc: fix device leak in of_gen_pool_get()
    (bsc#1012628).
  - loop: fix backing file reference leak on validation error
    (bsc#1012628).
  - md: fix mssing blktrace bio split events (bsc#1012628).
  - of: unittest: Fix device reference count leak in
    of_unittest_pci_node_verify (bsc#1012628).
  - openat2: don't trigger automounts with RESOLVE_NO_XDEV
    (bsc#1012628).
  - padata: Reset next CPU when reorder sequence wraps around
    (bsc#1012628).
  - parisc: don't reference obsolete termio struct for TC* constants
    (bsc#1012628).
  - parisc: Remove spurious if statement from raw_copy_from_user()
    (bsc#1012628).
  - nvme-pci: Add TUXEDO IBS Gen8 to Samsung sleep quirk
    (bsc#1012628).
  - pinctrl: samsung: Drop unused S3C24xx driver data (bsc#1012628).
  - PM: EM: Fix late boot with holes in CPU topology (bsc#1012628).
  - PM: hibernate: Fix hybrid-sleep (bsc#1012628).
  - PM: hibernate: Restrict GFP mask in power_down() (bsc#1012628).
  - power: supply: max77976_charger: fix constant current reporting
    (bsc#1012628).
  - powerpc/powernv/pci: Fix underflow and leak issue (bsc#1012628).
  - powerpc/pseries/msi: Fix potential underflow and leak issue
    (bsc#1012628).
  - pwm: berlin: Fix wrong register in suspend/resume (bsc#1012628).
  - pwm: Fix incorrect variable used in error message (bsc#1012628).
  - Revert "ipmi: fix msg stack when IPMI is disconnected"
    (bsc#1012628).
  - sched/deadline: Fix race in push_dl_task() (bsc#1012628).
  - scsi: hpsa: Fix potential memory leak in
    hpsa_big_passthru_ioctl() (bsc#1012628).
  - scsi: sd: Fix build warning in sd_revalidate_disk()
    (bsc#1012628).
  - sctp: Fix MAC comparison to be constant-time (bsc#1012628).
  - smb client: fix bug with newly created file in cached dir
    (bsc#1012628).
  - sparc64: fix hugetlb for sun4u (bsc#1012628).
  - sparc: fix error handling in scan_one_device() (bsc#1012628).
  - xtensa: simdisk: add input size check in proc_write_simdisk
    (bsc#1012628).
  - xsk: Harden userspace-supplied xdp_desc validation
    (bsc#1012628).
  - mtd: rawnand: fsmc: Default to autodetect buswidth
    (bsc#1012628).
  - mtd: nand: raw: gpmi: fix clocks when CONFIG_PM=N (bsc#1012628).
  - mmc: core: SPI mode remove cmd7 (bsc#1012628).
  - mmc: mmc_spi: multiple block read remove read crc ack
    (bsc#1012628).
  - memory: samsung: exynos-srom: Fix of_iomap leak in
    exynos_srom_probe (bsc#1012628).
  - memory: stm32_omm: Fix req2ack update test (bsc#1012628).
  - rtc: interface: Ensure alarm irq is enabled when UIE is enabled
    (bsc#1012628).
  - rtc: interface: Fix long-standing race when setting alarm
    (bsc#1012628).
  - rseq/selftests: Use weak symbol reference, not definition,
    to link with glibc (bsc#1012628).
  - PCI: xilinx-nwl: Fix ECAM programming (bsc#1012628).
  - PCI: tegra: Convert struct tegra_msi mask_lock into raw spinlock
    (bsc#1012628).
  - PCI/sysfs: Ensure devices are powered for config reads
    (bsc#1012628).
  - PCI/IOV: Add PCI rescan-remove locking when enabling/disabling
    SR-IOV (bsc#1012628).
  - PCI/ERR: Fix uevent on failure to recover (bsc#1012628).
  - PCI/AER: Fix missing uevent on recovery when a reset is
    requested (bsc#1012628).
  - PCI/AER: Support errors introduced by PCIe r6.0 (bsc#1012628).
  - PCI: Ensure relaxed tail alignment does not increase min_align
    (bsc#1012628).
  - PCI: Fix failure detection during resource resize (bsc#1012628).
  - PCI: j721e: Fix module autoloading (bsc#1012628).
  - PCI: j721e: Fix programming sequence of "strap" settings
    (bsc#1012628).
  - PCI: keystone: Use devm_request_irq() to free
    "ks-pcie-error-irq" on exit (bsc#1012628).
  - PCI: rcar-gen4: Fix PHY initialization (bsc#1012628).
  - PCI: rcar-host: Drop PMSR spinlock (bsc#1012628).
  - PCI: rcar-host: Convert struct rcar_msi mask_lock into raw
    spinlock (bsc#1012628).
  - PCI: tegra194: Fix broken tegra_pcie_ep_raise_msi_irq()
    (bsc#1012628).
  - PCI: tegra194: Handle errors in BPMP response (bsc#1012628).
  - PCI: tegra194: Reset BARs when running in PCIe endpoint mode
    (bsc#1012628).
  - PCI/pwrctrl: Fix device leak at registration (bsc#1012628).
  - PCI/pwrctrl: Fix device and OF node leak at bus scan
    (bsc#1012628).
  - PCI/pwrctrl: Fix device leak at device stop (bsc#1012628).
  - spi: cadence-quadspi: Flush posted register writes before
    INDAC access (bsc#1012628).
  - spi: cadence-quadspi: Flush posted register writes before DAC
    access (bsc#1012628).
  - spi: cadence-quadspi: Fix cqspi_setup_flash() (bsc#1012628).
  - xfs: use deferred intent items for reaping crosslinked blocks
    (bsc#1012628).
  - x86/fred: Remove ENDBR64 from FRED entry points (bsc#1012628).
  - x86/umip: Check that the instruction opcode is at least two
    bytes (bsc#1012628).
  - x86/umip: Fix decoding of register forms of 0F 01 (SGDT and
    SIDT aliases) (bsc#1012628).
  - mptcp: pm: in-kernel: usable client side with C-flag
    (bsc#1012628).
  - mptcp: reset blackhole on success with non-loopback ifaces
    (bsc#1012628).
  - selftests: mptcp: join: validate C-flag + def limit
    (bsc#1012628).
  - s390/cio/ioasm: Fix __xsch() condition code handling
    (bsc#1012628).
  - s390/dasd: enforce dma_alignment to ensure proper buffer
    validation (bsc#1012628).
  - s390/dasd: Return BLK_STS_INVAL for EINVAL from do_dasd_request
    (bsc#1012628).
  - s390: Add -Wno-pointer-sign to KBUILD_CFLAGS_DECOMPRESSOR
    (bsc#1012628).
  - slab: prevent warnings when slab obj_exts vector allocation
    fails (bsc#1012628).
  - slab: mark slab->obj_exts allocation failures unconditionally
    (bsc#1012628).
  - wifi: ath11k: HAL SRNG: don't deinitialize and re-initialize
    again (bsc#1012628).
  - wifi: iwlwifi: Fix dentry reference leak in
    iwl_mld_add_link_debugfs (bsc#1012628).
  - wifi: rtw89: avoid possible TX wait initialization race
    (bsc#1012628).
  - wifi: mt76: mt7925u: Add VID/PID for Netgear A9000
    (bsc#1012628).
  - wifi: mt76: mt7921u: Add VID/PID for Netgear A7500
    (bsc#1012628).
  - mm/thp: fix MTE tag mismatch when replacing zero-filled subpages
    (bsc#1012628).
  - mm/rmap: fix soft-dirty and uffd-wp bit loss when remapping
    zero-filled mTHP subpage to shared zeropage (bsc#1012628).
  - mm/page_alloc: only set ALLOC_HIGHATOMIC for __GPF_HIGH
    allocations (bsc#1012628).
  - mm/hugetlb: early exit from hugetlb_pages_alloc_boot() when
    max_huge_pages=0 (bsc#1012628).
  - mm/damon/vaddr: do not repeat pte_offset_map_lock() until
    success (bsc#1012628).
  - mm/damon/lru_sort: use param_ctx for damon_attrs staging
    (bsc#1012628).
  - nfsd: decouple the xprtsec policy check from check_nfsd_access()
    (bsc#1012628).
  - NFSD: Fix destination buffer size in nfsd4_ssc_setup_dul()
    (bsc#1012628).
  - nfsd: nfserr_jukebox in nlm_fopen should lead to a retry
    (bsc#1012628).
  - media: iris: Call correct power off callback in cleanup path
    (bsc#1012628).
  - media: iris: Fix firmware reference leak and unmap memory
    after load (bsc#1012628).
  - media: iris: fix module removal if firmware download failed
    (bsc#1012628).
  - media: iris: vpu3x: Add MNoC low power handshake during hardware
    power-off (bsc#1012628).
  - media: iris: Fix port streaming handling (bsc#1012628).
  - media: iris: Fix buffer count reporting in internal buffer check
    (bsc#1012628).
  - media: iris: Allow substate transition to load resources during
    output streaming (bsc#1012628).
  - media: iris: Always destroy internal buffers on firmware
    release response (bsc#1012628).
  - media: iris: Simplify session stop logic by relying on vb2
    checks (bsc#1012628).
  - media: iris: Update vbuf flags before v4l2_m2m_buf_done
    (bsc#1012628).
  - media: iris: Send dummy buffer address for all codecs during
    drain (bsc#1012628).
  - media: iris: Fix missing LAST flag handling during drain
    (bsc#1012628).
  - media: iris: Fix format check for CAPTURE plane in try_fmt
    (bsc#1012628).
  - media: iris: Allow stop on firmware only if start was issued
    (bsc#1012628).
  - ext4: add ext4_sb_bread_nofail() helper function for
    ext4_free_branches() (bsc#1012628).
  - ext4: fail unaligned direct IO write with EINVAL (bsc#1012628).
  - ext4: verify orphan file size is not too big (bsc#1012628).
  - ext4: increase i_disksize to offset + len in
    ext4_update_disksize_before_punch() (bsc#1012628).
  - ext4: correctly handle queries for metadata mappings
    (bsc#1012628).
  - ext4: avoid potential buffer over-read in
    parse_apply_sb_mount_options() (bsc#1012628).
  - ext4: fix an off-by-one issue during moving extents
    (bsc#1012628).
  - ext4: guard against EA inode refcount underflow in xattr update
    (bsc#1012628).
  - ext4: validate ea_ino and size in check_xattrs (bsc#1012628).
  - ACPICA: Allow to skip Global Lock initialization (bsc#1012628).
  - ext4: free orphan info with kvfree (bsc#1012628).
  - ipmi: Fix handling of messages with provided receive message
    pointer (bsc#1012628).
  - Squashfs: add additional inode sanity checking (bsc#1012628).
  - Squashfs: reject negative file sizes in squashfs_read_inode()
    (bsc#1012628).
  - mm/ksm: fix incorrect KSM counter handling in mm_struct during
    fork (bsc#1012628).
  - media: mc: Clear minor number before put device (bsc#1012628).
  - arm64: dts: qcom: qcs615: add missing dt property in QUP SEs
    (bsc#1012628).
  - ACPI: property: Disregard references in data-only subnode lists
    (bsc#1012628).
  - ACPI: property: Add code comments explaining what is going on
    (bsc#1012628).
  - ACPI: property: Do not pass NULL handles to acpi_attach_data()
    (bsc#1012628).
  - irqchip/sifive-plic: Avoid interrupt ID 0 handling during
    suspend/resume (bsc#1012628).
  - copy_file_range: limit size if in compat mode (bsc#1012628).
  - minixfs: Verify inode mode when loading from disk (bsc#1012628).
  - pid: Add a judgment for ns null in pid_nr_ns (bsc#1012628).
  - fs: Add 'initramfs_options' to set initramfs mount options
    (bsc#1012628).
  - cramfs: Verify inode mode when loading from disk (bsc#1012628).
  - nsfs: validate extensible ioctls (bsc#1012628).
  - mnt_ns_tree_remove(): DTRT if mnt_ns had never been added to
    mnt_ns_list (bsc#1012628).
  - writeback: Avoid softlockup when switching many inodes
    (bsc#1012628).
  - writeback: Avoid excessively long inode switching times
    (bsc#1012628).
  - iomap: error out on file IO when there is no inline_data buffer
    (bsc#1012628).
  - pidfs: validate extensible ioctls (bsc#1012628).
  - mount: handle NULL values in mnt_ns_release() (bsc#1012628).
  - Refresh patches.suse/vfs-add-super_operations-get_inode_dev.
  - commit 09054c6
* Fri Oct 17 2025 jslaby@suse.cz
  - Refresh
    patches.suse/Revert-fs-make-vfs_fileattr_-get-set-return-EOPNOTSU.patch.
  - Refresh
    patches.suse/fs-return-EOPNOTSUPP-from-file_setattr-file_getattr-.patch.
    Update upstream status and move to sorted section.
  - commit 1533bda
* Thu Oct 16 2025 jslaby@suse.cz
  - Delete
    patches.suse/Revert-net-bonding-add-broadcast_neighbor-netlink-op.patch.
  - Delete
    patches.suse/Revert-net-bonding-add-broadcast_neighbor-option-for.patch.
  - Delete
    patches.suse/Revert-net-bonding-send-peer-notify-when-failure-rec.patch.
    About to be replaced by a proper patch in the next commit.
  - commit a9d395c
* Thu Oct 16 2025 jslaby@suse.cz
  - net: bonding: update the slave array for broadcast mode
    (bsc#1250894).
  - commit 5508f45
* Wed Oct 15 2025 tiwai@suse.de
  - wifi: iwlwifi: Add missing firmware info for bz-b0-* models
    (bsc#1252084).
  - commit 4ff36a8
* Wed Oct 15 2025 jslaby@suse.cz
  - Linux 6.17.3 (bsc#1012628).
  - drm/amdgpu/vcn: Fix double-free of vcn dump buffer
    (bsc#1012628).
  - scsi: ufs: core: Fix PM QoS mutex initialization (bsc#1012628).
  - usb: cdns3: cdnsp-pci: remove redundant pci_disable_device()
    call (bsc#1012628).
  - arm64: dts: qcom: qcm2290: Disable USB SS bus instances in
    park mode (bsc#1012628).
  - usb: typec: tipd: Clear interrupts first (bsc#1012628).
  - net: usb: asix: hold PM usage ref to avoid PM/MDIO + RTNL
    deadlock (bsc#1012628).
  - net/9p: Fix buffer overflow in USB transport layer
    (bsc#1012628).
  - bus: fsl-mc: Check return value of platform_get_resource()
    (bsc#1012628).
  - pinctrl: check the return value of
    pinmux_ops::get_function_name() (bsc#1012628).
  - tee: fix register_shm_helper() (bsc#1012628).
  - thunderbolt: Fix use-after-free in tb_dp_dprx_work
    (bsc#1012628).
  - PCI: endpoint: pci-epf-test: Add NULL check for DMA channels
    before release (bsc#1012628).
  - remoteproc: pru: Fix potential NULL pointer dereference in
    pru_rproc_set_ctable() (bsc#1012628).
  - PCI/AER: Avoid NULL pointer dereference in aer_ratelimit()
    (bsc#1012628).
  - sunrpc: fix null pointer dereference on zero-length checksum
    (bsc#1012628).
  - Input: uinput - zero-initialize uinput_ff_upload_compat to
    avoid info leak (bsc#1012628).
  - Input: atmel_mxt_ts - allow reset GPIO to sleep (bsc#1012628).
  - misc: fastrpc: Skip reference for DMA handles (bsc#1012628).
  - misc: fastrpc: fix possible map leak in fastrpc_put_args
    (bsc#1012628).
  - misc: fastrpc: Fix fastrpc_map_lookup operation (bsc#1012628).
  - misc: fastrpc: Save actual DMA size in fastrpc_map structure
    (bsc#1012628).
  - nvdimm: ndtest: Return -ENOMEM if devm_kcalloc() fails in
    ndtest_probe() (bsc#1012628).
  - selftests/mm: skip soft-dirty tests when CONFIG_MEM_SOFT_DIRTY
    is disabled (bsc#1012628).
  - mm: hugetlb: avoid soft lockup when mprotect to large memory
    area (bsc#1012628).
  - fbdev: simplefb: Fix use after free in simplefb_detach_genpds()
    (bsc#1012628).
  - KVM: SVM: Skip fastpath emulation on VM-Exit if next RIP isn't
    valid (bsc#1012628).
  - ext4: fix checks for orphan inodes (bsc#1012628).
  - ext4: fix potential null deref in ext4_mb_init() (bsc#1012628).
  - ksmbd: add max ip connections parameter (bsc#1012628).
  - ksmbd: fix error code overwriting in smb2_get_info_filesystem()
    (bsc#1012628).
  - ksmbd: Fix race condition in RPC handle list access
    (bsc#1012628).
  - mm/ksm: fix flag-dropping behavior in ksm_madvise (bsc#1012628).
  - LoongArch: BPF: Fix uninitialized symbol 'retval_off'
    (bsc#1012628).
  - LoongArch: BPF: Remove duplicated flags check (bsc#1012628).
  - LoongArch: BPF: No text_poke() for kernel text (bsc#1012628).
  - LoongArch: BPF: Remove duplicated bpf_flush_icache()
    (bsc#1012628).
  - LoongArch: BPF: Make error handling robust in
    arch_prepare_bpf_trampoline() (bsc#1012628).
  - LoongArch: BPF: Make trampoline size stable (bsc#1012628).
  - LoongArch: BPF: Don't align trampoline size (bsc#1012628).
  - LoongArch: BPF: No support of struct argument in trampoline
    programs (bsc#1012628).
  - LoongArch: BPF: Sign-extend struct ops return values properly
    (bsc#1012628).
  - pwm: loongson: Fix LOONGSON_PWM_FREQ_DEFAULT (bsc#1012628).
  - LoongArch: Automatically disable kaslr if boot from kexec_file
    (bsc#1012628).
  - dm: fix NULL pointer dereference in __dm_suspend()
    (bsc#1012628).
  - dm: fix queue start/stop imbalance under suspend/load/resume
    races (bsc#1012628).
  - tracing: Stop fortify-string from warning in
    tracing_mark_raw_write() (bsc#1012628).
  - tracing: Fix tracing_mark_raw_write() to use buf and not ubuf
    (bsc#1012628).
  - tracing: Have trace_marker use per-cpu data to read user space
    (bsc#1012628).
  - tracing: Fix irqoff tracers on failure of acquiring calltime
    (bsc#1012628).
  - tracing: Fix wakeup tracers on failure of acquiring calltime
    (bsc#1012628).
  - tracing: Fix race condition in kprobe initialization causing
    NULL pointer dereference (bsc#1012628).
  - tracing: Fix lock imbalance in s_start() memory allocation
    failure path (bsc#1012628).
  - mfd: vexpress-sysreg: Check the return value of
    devm_gpiochip_add_data() (bsc#1012628).
  - mfd: intel_soc_pmic_chtdc_ti: Set use_single_read regmap_config
    flag (bsc#1012628).
  - mfd: rz-mtu3: Fix MTU5 NFCR register offset (bsc#1012628).
  - net: nfc: nci: Add parameter validation for packet data
    (bsc#1012628).
  - fs: udf: fix OOB read in lengthAllocDescs handling
    (bsc#1012628).
  - ASoC: SOF: ipc4-pcm: fix start offset calculation for chain DMA
    (bsc#1012628).
  - ASoC: SOF: ipc4-pcm: fix delay calculation when DSP resamples
    (bsc#1012628).
  - ASoC: codecs: wcd937x: make stub functions inline (bsc#1012628).
  - ASoC: codecs: wcd937x: set the comp soundwire port correctly
    (bsc#1012628).
  - ASoC: SOF: ipc3-topology: Fix multi-core and static pipelines
    tear down (bsc#1012628).
  - ASoC: wcd934x: fix error handling in wcd934x_codec_parse_data()
    (bsc#1012628).
  - io_uring/zcrx: fix overshooting recv limit (bsc#1012628).
  - io_uring/waitid: always prune wait queue entry in
    io_waitid_wait() (bsc#1012628).
  - hisi_acc_vfio_pci: Fix reference leak in
    hisi_acc_vfio_debug_init (bsc#1012628).
  - uio_hv_generic: Let userspace take care of interrupt mask
    (bsc#1012628).
  - Squashfs: fix uninit-value in squashfs_get_parent (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for HP Spectre 14t-ea100
    (bsc#1012628).
  - ALSA: hda/hdmi: Add pin fix for HP ProDesk model (bsc#1012628).
  - tpm: Disable TPM2_TCG_HMAC by default (bsc#1012628).
  - bpf: Reject negative offsets for ALU ops (bsc#1012628).
  - bpf: Skip scalar adjustment for BPF_NEG if dst is a pointer
    (bsc#1012628).
  - selftests/bpf: Fix realloc size in bpf_get_addrs (bsc#1012628).
  - selftests/bpf: move get_ksyms and get_addrs to trace_helpers.c
    (bsc#1012628).
  - selftests/bpf: Fix typos and grammar in test sources
    (bsc#1012628).
  - vhost: vringh: Modify the return value check (bsc#1012628).
  - octeontx2-pf: fix bitmap leak (bsc#1012628).
  - octeontx2-vf: fix bitmap leak (bsc#1012628).
  - nfs/localio: avoid issuing misaligned IO using O_DIRECT
    (bsc#1012628).
  - NFSD: filecache: add STATX_DIOALIGN and STATX_DIO_READ_ALIGN
    support (bsc#1012628).
  - Revert "net/mlx5e: Update and set Xon/Xoff upon MTU set"
    (bsc#1012628).
  - iommufd: Register iommufd mock devices with fwspec
    (bsc#1012628).
  - net: enetc: initialize SW PIR and CIR based HW PIR and CIR
    values (bsc#1012628).
  - bonding: fix xfrm offload feature setup on active-backup mode
    (bsc#1012628).
  - smb: client: fix crypto buffers in non-linear memory
    (bsc#1012628).
  - net/mlx5: fw reset, add reset timeout work (bsc#1012628).
  - net/mlx5: pagealloc: Fix reclaim race during command interface
    teardown (bsc#1012628).
  - net/mlx5: Stop polling for command response if interface goes
    down (bsc#1012628).
  - net: dlink: handle copy_thresh allocation failure (bsc#1012628).
  - net: ena: return 0 in ena_get_rxfh_key_size() when RSS hash
    key is not configurable (bsc#1012628).
  - nfp: fix RSS hash key size when RSS is not supported
    (bsc#1012628).
  - tcp: use skb->len instead of skb->truesize in tcp_can_ingest()
    (bsc#1012628).
  - idpf: fix mismatched free function for dma_alloc_coherent
    (bsc#1012628).
  - PCI: j721e: Fix incorrect error message in probe()
    (bsc#1012628).
  - mtd: rawnand: atmel: Fix error handling path in
    atmel_nand_controller_add_nands (bsc#1012628).
  - f2fs: fix UAF issue in f2fs_merge_page_bio() (bsc#1012628).
  - drivers/base/node: fix double free in register_one_node()
    (bsc#1012628).
  - ocfs2: fix double free in user_cluster_connect() (bsc#1012628).
  - cramfs: fix incorrect physical page address calculation
    (bsc#1012628).
  - hwrng: ks-sa - fix division by zero in ks_sa_rng_init
    (bsc#1012628).
  - KEYS: X.509: Fix Basic Constraints CA flag parsing
    (bsc#1012628).
  - Bluetooth: hci_sync: Fix using random address for BIG/PA
    advertisements (bsc#1012628).
  - Bluetooth: ISO: don't leak skb in ISO_CONT RX (bsc#1012628).
  - Bluetooth: ISO: free rx_skb if not consumed (bsc#1012628).
  - Bluetooth: ISO: Fix possible UAF on iso_conn_free (bsc#1012628).
  - Bluetooth: MGMT: Fix not exposing debug UUID on
    MGMT_OP_READ_EXP_FEATURES_INFO (bsc#1012628).
  - Bluetooth: btintel_pcie: Refactor Device Coredump (bsc#1012628).
  - net: macb: single dma_alloc_coherent() for DMA descriptors
    (bsc#1012628).
  - net: macb: move ring size computation to functions
    (bsc#1012628).
  - net: macb: remove illusion about TBQPH/RBQPH being per-queue
    (bsc#1012628).
  - vhost: vringh: Fix copy_to_iter return value check
    (bsc#1012628).
  - ptp: Add a upper bound on max_vclocks (bsc#1012628).
  - net: usb: Remove disruptive netif_wake_queue in
    rtl8150_set_multicast (bsc#1012628).
  - net: enetc: Fix probing error message typo for the ENETCv4 PF
    driver (bsc#1012628).
  - RDMA/siw: Always report immediate post SQ errors (bsc#1012628).
  - iommu/selftest: prevent use of uninitialized variable
    (bsc#1012628).
  - iommu/vt-d: Disallow dirty tracking if incoherent page walk
    (bsc#1012628).
  - ASoC: qcom: sc8280xp: use sa8775p/ subdir for QCS9100 / QCS9075
    (bsc#1012628).
  - PCI: rcar-gen4: Fix inverted break condition in PHY
    initialization (bsc#1012628).
  - PCI: rcar-gen4: Assure reset occurs before DBI access
    (bsc#1012628).
  - PCI: rcar-gen4: Add missing 1ms delay after PWR reset assertion
    (bsc#1012628).
  - usb: vhci-hcd: Prevent suspending virtually attached devices
    (bsc#1012628).
  - scsi: mpt3sas: Fix crash in transport port remove by using
    ioc_info() (bsc#1012628).
  - scsi: ufs: core: Fix data race in CPU latency PM QoS request
    handling (bsc#1012628).
  - netfilter: nf_conntrack: do not skip entries in
    /proc/net/nf_conntrack (bsc#1012628).
  - netfilter: nfnetlink: reset nlh pointer during batch replay
    (bsc#1012628).
  - ipvs: Defer ip_vs_ftp unregister during netns cleanup
    (bsc#1012628).
  - net: ethtool: tsconfig: set command must provide a reply
    (bsc#1012628).
  - NFSv4.1: fix backchannel max_resp_sz verification check
    (bsc#1012628).
  - coresight: Fix incorrect handling for return value of
    devm_kzalloc (bsc#1012628).
  - coresight: tpda: fix the logic to setup the element size
    (bsc#1012628).
  - coresight: trbe: Return NULL pointer for allocation failures
    (bsc#1012628).
  - coresight: Avoid enable programming clock duplicately
    (bsc#1012628).
  - coresight: Appropriately disable trace bus clocks (bsc#1012628).
  - coresight: Appropriately disable programming clocks
    (bsc#1012628).
  - coresight: etm4x: Support atclk (bsc#1012628).
  - coresight: catu: Support atclk (bsc#1012628).
  - coresight: tmc: Support atclk (bsc#1012628).
  - coresight-etm4x: Conditionally access register TRCEXTINSELR
    (bsc#1012628).
  - coresight: fix indentation error in
    cscfg_remove_owned_csdev_configs() (bsc#1012628).
  - dm vdo: return error on corrupted metadata in
    start_restoring_volume functions (bsc#1012628).
  - wifi: cfg80211: fix width unit in cfg80211_radio_chandef_valid()
    (bsc#1012628).
  - wifi: ath12k: Fix flush cache failure during RX queue update
    (bsc#1012628).
  - wifi: ath12k: Refactor RX TID deletion handling into helper
    function (bsc#1012628).
  - remoteproc: qcom: pas: Shutdown lite ADSP DTB on X1E
    (bsc#1012628).
  - remoteproc: qcom: q6v5: Avoid disabling handover IRQ twice
    (bsc#1012628).
  - PCI: tegra194: Fix duplicate PLL disable in
    pex_ep_event_pex_rst_assert() (bsc#1012628).
  - wifi: rtw89: avoid circular locking dependency in
    ser_state_run() (bsc#1012628).
  - wifi: rtw89: fix leak in rtw89_core_send_nullfunc()
    (bsc#1012628).
  - selftests/mm: fix va_high_addr_switch.sh failure on x86_64
    (bsc#1012628).
  - RDMA/rxe: Fix race in do_task() when draining (bsc#1012628).
  - remoteproc: qcom_q6v5_mss: support loading MBN file on msm8974
    (bsc#1012628).
  - rpmsg: qcom_smd: Fix fallback to qcom,ipc parse (bsc#1012628).
  - wifi: ath12k: Fix peer lookup in ath12k_dp_mon_rx_deliver_msdu()
    (bsc#1012628).
  - crypto: hisilicon/qm - set NULL to qm->debug.qm_diff_regs
    (bsc#1012628).
  - crypto: comp - Use same definition of context alloc and free
    ops (bsc#1012628).
  - vfio/pds: replace bitmap_free with vfree (bsc#1012628).
  - sparc: fix accurate exception reporting in copy_{from,to}_user
    for M7 (bsc#1012628).
  - sparc: fix accurate exception reporting in copy_to_user for
    Niagara 4 (bsc#1012628).
  - sparc: fix accurate exception reporting in copy_{from_to}_user
    for Niagara (bsc#1012628).
  - sparc: fix accurate exception reporting in copy_{from_to}_user
    for UltraSPARC III (bsc#1012628).
  - sparc: fix accurate exception reporting in copy_{from_to}_user
    for UltraSPARC (bsc#1012628).
  - ASoC: Intel: sof_sdw: Prevent jump to NULL add_sidecar callback
    (bsc#1012628).
  - wifi: mac80211: fix Rx packet handling when pubsta information
    is not available (bsc#1012628).
  - iommu/vt-d: debugfs: Fix legacy mode page table dump logic
    (bsc#1012628).
  - wifi: ath10k: avoid unnecessary wait for service ready message
    (bsc#1012628).
  - wifi: ath12k: fix wrong logging ID used for CE (bsc#1012628).
  - wifi: ath12k: Add fallback for invalid channel number in PHY
    metadata (bsc#1012628).
  - wifi: ath12k: fix the fetching of combined rssi (bsc#1012628).
  - wifi: ath12k: fix HAL_PHYRX_COMMON_USER_INFO handling in
    monitor mode (bsc#1012628).
  - wifi: ath12k: fix signal in radiotap for WCN7850 (bsc#1012628).
  - wifi: ath12k: fix overflow warning on num_pwr_levels
    (bsc#1012628).
  - wifi: ath12k: initialize eirp_power before use (bsc#1012628).
  - ASoC: SOF: ipc4-pcm: Fix incorrect comparison with number of
    tdm_slots (bsc#1012628).
  - Documentation: trace: historgram-design: Separate sched_waking
    histogram section heading and the following diagram
    (bsc#1012628).
  - IB/sa: Fix sa_local_svc_timeout_ms read race (bsc#1012628).
  - RDMA/core: Resolve MAC of next-hop device without ARP support
    (bsc#1012628).
  - Revert "usb: xhci: Avoid Stop Endpoint retry loop if the
    endpoint seems Running" (bsc#1012628).
  - mptcp: Use __sk_dst_get() and dst_dev_rcu() in
    mptcp_active_enable() (bsc#1012628).
  - mptcp: Call dst_release() in mptcp_active_enable()
    (bsc#1012628).
  - tls: Use __sk_dst_get() and dst_dev_rcu() in
    get_netdev_for_sock() (bsc#1012628).
  - smc: Use __sk_dst_get() and dst_dev_rcu() in smc_vlan_by_tcpsk()
    (bsc#1012628).
  - smc: Use __sk_dst_get() and dst_dev_rcu() in
    smc_clc_prfx_match() (bsc#1012628).
  - smc: Use __sk_dst_get() and dst_dev_rcu() in in
    smc_clc_prfx_set() (bsc#1012628).
  - smc: Fix use-after-free in __pnet_find_base_ndev()
    (bsc#1012628).
  - f2fs: fix zero-sized extent for precache extents (bsc#1012628).
  - HID: hidraw: tighten ioctl command parsing (bsc#1012628).
  - scsi: qla2xxx: Fix incorrect sign of error code in
    qla_nvme_xmt_ls_rsp() (bsc#1012628).
  - scsi: qla2xxx: Fix incorrect sign of error code in
    START_SP_W_RETRIES() (bsc#1012628).
  - scsi: qla2xxx: edif: Fix incorrect sign of error code
    (bsc#1012628).
  - ACPI: NFIT: Fix incorrect ndr_desc being reportedin dev_err
    message (bsc#1012628).
  - ALSA: pcm: Disable bottom softirqs as part of spin_lock_irq()
    on PREEMPT_RT (bsc#1012628).
  - RISC-V: KVM: Write hgatp register with valid mode bits
    (bsc#1012628).
  - f2fs: fix to mitigate overhead of f2fs_zero_post_eof_page()
    (bsc#1012628).
  - f2fs: fix to avoid migrating empty section (bsc#1012628).
  - f2fs: fix to truncate first page in error path of
    f2fs_truncate() (bsc#1012628).
  - f2fs: fix to update map->m_next_extent correctly in
    f2fs_map_blocks() (bsc#1012628).
  - drm/amdgpu: Fix allocating extra dwords for rings (v2)
    (bsc#1012628).
  - srcu/tiny: Remove preempt_disable/enable() in
    srcu_gp_start_if_needed() (bsc#1012628).
  - ASoC: Intel: hda-sdw-bpt: set persistent_buffer false
    (bsc#1012628).
  - wifi: mt76: mt7996: remove redundant per-phy mac80211 calls
    during restart (bsc#1012628).
  - wifi: mt76: mt7915: fix mt7981 pre-calibration (bsc#1012628).
  - wifi: mt76: mt7996: Convert mt7996_wed_rro_addr to LE
    (bsc#1012628).
  - wifi: mt76: mt7996: Fix RX packets configuration for primary
    WED device (bsc#1012628).
  - wifi: mt76: mt7996: Fix tx-queues initialization for second
    phy on mt7996 (bsc#1012628).
  - wifi: mt76: mt7996: Check phy before init msta_link in
    mt7996_mac_sta_add_links() (bsc#1012628).
  - wifi: mt76: mt7996: Use proper link_id in link_sta_rc_update
    callback (bsc#1012628).
  - wifi: mt76: fix potential memory leak in mt76_wmac_probe()
    (bsc#1012628).
  - wifi: mt76: mt7996: Fix mt7996_mcu_bss_mld_tlv routine
    (bsc#1012628).
  - wifi: mt76: mt7996: Fix mt7996_mcu_sta_ba wcid configuration
    (bsc#1012628).
  - RDMA/cm: Rate limit destroy CM ID timeout error message
    (bsc#1012628).
  - drivers/base/node: handle error properly in register_one_node()
    (bsc#1012628).
  - PCI: endpoint: pci-epf-test: Fix doorbell test support
    (bsc#1012628).
  - watchdog: mpc8xxx_wdt: Reload the watchdog timer when enabling
    the watchdog (bsc#1012628).
  - watchdog: intel_oc_wdt: Do not try to write into const memory
    (bsc#1012628).
  - HID: steelseries: Fix STEELSERIES_SRWS1 handling in
    steelseries_remove() (bsc#1012628).
  - ipvs: Use READ_ONCE/WRITE_ONCE for ipvs->enable (bsc#1012628).
  - netfilter: ipset: Remove unused htable_bits in macro
    ahash_region (bsc#1012628).
  - RDMA/mlx5: Fix page size bitmap calculation for KSM mode
    (bsc#1012628).
  - tools: ynl: fix undefined variable name (bsc#1012628).
  - mm/slub: Fix cmp_loc_by_count() to return 0 when counts are
    equal (bsc#1012628).
  - iio: consumers: Fix offset handling in
    iio_convert_raw_to_processed() (bsc#1012628).
  - iio: consumers: Fix handling of negative channel scale in
    iio_convert_raw_to_processed() (bsc#1012628).
  - fs/ntfs3: reject index allocation if $BITMAP is empty but
    blocks exist (bsc#1012628).
  - fs: ntfs3: Fix integer overflow in run_unpack() (bsc#1012628).
  - wifi: mac80211: fix reporting of all valid links in
    sta_set_sinfo() (bsc#1012628).
  - drm/msm/dpu: fix incorrect type for ret (bsc#1012628).
  - drm/msm: Fix bootup splat with separate_gpu_drm modparam
    (bsc#1012628).
  - ipv6: snmp: do not track per idev ICMP6_MIB_RATELIMITHOST
    (bsc#1012628).
  - ipv6: snmp: do not use SNMP_MIB_SENTINEL anymore (bsc#1012628).
  - ASoC: Intel: bytcr_rt5651: Fix invalid quirk input mapping
    (bsc#1012628).
  - ASoC: Intel: bytcr_rt5640: Fix invalid quirk input mapping
    (bsc#1012628).
  - ASoC: Intel: bytcht_es8316: Fix invalid quirk input mapping
    (bsc#1012628).
  - idpf: fix Rx descriptor ready check barrier in splitq
    (bsc#1012628).
  - drm/msm: stop supporting no-IOMMU configuration (bsc#1012628).
  - drm/msm/mdp4: stop supporting no-IOMMU configuration
    (bsc#1012628).
  - wifi: iwlwifi: Remove redundant header files (bsc#1012628).
  - drm/msm: Fix missing VM_BIND offset/range validation
    (bsc#1012628).
  - drm/msm: Fix obj leak in VM_BIND error path (bsc#1012628).
  - pps: fix warning in pps_register_cdev when register device fail
    (bsc#1012628).
  - misc: genwqe: Fix incorrect cmd field being reported in error
    (bsc#1012628).
  - tty: n_gsm: Don't block input queue by waiting MSC
    (bsc#1012628).
  - usb: gadget: configfs: Correctly set use_os_string at bind
    (bsc#1012628).
  - usb: phy: twl6030: Fix incorrect type for ret (bsc#1012628).
  - drm/amdkfd: Fix error code sign for EINVAL in svm_ioctl()
    (bsc#1012628).
  - fanotify: Validate the return value of mnt_ns_from_dentry()
    before dereferencing (bsc#1012628).
  - tcp: fix __tcp_close() to only send RST when required
    (bsc#1012628).
  - PCI: qcom: Add equalization settings for 8.0 GT/s and 32.0 GT/s
    (bsc#1012628).
  - wifi: mac80211: consider links for validating SCAN_FLAG_AP in
    scan request during MLO (bsc#1012628).
  - PCI: tegra: Fix devm_kcalloc() argument order for port->phys
    allocation (bsc#1012628).
  - drm/msm: Do not validate SSPP when it is not ready
    (bsc#1012628).
  - wifi: brcmfmac: fix 43752 SDIO FWVID incorrectly labelled as
    Cypress (CYW) (bsc#1012628).
  - wifi: mwifiex: send world regulatory domain to driver
    (bsc#1012628).
  - wifi: mac80211: Make CONNECTION_MONITOR optional for MLO sta
    (bsc#1012628).
  - drm/amd/pm: Disable SCLK switching on Oland with high pixel
    clocks (v3) (bsc#1012628).
  - drm/amd/pm: Disable MCLK switching with non-DC at 120 Hz+ (v2)
    (bsc#1012628).
  - drm/amd/pm: Treat zero vblank time as too short in si_dpm (v3)
    (bsc#1012628).
  - drm/amd/pm: Adjust si_upload_smc_data register programming (v3)
    (bsc#1012628).
  - drm/amd/pm: Fix si_upload_smc_data (v3) (bsc#1012628).
  - drm/amd/pm: Disable ULV even if unsupported (v3) (bsc#1012628).
  - drm/amdgpu: Power up UVD 3 for FW validation (v2) (bsc#1012628).
  - coresight: Only register perf symlink for sinks with
    alloc_buffer (bsc#1012628).
  - coresight: Fix missing include for FIELD_GET (bsc#1012628).
  - coresight: trbe: Add ISB after TRBLIMITR write (bsc#1012628).
  - dmaengine: Fix dma_async_tx_descriptor->tx_submit documentation
    (bsc#1012628).
  - inet: ping: check sock_net() in ping_get_port() and
    ping_lookup() (bsc#1012628).
  - crypto: hisilicon/qm - request reserved interrupt for virtual
    function (bsc#1012628).
  - crypto: hisilicon/qm - check whether the input function and
    PF are on the same device (bsc#1012628).
  - crypto: hisilicon - check the sva module status while enabling
    or disabling address prefetch (bsc#1012628).
  - crypto: hisilicon - re-enable address prefetch after device
    resuming (bsc#1012628).
  - crypto: hisilicon/zip - remove unnecessary validation for
    high-performance mode configurations (bsc#1012628).
  - ipv4: start using dst_dev_rcu() (bsc#1012628).
  - tcp_metrics: use dst_dev_net_rcu() (bsc#1012628).
  - net: use dst_dev_rcu() in sk_setup_caps() (bsc#1012628).
  - ipv6: use RCU in ip6_output() (bsc#1012628).
  - ipv6: use RCU in ip6_xmit() (bsc#1012628).
  - ipv6: start using dst_dev_rcu() (bsc#1012628).
  - ipv6: mcast: Add ip6_mc_find_idev() helper (bsc#1012628).
  - net: dst: introduce dst->dev_rcu (bsc#1012628).
  - efi: Explain OVMF acronym in OVMF_DEBUG_LOG help text
    (bsc#1012628).
  - accel/amdxdna: Use int instead of u32 to store error codes
    (bsc#1012628).
  - drm/amdgpu: Check vcn state before profile switch (bsc#1012628).
  - drm/amdgpu/vcn: Hold pg_lock before vcn power off (bsc#1012628).
  - drm/amdgpu/vcn: Add regdump helper functions (bsc#1012628).
  - media: st-delta: avoid excessive stack usage (bsc#1012628).
  - ALSA: lx_core: use int type to store negative error codes
    (bsc#1012628).
  - HID: i2c-hid: Fix test in i2c_hid_core_register_panel_follower()
    (bsc#1012628).
  - PCI/ACPI: Fix pci_acpi_preserve_config() memory leak
    (bsc#1012628).
  - cdx: don't select CONFIG_GENERIC_MSI_IRQ (bsc#1012628).
  - PCI: qcom: Restrict port parsing only to PCIe bridge child nodes
    (bsc#1012628).
  - fuse: remove unneeded offset assignment when filling write pages
    (bsc#1012628).
  - PCI: rcar-host: Pass proper IRQ domain to
    generic_handle_domain_irq() (bsc#1012628).
  - net: phy: as21xxx: better handle PHY HW reset on soft-reboot
    (bsc#1012628).
  - net: phy: introduce phy_id_compare_vendor() PHY ID helper
    (bsc#1012628).
  - wifi: rtw88: Use led->brightness_set_blocking for PCI too
    (bsc#1012628).
  - RDMA/mlx5: Fix vport loopback forcing for MPV device
    (bsc#1012628).
  - RDMA/mlx5: Better estimate max_qp_wr to reflect WQE count
    (bsc#1012628).
  - HID: i2c-hid: Make elan touch controllers power on after panel
    is enabled (bsc#1012628).
  - drm/panel: Allow powering on panel follower after panel is
    enabled (bsc#1012628).
  - media: i2c: vd55g1: Fix duster register address (bsc#1012628).
  - media: staging/ipu7: cleanup the MMU correctly in IPU7 driver
    release (bsc#1012628).
  - media: staging/ipu7: Don't set name for IPU7 PCI device
    (bsc#1012628).
  - media: staging/ipu7: convert to use pci_alloc_irq_vectors()
    API (bsc#1012628).
  - media: rj54n1cb0c: Fix memleak in rj54n1_probe() (bsc#1012628).
  - drm: re-allow no-op changes on non-primary planes in async flips
    (bsc#1012628).
  - crypto: octeontx2 - Call strscpy() with correct size argument
    (bsc#1012628).
  - drm/dp: drm_edp_backlight_set_level: do not always send 3-byte
    commands (bsc#1012628).
  - f2fs: fix to allow removing qf_name (bsc#1012628).
  - f2fs: fix to avoid NULL pointer dereference in
    f2fs_check_quota_consistency() (bsc#1012628).
  - f2fs: fix to clear unusable_cap for checkpoint=enable
    (bsc#1012628).
  - scsi: myrs: Fix dma_alloc_coherent() error check (bsc#1012628).
  - mptcp: Fix up subflow's memcg when CONFIG_SOCK_CGROUP_DATA=n
    (bsc#1012628).
  - scsi: pm80xx: Fix pm8001_abort_task() for chip_8006 when using
    an expander (bsc#1012628).
  - scsi: pm80xx: Add helper function to get the local phy id
    (bsc#1012628).
  - scsi: pm80xx: Use dev_parent_is_expander() helper (bsc#1012628).
  - scsi: libsas: Add dev_parent_is_expander() helper (bsc#1012628).
  - scsi: pm80xx: Fix array-index-out-of-of-bounds on rmmod
    (bsc#1012628).
  - scsi: pm80xx: Restore support for expanders (bsc#1012628).
  - fwctl/mlx5: Fix memory alloc/free in mlx5ctl_fw_rpc()
    (bsc#1012628).
  - docs: iio: ad3552r: Fix malformed code-block directive
    (bsc#1012628).
  - hwrng: nomadik - add ARM_AMBA dependency (bsc#1012628).
  - crypto: keembay - Add missing check after sg_nents_for_len()
    (bsc#1012628).
  - drm/amd/display: Add NULL pointer checks in dc_stream cursor
    attribute functions (bsc#1012628).
  - drm/amd/display: Remove redundant semicolons (bsc#1012628).
  - serial: max310x: Add error checking in probe() (bsc#1012628).
  - misc: pci_endpoint_test: Fix array underflow in
    pci_endpoint_test_ioctl() (bsc#1012628).
  - PCI/pwrctrl: Fix double cleanup on devm_add_action_or_reset()
    failure (bsc#1012628).
  - usb: misc: qcom_eud: Access EUD_MODE_MANAGER2 through secure
    calls (bsc#1012628).
  - usb: host: max3421-hcd: Fix error pointer dereference in probe
    cleanup (bsc#1012628).
  - drm/bridge: cdns-dsi: Fix the _atomic_check() (bsc#1012628).
  - phy: rockchip: naneng-combphy: Enable U3 OTG port for RK3568
    (bsc#1012628).
  - media: zoran: Remove zoran_fh structure (bsc#1012628).
  - HID: steelseries: refactor probe() and remove() (bsc#1012628).
  - wifi: rtw88: Lock rtwdev->mutex before setting the LED
    (bsc#1012628).
  - drm/bridge: it6505: select REGMAP_I2C (bsc#1012628).
  - f2fs: fix to zero data after EOF for compressed file correctly
    (bsc#1012628).
  - f2fs: fix to avoid overflow while left shift operation
    (bsc#1012628).
  - f2fs: fix condition in __allow_reserved_blocks() (bsc#1012628).
  - drm/radeon/r600_cs: clean up of dead code in r600_cs
    (bsc#1012628).
  - PCI: xgene-msi: Return negative -EINVAL in
    xgene_msi_handler_setup() (bsc#1012628).
  - PCI: endpoint: pci-ep-msi: Fix NULL vs IS_ERR() check in
    pci_epf_write_msi_msg() (bsc#1012628).
  - drm/amdgpu: Fix vcn v4.0.3 poison irq call trace on sriov guest
    (bsc#1012628).
  - drm/amdgpu: Fix jpeg v4.0.3 poison irq call trace on sriov guest
    (bsc#1012628).
  - drm/amdgpu: fix link error for !PM_SLEEP (bsc#1012628).
  - drm/panel: novatek-nt35560: Fix invalid return value
    (bsc#1012628).
  - drm/amd/display: Reduce Stack Usage by moving 'audio_output'
    into 'stream_res' v4 (bsc#1012628).
  - drm/vmwgfx: fix missing assignment to ts (bsc#1012628).
  - drm/panel-edp: Add 50ms disable delay for four panels
    (bsc#1012628).
  - drm/display: bridge-connector: correct CEC bridge pointers in
    drm_bridge_connector_init (bsc#1012628).
  - drm/panel-edp: Add disable to 100ms for MNB601LS1-4
    (bsc#1012628).
  - drm/sched: Fix a race in DRM_GPU_SCHED_STAT_NO_HANG test
    (bsc#1012628).
  - mfd: max77705: Setup the core driver as an interrupt controller
    (bsc#1012628).
  - i3c: fix big-endian FIFO transfers (bsc#1012628).
  - bpf: Enforce expected_attach_type for tailcall compatibility
    (bsc#1012628).
  - libbpf: Fix error when st-prefix_ops and ops from differ btf
    (bsc#1012628).
  - i2c: designware: Add disabling clocks when probe fails
    (bsc#1012628).
  - i2c: designware: Fix clock issue when PM is disabled
    (bsc#1012628).
  - i2c: spacemit: ensure SDA is released after bus reset
    (bsc#1012628).
  - i2c: spacemit: check SDA instead of SCL after bus reset
    (bsc#1012628).
  - i2c: spacemit: disable SDA glitch fix to avoid restart delay
    (bsc#1012628).
  - i2c: spacemit: remove stop function to avoid bus error
    (bsc#1012628).
  - i2c: spacemit: ensure bus release check runs when
    wait_bus_idle() fails (bsc#1012628).
  - i2c: mediatek: fix potential incorrect use of I2C_MASTER_WRRD
    (bsc#1012628).
  - thermal/drivers/qcom/lmh: Add missing IRQ includes
    (bsc#1012628).
  - thermal/drivers/qcom: Make LMH select QCOM_SCM (bsc#1012628).
  - tools/nolibc: add stdbool.h to nolibc includes (bsc#1012628).
  - hwmon: (mlxreg-fan) Separate methods of fan setting coming
    from different subsystems (bsc#1012628).
  - once: fix race by moving DO_ONCE to separate section
    (bsc#1012628).
  - bpf: Mark kfuncs as __noclone (bsc#1012628).
  - clocksource/drivers/tegra186: Avoid 64-bit division
    (bsc#1012628).
  - clocksource/drivers/timer-tegra186: Avoid 64-bit divide
    operation (bsc#1012628).
  - spi: fix return code when spi device has too many chipselects
    (bsc#1012628).
  - tools/nolibc: make time_t robust if __kernel_old_time_t is
    missing in host headers (bsc#1012628).
  - power: supply: max77705_charger: rework interrupts
    (bsc#1012628).
  - power: supply: max77705_charger: use regfields for config
    registers (bsc#1012628).
  - power: supply: max77705_charger: refactoring: rename charger
    to chg (bsc#1012628).
  - mfd: max77705: max77705_charger: move active discharge setting
    to mfd parent (bsc#1012628).
  - smp: Fix up and expand the smp_call_function_many() kerneldoc
    (bsc#1012628).
  - selftests: always install UAPI headers to the correct directory
    (bsc#1012628).
  - arm64: dts: apple: Add ethernet0 alias for J375 template
    (bsc#1012628).
  - arm64: dts: apple: t600x: Add bluetooth device nodes
    (bsc#1012628).
  - arm64: dts: apple: t600x: Add missing WiFi properties
    (bsc#1012628).
  - bpf, arm64: Call bpf_jit_binary_pack_finalize() in
    bpf_jit_free() (bsc#1012628).
  - bpf: dont report verifier bug for missing bpf_scc_visit on
    speculative path (bsc#1012628).
  - selftest/futex: Compile also with libnuma < 2.0.16
    (bsc#1012628).
  - selftest/futex: Make the error check more precise for
    futex_numa_mpol (bsc#1012628).
  - selftests/futex: Fix futex_wait() for 32bit ARM (bsc#1012628).
  - mmc: select REGMAP_MMIO with MMC_LOONGSON2 (bsc#1012628).
  - bpf: Explicitly check accesses to bpf_sock_addr (bsc#1012628).
  - blk-throttle: fix throtl_data leak during disk release
    (bsc#1012628).
  - selftests/kselftest_harness: Add harness-selftest.expected to
    TEST_FILES (bsc#1012628).
  - selftests: watchdog: skip ping loop if WDIOF_KEEPALIVEPING
    not supported (bsc#1012628).
  - block: fix stacking of atomic writes when atomics are not
    supported (bsc#1012628).
  - block: update validation of atomic writes boundary for stacked
    devices (bsc#1012628).
  - i3c: master: svc: Recycle unused IBI slot (bsc#1012628).
  - i3c: master: svc: Use manual response for IBI events
    (bsc#1012628).
  - nvme-tcp: send only permitted commands for secure concat
    (bsc#1012628).
  - nvmet-fcloop: call done callback even when remote port is gone
    (bsc#1012628).
  - nvmet-fc: move lsop put work to nvmet_fc_ls_req_op
    (bsc#1012628).
  - nvme-auth: update bi_directional flag (bsc#1012628).
  - riscv, bpf: Sign extend struct ops return values properly
    (bsc#1012628).
  - ACPICA: Fix largest possible resource descriptor index
    (bsc#1012628).
  - ACPICA: Apply ACPI_NONSTRING (bsc#1012628).
  - pwm: tiehrpwm: Fix corner case in clock divisor calculation
    (bsc#1012628).
  - pwm: tiehrpwm: Fix various off-by-one errors in duty-cycle
    calculation (bsc#1012628).
  - pwm: tiehrpwm: Make code comment in .free() more useful
    (bsc#1012628).
  - pwm: tiehrpwm: Don't drop runtime PM reference in .free()
    (bsc#1012628).
  - arm64: dts: allwinner: t527: orangepi-4a: hook up external
    32k crystal (bsc#1012628).
  - arm64: dts: allwinner: t527: avaota-a1: hook up external 32k
    crystal (bsc#1012628).
  - arm64: dts: allwinner: a527: cubie-a5e: Drop external 32.768
    KHz crystal (bsc#1012628).
  - arm64: dts: allwinner: a527: cubie-a5e: Add LEDs (bsc#1012628).
  - arm64: dts: mediatek: mt8516-pumpkin: Fix machine compatible
    (bsc#1012628).
  - arm64: dts: mediatek: mt8395-kontron-i1200: Fix MT6360 regulator
    nodes (bsc#1012628).
  - arm64: dts: mediatek: mt7986a: Fix PCI-Express T-PHY node
    address (bsc#1012628).
  - arm64: dts: mediatek: mt6795-xperia-m5: Fix mmc0 latch-ck value
    (bsc#1012628).
  - mmc: core: Fix variable shadowing in mmc_route_rpmb_frames()
    (bsc#1012628).
  - arm64: dts: mediatek: mt6331: Fix pmic, regulators, rtc,
    keys node names (bsc#1012628).
  - arm64: dts: renesas: r9a09g047e57-smarc: Fix gpio key's pin
    control node (bsc#1012628).
  - arm64: dts: ti: k3-pinctrl: Fix the bug in existing macros
    (bsc#1012628).
  - arm64: dts: mediatek: mt8186-tentacruel: Fix touchscreen model
    (bsc#1012628).
  - arm64: dts: mediatek: mt8188: Change efuse fallback compatible
    to mt8186 (bsc#1012628).
  - Revert "arm64: dts: ti: k3-j721e-beagleboneai64: Fix reversed
    C6x carveout locations" (bsc#1012628).
  - Revert "arm64: dts: ti: k3-j721e-sk: Fix reversed C6x carveout
    locations" (bsc#1012628).
  - arm64: dts: ti: k3: Rename rproc reserved-mem nodes to
    'memory@addr' (bsc#1012628).
  - arm64: dts: ti: k3-j742s2-mcu-wakeup: Override firmware-name
    for MCU R5F cores (bsc#1012628).
  - arm64: dts: rockchip: Fix network on rk3576 evb1 board
    (bsc#1012628).
  - arm64: dts: rockchip: Add WiFi on rk3576-evb1-v10 (bsc#1012628).
  - arm64: dts: rockchip: Add RTC on rk3576-evb1-v10 (bsc#1012628).
  - arm64: dts: allwinner: t527: avaota-a1: Add ethernet PHY reset
    setting (bsc#1012628).
  - arm64: dts: allwinner: a527: cubie-a5e: Add ethernet PHY reset
    setting (bsc#1012628).
  - blk-mq: fix potential deadlock while nr_requests grown
    (bsc#1012628).
  - blk-mq-sched: add new parameter nr_requests in
    blk_mq_alloc_sched_tags() (bsc#1012628).
  - blk-mq: split bitmap grow and resize case in
    blk_mq_update_nr_requests() (bsc#1012628).
  - blk-mq: cleanup shared tags case in blk_mq_update_nr_requests()
    (bsc#1012628).
  - blk-mq: convert to serialize updating nr_requests with
    update_nr_hwq_lock (bsc#1012628).
  - blk-mq: check invalid nr_requests in queue_requests_store()
    (bsc#1012628).
  - blk-mq: remove useless checkings in blk_mq_update_nr_requests()
    (bsc#1012628).
  - block: fix ordering of recursive split IO (bsc#1012628).
  - block: skip unnecessary checks for split bio (bsc#1012628).
  - block: factor out a helper bio_submit_split_bioset()
    (bsc#1012628).
  - block: initialize bio issue time in blk_mq_submit_bio()
    (bsc#1012628).
  - block: cleanup bio_issue (bsc#1012628).
  - cpuidle: qcom-spm: fix device and OF node leaks at probe
    (bsc#1012628).
  - soc: mediatek: mtk-svs: fix device leaks on mt8192 probe failure
    (bsc#1012628).
  - soc: mediatek: mtk-svs: fix device leaks on mt8183 probe failure
    (bsc#1012628).
  - dts: arm: amlogic: fix pwm node for c3 (bsc#1012628).
  - firmware: firmware: meson-sm: fix compile-test default
    (bsc#1012628).
  - PM / devfreq: rockchip-dfi: double count on RK3588
    (bsc#1012628).
  - nbd: restrict sockets to TCP and UDP (bsc#1012628).
  - arm64: dts: mediatek: mt8183: Fix out of range pull values
    (bsc#1012628).
  - arm64: dts: mediatek: mt8195: Remove suspend-breaking reset
    from pcie0 (bsc#1012628).
  - tick: Do not set device to detached state in tick_shutdown()
    (bsc#1012628).
  - irqchip/gic-v5: Fix error handling in
    gicv5_its_irq_domain_alloc() (bsc#1012628).
  - irqchip/gic-v5: Fix loop in gicv5_its_create_itt_two_level()
    cleanup path (bsc#1012628).
  - selftests: vDSO: vdso_test_abi: Correctly skip whole test with
    missing vDSO (bsc#1012628).
  - selftests: vDSO: Fix -Wunitialized in powerpc VDSO_CALL()
    wrapper (bsc#1012628).
  - blk-throttle: fix access race during throttle policy activation
    (bsc#1012628).
  - null_blk: Fix the description of the cache_size module argument
    (bsc#1012628).
  - pinctrl: eswin: Fix regulator error check and Kconfig dependency
    (bsc#1012628).
  - pinctrl: renesas: Use int type to store negative error codes
    (bsc#1012628).
  - hwmon: (asus-ec-sensors) Narrow lock for X870E-CREATOR WIFI
    (bsc#1012628).
  - power: supply: cw2015: Fix a alignment coding style issue
    (bsc#1012628).
  - PM / devfreq: mtk-cci: Fix potential error pointer dereference
    in probe() (bsc#1012628).
  - ARM: dts: omap: am335x-cm-t335: Remove unused mcasp
    num-serializer property (bsc#1012628).
  - ARM: dts: ti: omap: omap3-devkit8000-lcd: Fix ti,keep-vref-on
    property to use correct boolean syntax in DTS (bsc#1012628).
  - ARM: dts: ti: omap: am335x-baltos: Fix ti,en-ck32k-xtal property
    in DTS to use correct boolean syntax (bsc#1012628).
  - vdso: Add struct __kernel_old_timeval forward declaration to
    gettime.h (bsc#1012628).
  - blk-mq: fix elevator depth_updated method (bsc#1012628).
  - PM: sleep: core: Clear power.must_resume in noirq suspend
    error path (bsc#1012628).
  - vdso/datastore: Gate time data behind
    CONFIG_GENERIC_GETTIMEOFDAY (bsc#1012628).
  - selftests/bpf: Fix count write in testapp_xdp_metadata_copy()
    (bsc#1012628).
  - genirq/test: Ensure CPU 1 is online for hotplug test
    (bsc#1012628).
  - genirq/test: Drop CONFIG_GENERIC_IRQ_MIGRATION assumptions
    (bsc#1012628).
  - genirq/test: Depend on SPARSE_IRQ (bsc#1012628).
  - genirq/test: Select IRQ_DOMAIN (bsc#1012628).
  - genirq/test: Fix depth tests on architectures with NOREQUEST
    by default (bsc#1012628).
  - dt-bindings: vendor-prefixes: Add undocumented vendor prefixes
    (bsc#1012628).
  - ARM: dts: stm32: stm32mp151c-plyaqm: Use correct dai-format
    property (bsc#1012628).
  - block: use int to store blk_stack_limits() return value
    (bsc#1012628).
  - PCI/MSI: Check MSI_FLAG_PCI_MSI_MASK_PARENT in
    cond_[startup|shutdown]_parent() (bsc#1012628).
  - leds: leds-lp55xx: Use correct address for memory programming
    (bsc#1012628).
  - selftests/nolibc: fix EXPECT_NZ macro (bsc#1012628).
  - tools/nolibc: avoid error in dup2() if old fd equals new fd
    (bsc#1012628).
  - selftests/futex: Fix some futex_numa_mpol subtests
    (bsc#1012628).
  - regulator: scmi: Use int type to store negative error codes
    (bsc#1012628).
  - arm64: dts: apple: t8103-j457: Fix PCIe ethernet iommu-map
    (bsc#1012628).
  - ARM: at91: pm: fix MCKx restore routine (bsc#1012628).
  - selftests/futex: Remove the -g parameter from futex_priv_hash
    (bsc#1012628).
  - blk-mq: check kobject state_in_sysfs before deleting in
    blk_mq_unregister_hctx (bsc#1012628).
  - pinctrl: meson-gxl: add missing i2c_d pinmux (bsc#1012628).
  - soc: qcom: rpmh-rsc: Unconditionally clear _TRIGGER bit for TCS
    (bsc#1012628).
  - scripts/misc-check: update export checks for
    EXPORT_SYMBOL_FOR_MODULES() (bsc#1012628).
  - irqchip/sg2042-msi: Fix broken affinity setting (bsc#1012628).
  - PCI/MSI: Add startup/shutdown for per device domains
    (bsc#1012628).
  - genirq: Add irq_chip_(startup/shutdown)_parent() (bsc#1012628).
  - ACPI: processor: idle: Fix memory leak when register cpuidle
    device failed (bsc#1012628).
  - bpf: Remove preempt_disable in bpf_try_get_buffers
    (bsc#1012628).
  - arm64: dts: imx95: Correct the lpuart7 and lpuart8 srcid
    (bsc#1012628).
  - arm64: dts: imx93-kontron: Fix USB port assignment
    (bsc#1012628).
  - arm64: dts: imx93-kontron: Fix GPIO for panel regulator
    (bsc#1012628).
  - firmware: arm_scmi: Mark VirtIO ready before registering
    scmi_virtio_driver (bsc#1012628).
  - libbpf: Export bpf_object__prepare symbol (bsc#1012628).
  - arm64: dts: renesas: sparrow-hawk: Set VDDQ18_25_AVB voltage
    on EVTB1 (bsc#1012628).
  - arm64: dts: renesas: sparrow-hawk: Invert microSD voltage
    selector on EVTB1 (bsc#1012628).
  - cpufreq: scmi: Account for malformed DT in
    scmi_dev_used_by_cpus() (bsc#1012628).
  - s390/bpf: Write back tail call counter for BPF_TRAMP_F_CALL_ORIG
    (bsc#1012628).
  - s390/bpf: Write back tail call counter for BPF_PSEUDO_CALL
    (bsc#1012628).
  - s390/bpf: Do not write tail call counter into helper and kfunc
    frames (bsc#1012628).
  - leds: flash: leds-qcom-flash: Update torch current clamp setting
    (bsc#1012628).
  - leds: max77705: Function return instead of variable assignment
    (bsc#1012628).
  - ARM: dts: renesas: porter: Fix CAN pin group (bsc#1012628).
  - tools/nolibc: fix error return value of clock_nanosleep()
    (bsc#1012628).
  - libbpf: Fix reuse of DEVMAP (bsc#1012628).
  - bpf: Remove migrate_disable in kprobe_multi_link_prog_run
    (bsc#1012628).
  - bpf/selftests: Fix test_tcpnotify_user (bsc#1012628).
  - rust: cpumask: Mark CpumaskVar as transparent (bsc#1012628).
  - selftests/bpf: Copy test_kmods when installing selftest
    (bsc#1012628).
  - regmap: Remove superfluous check for !config in __regmap_init()
    (bsc#1012628).
  - bpf: Tidy verifier bug message (bsc#1012628).
  - arm64: dts: renesas: rzg2lc-smarc: Disable CAN-FD channel0
    (bsc#1012628).
  - pinctrl: renesas: rzg2l: Fix invalid unsigned return in
    rzg3s_oen_read() (bsc#1012628).
  - btrfs: fix symbolic link reading when bs > ps (bsc#1012628).
  - btrfs: return any hit error from extent_writepage_io()
    (bsc#1012628).
  - cpuset: fix failure to enable isolated partition when containing
    isolcpus (bsc#1012628).
  - lsm: CONFIG_LSM can depend on CONFIG_SECURITY (bsc#1012628).
  - Update config files.
  - sched/fair: Get rid of sched_domains_curr_level hack for
    tl->cpumask() (bsc#1012628).
  - Update config files.
  - selftests: cgroup: Make test_pids backwards compatible
    (bsc#1012628).
  - x86/vdso: Fix output operand size of RDPID (bsc#1012628).
  - perf/x86/intel: Fix IA32_PMC_x_CFG_B MSRs access error
    (bsc#1012628).
  - perf/x86/intel: Use early_initcall() to hook bts_init()
    (bsc#1012628).
  - EDAC/i10nm: Skip DIMM enumeration on a disabled memory
    controller (bsc#1012628).
  - smb: server: fix IRD/ORD negotiation with the client
    (bsc#1012628).
  - smb: client: fix sending the iwrap custom IRD/ORD negotiation
    messages (bsc#1012628).
  - erofs: avoid reading more for fragment maps (bsc#1012628).
  - perf: arm_spe: Prevent overflow in PERF_IDX2OFF() (bsc#1012628).
  - coresight: trbe: Prevent overflow in PERF_IDX2OFF()
    (bsc#1012628).
  - raid6: riscv: Clean up unused header file inclusion
    (bsc#1012628).
  - uprobes: uprobe_warn should use passed task (bsc#1012628).
  - powerpc64/modules: correctly iterate over stubs in
    setup_ftrace_ool_stubs (bsc#1012628).
  - powerpc/ftrace: ensure ftrace record ops are always set for NOPs
    (bsc#1012628).
  - powerpc/603: Really copy kernel PGD entries into all PGDIRs
    (bsc#1012628).
  - powerpc/8xx: Remove left-over instruction and comments in
    DataStoreTLBMiss handler (bsc#1012628).
  - gfs2: Add proper lockspace locking (bsc#1012628).
  - gfs2: do_xmote cleanup (bsc#1012628).
  - gfs2: Get rid of GLF_INVALIDATE_IN_PROGRESS (bsc#1012628).
  - gfs2: Remove duplicate check in do_xmote (bsc#1012628).
  - gfs2: Fix LM_FLAG_TRY* logic in add_to_queue (bsc#1012628).
  - gfs2: Further sanitize lock_dlm.c (bsc#1012628).
  - gfs2: Remove space before newline (bsc#1012628).
  - gfs2: Fix GLF_INVALIDATE_IN_PROGRESS flag clearing in do_xmote
    (bsc#1012628).
  - hfsplus: fix slab-out-of-bounds read in hfsplus_uni2asc()
    (bsc#1012628).
  - kselftest/arm64/gcs: Correctly check return value when disabling
    GCS (bsc#1012628).
  - selftests: arm64: Fix -Waddress warning in tpidr2 test
    (bsc#1012628).
  - selftests: arm64: Check fread return value in exec_target
    (bsc#1012628).
  - kbuild: Add missing $(objtree) prefix to powerpc crtsavres.o
    artifact (bsc#1012628).
  - seccomp: Fix a race with WAIT_KILLABLE_RECV if the tracer
    replies too fast (bsc#1012628).
  - Fix CC_HAS_ASM_GOTO_OUTPUT on non-x86 architectures
    (bsc#1012628).
  - pid: use ns_capable_noaudit() when determining net sysctl
    permissions (bsc#1012628).
  - init: INITRAMFS_PRESERVE_MTIME should depend on BLK_DEV_INITRD
    (bsc#1012628).
  - filelock: add FL_RECLAIM to show_fl_flags() macro (bsc#1012628).
  - arch: copy_thread: pass clone_flags as u64 (bsc#1012628).
  - commit 861bc4c
* Tue Oct 14 2025 jslaby@suse.cz
  - Revert "net: bonding: add broadcast_neighbor option for 802.3ad"
    (bsc#1250894).
  - Revert "net: bonding: add broadcast_neighbor netlink option"
    (bsc#1250894).
  - Revert "net: bonding: send peer notify when failure recovery"
    (bsc#1250894).
  - commit 4d5a6ac
* Tue Oct 14 2025 jslaby@suse.cz
  - Revert "io_uring/rw: drop -EOPNOTSUPP
    check in __io_complete_rw_common()"
    (https://lore.kernel.org/all/cceb723c-051b-4de2-9a4c-4aa82e1619ee@kernel.dk/).
  - commit f00dc5b
* Sun Oct 12 2025 jslaby@suse.cz
  - Linux 6.17.2 (bsc#1012628).
  - drm/amdgpu: Enable MES lr_compute_wa by default (bsc#1012628).
  - USB: serial: option: add SIMCom 8230C compositions
    (bsc#1012628).
  - Bluetooth: btusb: Add USB ID 2001:332a for D-Link AX9U rev. A1
    (bsc#1012628).
  - wifi: rtlwifi: rtl8192cu: Don't claim USB ID 07b8:8188
    (bsc#1012628).
  - wifi: rtl8xxxu: Don't claim USB ID 07b8:8188 (bsc#1012628).
  - rust: drm: fix `srctree/` links (bsc#1012628).
  - rust: block: fix `srctree/` links (bsc#1012628).
  - rust: pci: fix incorrect platform reference in PCI driver
    probe doc comment (bsc#1012628).
  - rust: pci: fix incorrect platform reference in PCI driver
    unbind doc comment (bsc#1012628).
  - serial: qcom-geni: Fix blocked task (bsc#1012628).
  - nvmem: layouts: fix automatic module loading (bsc#1012628).
  - drivers/misc/amd-sbi/Kconfig: select REGMAP_I2C (bsc#1012628).
  - binder: fix double-free in dbitmap (bsc#1012628).
  - serial: stm32: allow selecting console when the driver is module
    (bsc#1012628).
  - staging: axis-fifo: fix maximum TX packet length check
    (bsc#1012628).
  - staging: axis-fifo: fix TX handling on copy_from_user() failure
    (bsc#1012628).
  - staging: axis-fifo: flush RX FIFO on read errors (bsc#1012628).
  - driver core: faux: Set power.no_pm for faux devices
    (bsc#1012628).
  - driver core/PM: Set power.no_callbacks along with power.no_pm
    (bsc#1012628).
  - crypto: zstd - Fix compression bug caused by truncation
    (bsc#1012628).
  - crypto: rng - Ensure set_ent is always present (bsc#1012628).
  - net/9p: fix double req put in p9_fd_cancelled (bsc#1012628).
  - KVM: x86: Don't (re)check L1 intercepts when completing
    userspace I/O (bsc#1012628).
  - f2fs: fix to do sanity check on node footer for non inode dnode
    (bsc#1012628).
  - ring buffer: Propagate __rb_map_vma return value to caller
    (bsc#1012628).
  - Rename to
    patches.kernel.org/6.17.2-020-Revert-crypto-testmgr-desupport-SHA-1-for-FIPS.patch.
  - Update config files.
    * SERIAL_STM32_CONSOLE=n -- the default
  - commit d07fa64
* Sun Oct 12 2025 jslaby@suse.cz
  - Refresh
    patches.suse/Revert-crypto-testmgr-desupport-SHA-1-for-FIPS-140.patch.
    Update upstream status and move to sorted section.
  - commit c43e570
* Thu Oct 09 2025 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream reference and move into sorted section:
    - patches.suse/Revert-crypto-testmgr-desupport-SHA-1-for-FIPS-140.patch
  - commit 87b135f
* Thu Oct 09 2025 jslaby@suse.cz
  - fs: return EOPNOTSUPP from file_setattr/file_getattr syscalls
    (bsc#1251048).
  - Revert "fs: make vfs_fileattr_[get|set] return -EOPNOTSUPP"
    (bsc#1251048).
  - commit 2fd3ada
* Tue Oct 07 2025 jslaby@suse.cz
  - Linux 6.17.1 (bsc#1012628).
  - ASoC: qcom: audioreach: fix potential null pointer dereference
    (bsc#1012628).
  - media: stm32-csi: Fix dereference before NULL check
    (bsc#1012628).
  - media: iris: Fix memory leak by freeing untracked persist buffer
    (bsc#1012628).
  - wifi: ath11k: fix NULL dereference in ath11k_qmi_m3_load()
    (bsc#1012628).
  - mm: swap: check for stable address space before operating on
    the VMA (bsc#1012628).
  - media: uvcvideo: Mark invalid entities with id
    UVC_INVALID_ENTITY_ID (bsc#1012628).
  - media: rc: fix races with imon_disconnect() (bsc#1012628).
  - media: tuner: xc5000: Fix use-after-free in xc5000_release
    (bsc#1012628).
  - media: i2c: tc358743: Fix use-after-free bugs caused by orphan
    timer in probe (bsc#1012628).
  - media: b2c2: Fix use-after-free causing by irq_check_work in
    flexcop_pci_remove (bsc#1012628).
  - wifi: rtw89: fix use-after-free in
    rtw89_core_tx_kick_off_and_wait() (bsc#1012628).
  - ALSA: usb-audio: fix race condition to UAF in snd_usbmidi_free
    (bsc#1012628).
  - scsi: target: target_core_configfs: Add length check to avoid
    buffer overflow (bsc#1012628).
  - gcc-plugins: Remove TODO_verify_il for GCC >= 16 (bsc#1012628).
  - blk-mq: fix blk_mq_tags double free while nr_requests grown
    (bsc#1012628).
  - commit 513d2ec
* Mon Oct 06 2025 jslaby@suse.cz
  - Linux 6.17.1 (bsc#1012628).
  - ASoC: qcom: audioreach: fix potential null pointer dereference
    (bsc#1012628).
  - media: stm32-csi: Fix dereference before NULL check
    (bsc#1012628).
  - media: iris: Fix memory leak by freeing untracked persist buffer
    (bsc#1012628).
  - wifi: ath11k: fix NULL dereference in ath11k_qmi_m3_load()
    (bsc#1012628).
  - mm: swap: check for stable address space before operating on
    the VMA (bsc#1012628).
  - media: uvcvideo: Mark invalid entities with id
    UVC_INVALID_ENTITY_ID (bsc#1012628).
  - media: rc: fix races with imon_disconnect() (bsc#1012628).
  - media: tuner: xc5000: Fix use-after-free in xc5000_release
    (bsc#1012628).
  - media: i2c: tc358743: Fix use-after-free bugs caused by orphan
    timer in probe (bsc#1012628).
  - media: b2c2: Fix use-after-free causing by irq_check_work in
    flexcop_pci_remove (bsc#1012628).
  - wifi: rtw89: fix use-after-free in
    rtw89_core_tx_kick_off_and_wait() (bsc#1012628).
  - ALSA: usb-audio: fix race condition to UAF in snd_usbmidi_free
    (bsc#1012628).
  - scsi: target: target_core_configfs: Add length check to avoid
    buffer overflow (bsc#1012628).
  - gcc-plugins: Remove TODO_verify_il for GCC >= 16 (bsc#1012628).
  - blk-mq: fix blk_mq_tags double free while nr_requests grown
    (bsc#1012628).
  - commit 616b697
* Mon Oct 06 2025 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream references and move into sorted section:
    - patches.suse/docs-kdoc-handle-the-obsolescensce-of-docutils.Error.patch
  - commit 8637626
* Mon Oct 06 2025 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream reference and resort:
    - patches.suse/net-cadence-macb-Add-support-for-Raspberry-Pi-RP1-et.patch
  - commit 516ac1b
* Sat Oct 04 2025 tiwai@suse.de
  - rpm/check-for-config-changes: ignore CONFIG_SCHED_PROXY_EXEC, too (bsc#1250946)
    CONFIG_SCHED_PROXY_EXEC is set only when the debug is off, exclusive
    to CONFIG_SCHED_CLASS_EXT.
  - commit ac06fa9
* Thu Oct 02 2025 mkubecek@suse.cz
  - config.conf: whitespace cleanup
    Remove some extra spaces that got into the file recently.
  - commit 8f8ab1c
* Thu Oct 02 2025 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream references and resort
    - patches.suse/clk-rp1-Implement-remaining-clock-tree.patch
    - patches.suse/dt-bindings-clock-rp1-Add-missing-MIPI-DSI-defines.patch
    - patches.suse/pinctrl-bcm-Add-STB-family-pin-controller-driver.patch
    - patches.suse/pinctrl-rp1-Add-regmap-ranges-to-RP1-gpio-controller.patch
  - commit 43c6ff0
* Thu Oct 02 2025 jslaby@suse.cz
  - Revert "crypto: testmgr - desupport SHA-1 for FIPS 140"
    (bsc#1250804).
  - commit c7e6c64
* Mon Sep 29 2025 jslaby@suse.cz
  - docs: kdoc: handle the obsolescensce of docutils.ErrorString()
    (bsc#1250507).
  - commit 6ec5a94
* Mon Sep 29 2025 jslaby@suse.cz
  - Update
    patches.kernel.org/6.16.8-120-tty-hvc_console-Call-hvc_kick-in-hvc_write-unc.patch
    (bsc#1012628 bsc#1230062).
    Add a bug reference.
  - commit 19ace99
* Mon Sep 29 2025 mkubecek@suse.cz
  - update to 6.17 final
  - update configs
    - i386 (copied from x86_64)
    - DEBUG_WX=y
    - PTDUMP_DEBUGFS=n
    - HID_LENOVO=m (ppc64le, armv7hl, armv6hl)
  - commit 50583f4
* Fri Sep 26 2025 msuchanek@suse.de
  - kernel-source.spec: Depend on python3-base for build
    Both kernel-binary and kernel-docs already have this dependency.
    Adding it to kernel-source makes it possible to use python in shared
    build scripts.
  - commit 72fdedd
* Fri Sep 26 2025 msuchanek@suse.de
  - kernel-source: Do not list mkspec and its inputs as sources
    (bsc#1250522).
    This excludes the files from the src.rpm. The next step is to remove
    these files in tar-up so that they do not get uploaded to OBS either.
    As there is only one version of tar-up these files need to be removed
    from all kernels.
  - commit e72b8a2
* Fri Sep 26 2025 jslaby@suse.cz
  - crypto: af_alg - Fix incorrect boolean values in af_alg_ctx
    (git-fixes).
  - commit 8d37b5f
* Fri Sep 26 2025 jslaby@suse.cz
  - Linux 6.16.9 (bsc#1012628).
  - cgroup: split cgroup_destroy_wq into 3 workqueues (bsc#1012628).
  - btrfs: fix invalid extref key setup when replaying dentry
    (bsc#1012628).
  - btrfs: zoned: fix incorrect ASSERT in
    btrfs_zoned_reserve_data_reloc_bg() (bsc#1012628).
  - perf maps: Ensure kmap is set up for all inserts (bsc#1012628).
  - wifi: wilc1000: avoid buffer overflow in WID string
    configuration (bsc#1012628).
  - nvme: fix PI insert on write (bsc#1012628).
  - ALSA: firewire-motu: drop EPOLLOUT from poll return values as
    write is not supported (bsc#1012628).
  - wifi: mt76: do not add non-sta wcid entries to the poll list
    (bsc#1012628).
  - wifi: mac80211: increase scan_ies_len for S1G (bsc#1012628).
  - wifi: mac80211: fix incorrect type for ret (bsc#1012628).
  - pcmcia: omap_cf: Mark driver struct with __refdata to prevent
    section mismatch (bsc#1012628).
  - smb: server: let smb_direct_writev() respect
    SMB_DIRECT_MAX_SEND_SGES (bsc#1012628).
  - um: virtio_uml: Fix use-after-free after put_device in probe
    (bsc#1012628).
  - um: Fix FD copy size in os_rcv_fd_msg() (bsc#1012628).
  - net/mlx5: Not returning mlx5_link_info table when speed is
    unknown (bsc#1012628).
  - dpaa2-switch: fix buffer pool seeding for control traffic
    (bsc#1012628).
  - net/tcp: Fix a NULL pointer dereference when using TCP-AO with
    TCP_REPAIR (bsc#1012628).
  - dpll: fix clock quality level reporting (bsc#1012628).
  - rxrpc: Fix unhandled errors in rxgk_verify_packet_integrity()
    (bsc#1012628).
  - rxrpc: Fix untrusted unsigned subtract (bsc#1012628).
  - octeon_ep: Validate the VF ID (bsc#1012628).
  - qed: Don't collect too many protection override GRC elements
    (bsc#1012628).
  - net: dst_metadata: fix IP_DF bit not extracted from tunnel
    headers (bsc#1012628).
  - bonding: set random address only when slaves already exist
    (bsc#1012628).
  - mptcp: set remote_deny_join_id0 on SYN recv (bsc#1012628).
  - selftests: mptcp: userspace pm: validate deny-join-id0 flag
    (bsc#1012628).
  - mptcp: tfo: record 'deny join id0' info (bsc#1012628).
  - selftests: mptcp: sockopt: fix error messages (bsc#1012628).
  - net: natsemi: fix `rx_dropped` double accounting on `netif_rx()`
    failure (bsc#1012628).
  - ice: fix Rx page leak on multi-buffer frames (bsc#1012628).
  - i40e: remove redundant memory barrier when cleaning Tx descs
    (bsc#1012628).
  - ixgbe: initialize aci.lock before it's used (bsc#1012628).
  - ixgbe: destroy aci.lock later within ixgbe_remove path
    (bsc#1012628).
  - igc: don't fail igc_probe() on LED setup error (bsc#1012628).
  - doc/netlink: Fix typos in operation attributes (bsc#1012628).
  - net/mlx5e: Harden uplink netdev access against device unbind
    (bsc#1012628).
  - net/mlx5e: Add a miss level for ipsec crypto offload
    (bsc#1012628).
  - bonding: don't set oif to bond dev when getting NS target
    destination (bsc#1012628).
  - octeon_ep: fix VF MAC address lifecycle handling (bsc#1012628).
  - tcp: Clear tcp_sk(sk)->fastopen_rsk in tcp_disconnect()
    (bsc#1012628).
  - tls: make sure to abort the stream if headers are bogus
    (bsc#1012628).
  - Revert "net/mlx5e: Update and set Xon/Xoff upon port speed set"
    (bsc#1012628).
  - net: clear sk->sk_ino in sk_set_socket(sk, NULL) (bsc#1012628).
  - net: liquidio: fix overflow in octeon_init_instr_queue()
    (bsc#1012628).
  - cnic: Fix use-after-free bugs in cnic_delete_task (bsc#1012628).
  - octeontx2-pf: Fix use-after-free bugs in otx2_sync_tstamp()
    (bsc#1012628).
  - ksmbd: smbdirect: validate data_offset and data_length field
    of smb_direct_data_transfer (bsc#1012628).
  - ksmbd: smbdirect: verify remaining_data_length respects
    max_fragmented_recv_size (bsc#1012628).
  - zram: fix slot write race condition (bsc#1012628).
  - nilfs2: fix CFI failure when accessing /sys/fs/nilfs2/features/*
    (bsc#1012628).
  - crypto: af_alg - Disallow concurrent writes in af_alg_sendmsg
    (bsc#1012628).
  - power: supply: bq27xxx: fix error return in case of no bq27000
    hdq battery (bsc#1012628).
  - power: supply: bq27xxx: restrict no-battery detection to bq27000
    (bsc#1012628).
  - Revert "sched_ext: Skip per-CPU tasks in
    scx_bpf_reenqueue_local()" (bsc#1012628).
  - btrfs: initialize inode::file_extent_tree after i_mode has
    been set (bsc#1012628).
  - dm-raid: don't set io_min and io_opt for raid1 (bsc#1012628).
  - dm-stripe: fix a possible integer overflow (bsc#1012628).
  - mm/gup: check ref_count instead of lru before migration
    (bsc#1012628).
  - mm: revert "mm/gup: clear the LRU flag of a page before adding
    to LRU batch" (bsc#1012628).
  - gup: optimize longterm pin_user_pages() for large folio
    (bsc#1012628).
  - mm/gup: local lru_add_drain() to avoid lru_add_drain_all()
    (bsc#1012628).
  - mm: revert "mm: vmscan.c: fix OOM on swap stress test"
    (bsc#1012628).
  - mm: folio_may_be_lru_cached() unless folio_test_large()
    (bsc#1012628).
  - LoongArch: Update help info of ARCH_STRICT_ALIGN (bsc#1012628).
  - objtool/LoongArch: Mark types based on break immediate code
    (bsc#1012628).
  - objtool/LoongArch: Mark special atomic instruction as INSN_BUG
    type (bsc#1012628).
  - LoongArch: Fix unreliable stack for live patching (bsc#1012628).
  - LoongArch: vDSO: Check kcalloc() result in init_vdso()
    (bsc#1012628).
  - LoongArch: Align ACPI structures if ARCH_STRICT_ALIGN enabled
    (bsc#1012628).
  - LoongArch: Check the return value when creating kobj
    (bsc#1012628).
  - LoongArch: Make LTO case independent in Makefile (bsc#1012628).
  - LoongArch: Handle jump tables options for RUST (bsc#1012628).
  - LoongArch: KVM: Avoid copy_*_user() with lock hold in
    kvm_eiointc_ctrl_access() (bsc#1012628).
  - LoongArch: KVM: Avoid copy_*_user() with lock hold in
    kvm_eiointc_regs_access() (bsc#1012628).
  - LoongArch: KVM: Avoid copy_*_user() with lock hold in
    kvm_eiointc_sw_status_access() (bsc#1012628).
  - LoongArch: KVM: Avoid copy_*_user() with lock hold in
    kvm_pch_pic_regs_access() (bsc#1012628).
  - LoongArch: KVM: Fix VM migration failure with PTW enabled
    (bsc#1012628).
  - iommu/vt-d: Fix __domain_mapping()'s usage of
    switch_to_super_page() (bsc#1012628).
  - iommu/amd: Fix ivrs_base memleak in early_amd_iommu_init()
    (bsc#1012628).
  - iommu/amd/pgtbl: Fix possible race while increase page table
    level (bsc#1012628).
  - iommu/s390: Fix memory corruption when using identity domain
    (bsc#1012628).
  - iommu/s390: Make attach succeed when the device was surprise
    removed (bsc#1012628).
  - btrfs: tree-checker: fix the incorrect inode ref size check
    (bsc#1012628).
  - ASoC: qcom: audioreach: Fix lpaif_type configuration for the
    I2S interface (bsc#1012628).
  - ASoC: qcom: q6apm-lpass-dais: Fix NULL pointer dereference if
    source graph failed (bsc#1012628).
  - ASoC: qcom: q6apm-lpass-dais: Fix missing set_fmt DAI op for
    I2S (bsc#1012628).
  - mmc: mvsdio: Fix dma_unmap_sg() nents value (bsc#1012628).
  - mmc: sdhci: Move the code related to setting the clock from
    sdhci_set_ios_common() into sdhci_set_ios() (bsc#1012628).
  - mmc: sdhci-pci-gli: GL9767: Fix initializing the UHS-II
    interface during a power-on (bsc#1012628).
  - mmc: sdhci-uhs2: Fix calling incorrect sdhci_set_clock()
    function (bsc#1012628).
  - x86/sev: Guard sev_evict_cache() with CONFIG_AMD_MEM_ENCRYPT
    (bsc#1012628).
  - KVM: SVM: Sync TPR from LAPIC into VMCB::V_TPR even if AVIC
    is active (bsc#1012628).
  - drm/amdkfd: add proper handling for S0ix (bsc#1012628).
  - drm/amdgpu: suspend KFD and KGD user queues for S0ix
    (bsc#1012628).
  - drm/amd/display: Allow RX6xxx & RX7700 to invoke
    amdgpu_irq_get/put (bsc#1012628).
  - drm/amd: Only restore cached manual clock settings in restore
    if OD enabled (bsc#1012628).
  - io_uring/io-wq: fix `max_workers` breakage and `nr_workers`
    underflow (bsc#1012628).
  - io_uring: include dying ring in task_work "should cancel"
    state (bsc#1012628).
  - net: rfkill: gpio: Fix crash due to dereferencering
    uninitialized pointer (bsc#1012628).
  - gpiolib: acpi: initialize acpi_gpio_info struct (bsc#1012628).
  - crypto: ccp - Always pass in an error pointer to
    __sev_platform_shutdown_locked() (bsc#1012628).
  - rds: ib: Increment i_fastreg_wrs before bailing out
    (bsc#1012628).
  - mptcp: propagate shutdown to subflows when possible
    (bsc#1012628).
  - selftests: mptcp: connect: catch IO errors on listen side
    (bsc#1012628).
  - selftests: mptcp: avoid spurious errors on TCP disconnect
    (bsc#1012628).
  - ALSA: hda/realtek: Fix mute led for HP Laptop 15-dw4xx
    (bsc#1012628).
  - ASoC: wm8940: Correct PLL rate rounding (bsc#1012628).
  - ASoC: wm8940: Correct typo in control name (bsc#1012628).
  - ASoC: wm8974: Correct PLL rate rounding (bsc#1012628).
  - ASoC: codec: sma1307: Fix memory corruption in
    sma1307_setting_loaded() (bsc#1012628).
  - ASoC: SOF: Intel: hda-stream: Fix incorrect variable used in
    error message (bsc#1012628).
  - ASoC: SDCA: Fix return value in sdca_regmap_mbq_size()
    (bsc#1012628).
  - ASoC: Intel: catpt: Expose correct bit depth to userspace
    (bsc#1012628).
  - iommu/amd: Fix alias device DTE setting (bsc#1012628).
  - ASoC: amd: acp: Fix incorrect retrival of acp_chip_info
    (bsc#1012628).
  - drm/xe/tile: Release kobject for the failure path (bsc#1012628).
  - drm/xe/pf: Drop rounddown_pow_of_two fair LMEM limitation
    (bsc#1012628).
  - drm: bridge: anx7625: Fix NULL pointer dereference with early
    IRQ (bsc#1012628).
  - drm: bridge: cdns-mhdp8546: Fix missing mutex unlock on error
    path (bsc#1012628).
  - drm/xe: Fix a NULL vs IS_ERR() in xe_vm_add_compute_exec_queue()
    (bsc#1012628).
  - ALSA: usb: qcom: Fix false-positive address space check
    (bsc#1012628).
  - drm/xe: Fix error handling if PXP fails to start (bsc#1012628).
  - drm/xe/guc: Enable extended CAT error reporting (bsc#1012628).
  - drm/xe/guc: Set RCS/CCS yield policy (bsc#1012628).
  - smb: smbdirect: introduce smbdirect_socket.recv_io.expected
    (bsc#1012628).
  - smb: client: make use of smbdirect_socket->recv_io.expected
    (bsc#1012628).
  - smb: smbdirect: introduce struct smbdirect_recv_io
    (bsc#1012628).
  - smb: client: make use of struct smbdirect_recv_io (bsc#1012628).
  - smb: client: let recv_done verify data_offset, data_length
    and remaining_data_length (bsc#1012628).
  - smb: client: fix filename matching of deferred files
    (bsc#1012628).
  - smb: client: use disable[_delayed]_work_sync in smbdirect.c
    (bsc#1012628).
  - smb: client: let smbd_destroy() call
    disable_work_sync(&info->post_send_credits_work) (bsc#1012628).
  - crypto: af_alg - Set merge to zero early in af_alg_sendmsg
    (bsc#1012628).
  - io_uring/msg_ring: kill alloc_cache for io_kiocb allocations
    (bsc#1012628).
  - smb: client: fix file open check in __cifs_unlink()
    (bsc#1012628).
  - smb: client: fix smbdirect_recv_io leak in smbd_negotiate()
    error path (bsc#1012628).
  - io_uring: fix incorrect io_kiocb reference in io_link_skb
    (bsc#1012628).
  - platform/x86: asus-wmi: Fix ROG button mapping, tablet mode
    on ASUS ROG Z13 (bsc#1012628).
  - platform/x86: asus-wmi: Re-add extra keys to ignore_key_wlan
    quirk (bsc#1012628).
  - mptcp: pm: nl: announce deny-join-id0 flag (bsc#1012628).
  - dt-bindings: serial: 8250: allow clock 'uartclk' and 'reg'
    for nxp,lpc1850-uart (bsc#1012628).
  - dt-bindings: serial: 8250: spacemit: set clocks property as
    required (bsc#1012628).
  - dt-bindings: serial: 8250: move a constraint (bsc#1012628).
  - samples/damon/prcl: fix boot time enable crash (bsc#1012628).
  - samples/damon: change enable parameters to enabled
    (bsc#1012628).
  - samples/damon/mtier: avoid starting DAMON before initialization
    (bsc#1012628).
  - clk: sunxi-ng: mp: Fix dual-divider clock rate readback
    (bsc#1012628).
  - samples/damon/prcl: avoid starting DAMON before initialization
    (bsc#1012628).
  - Rename to
    patches.kernel.org/6.16.9-084-ASoC-SDCA-Add-quirk-for-incorrect-function-typ.patch.
  - commit df69901
* Fri Sep 26 2025 jslaby@suse.cz
  - Refresh
    patches.suse/ALSA-hda-realtek-Add-ALC295-Dell-TAS2781-I2C-fixup.patch.
  - Refresh
    patches.suse/ASoC-SDCA-Add-quirk-for-incorrect-function-types-for.patch.
    Update upstream status & move to sorted section.
  - commit f879311
* Thu Sep 25 2025 msuchanek@suse.de
  - rpm: Link arch-symbols script from scripts directory.
  - commit 90b2abb
* Thu Sep 25 2025 mbrugger@suse.com
  - Update config files for armv7hl and armv6hl
  - commit bac86b0
* Wed Sep 24 2025 msuchanek@suse.de
  - rpm: Link guards script from scripts directory.
  - commit e19a893
* Tue Sep 23 2025 mbrugger@suse.com
  - arm64: Update config files.
    CONFIG_NGBEVF=m
    CONFIG_SM_DISPCC_MILOS=m
    CONFIG_DRM_MSM_KMS_FBDEV=y
    CONFIG_SND_HDA_CODEC_HDMI_ATI=m
    CONFIG_ZL3073X=m
    CONFIG_PCI_ENDPOINT_MSI_DOORBELL=y
    CONFIG_EPROBE_EVENTS=y
    CONFIG_BCM74110_MAILBOX=m
    CONFIG_SND_HDA_CODEC_ALC861VD=m
    CONFIG_RMI4_F1A=y
    [#] CONFIG_SND_SOC_MIKROE_PROTO is not set
    CONFIG_SND_HDA_CODEC_ALC680=m
    CONFIG_SPI_SG2044_NOR=m
    CONFIG_SND_SOC_RTQ9124=m
    CONFIG_NETFILTER_XTABLES_LEGACY=y
    CONFIG_SERIAL_RSCI=m
    CONFIG_TXGBEVF=m
    CONFIG_CRYPTO_LIB_SHA256_ARCH=y
    [#] CONFIG_KSTACK_ERASE is not set
    CONFIG_ARM_GIC_V5=y
    CONFIG_POWER_RESET_MACSMC=m
    CONFIG_USB_EHCI_MV=m
    CONFIG_FUTEX_PRIVATE_HASH=y
    CONFIG_CRYPTO_LIB_SHA512_ARCH=y
    CONFIG_VHOST_ENABLE_FORK_OWNER_CONTROL=y
    [#] CONFIG_DAMON_STAT is not set
    CONFIG_MTD_INTEL_DG=m
    [#] CONFIG_IO_URING_MOCK_FILE is not set
    CONFIG_VIDEO_CAMERA_LENS=y
    CONFIG_SOPHGO_SG2044_TOPSYS=m
    CONFIG_CLK_SOPHGO_SG2044=m
    CONFIG_PINCTRL_BRCMSTB=m
    CONFIG_LIBIE_ADMINQ=m
    CONFIG_BATTERY_OLPC=m
    CONFIG_SENSORS_ADP1050_REGULATOR=y
    CONFIG_SOPHGO_CV1800B_ADC=m
    CONFIG_SENSORS_SG2042_MCU=m
    CONFIG_CLK_R9A09G087=y
    CONFIG_RENESAS_I3C=m
    CONFIG_ARM_TEGRA124_CPUFREQ=m
    CONFIG_SERIAL_8250_PXA=m
    CONFIG_PXA_DMA=y
    CONFIG_QCS_CAMCC_615=m
    CONFIG_MMC_SDHCI_PXAV2=m
    CONFIG_SND_HDA_CODEC_HDMI_INTEL=m
    CONFIG_KEYBOARD_ADP5585=m
    CONFIG_SND_HDA_CODEC_HDMI_NVIDIA=m
    CONFIG_ARCH_CIX=y
    CONFIG_IMX_AIPSTZ=m
    CONFIG_CRYPTO_LIB_SHA512=y
    CONFIG_SPI_PXA2XX_PCI=m
    CONFIG_GPIO_PXA=y
    CONFIG_AD4170_4=m
    CONFIG_ARCH_MMP=y
    [#] CONFIG_SUNDANCE_MMIO is not set
    CONFIG_PWM_SOPHGO_SG2042=m
    CONFIG_CLK_R9A09G077=y
    CONFIG_BNGE=m
    CONFIG_HAVE_ARCH_KSTACK_ERASE=y
    CONFIG_SND_HDA_CODEC_HDMI_GENERIC=m
    CONFIG_QCS_VIDEOCC_615=m
    CONFIG_SOPHGO_CV1800B_DMAMUX=m
    CONFIG_SND_HDA_CODEC_ALC262=m
    CONFIG_CLK_SOPHGO_SG2044_PLL=m
    CONFIG_SPI_RZV2H_RSPI=m
    [#] CONFIG_DAMON_STAT_ENABLED_DEFAULT is not set
    CONFIG_IIO_CROS_EC_ACTIVITY=m
    CONFIG_SND_HDA_CODEC_REALTEK_LIB=m
    CONFIG_PHY_PACKAGE=m
    CONFIG_PINCTRL_SOPHGO_COMMON=m
    [#] CONFIG_RUSTC_HAS_FILE_WITH_NUL is not set
    CONFIG_PINCTRL_SOPHGO_SG2000=m
    CONFIG_ZL3073X_SPI=m
    CONFIG_CRYPTO_LIB_SHA1_ARCH=y
    CONFIG_SND_HDA_CODEC_ALC880=m
    CONFIG_REGULATOR_RASPBERRYPI_TOUCHSCREEN_V2=m
    CONFIG_OLPC_EC=y
    CONFIG_OLPC_XO175=y
    CONFIG_OLPC_XO175_EC=m
    CONFIG_POSIX_AUX_CLOCKS=y
    CONFIG_PINCTRL_SOPHGO_SG2042=m
    CONFIG_SPI_OFFLOAD_TRIGGER_ADI_UTIL_SD=m
    CONFIG_QCS_GPUCC_615=m
    CONFIG_LIVEPATCH_IPA_CLONES=y
    CONFIG_RMI4_F21=y
    CONFIG_SENSORS_MC33XS2410=m
    CONFIG_SM_GCC_MILOS=m
    CONFIG_CLK_SOPHGO_SG2042_PLL=m
    CONFIG_SM_CAMCC_MILOS=m
    CONFIG_SND_HDA_CODEC_HDMI_NVIDIA_MCP=m
    CONFIG_DRM_MSM_KMS=y
    CONFIG_ARM_GIC_ITS_PARENT=y
    CONFIG_SND_HDA_CODEC_HDMI_SIMPLE=m
    CONFIG_GPIO_MACSMC=m
    CONFIG_SERIO_OLPC_APSP=m
    CONFIG_PXA_SSP=m
    CONFIG_RTC_DRV_SA1100=m
    CONFIG_TRACEFS_AUTOMOUNT_DEPRECATED=y
    CONFIG_D3323AA=m
    CONFIG_CLK_SOPHGO_SG2042_CLKGEN=m
    CONFIG_HAVE_LIVEPATCH=y
    CONFIG_ZL3073X_I2C=m
    CONFIG_HAVE_EXTRA_IPI_TRACEPOINTS=y
    CONFIG_SC_CAMCC_8180X=m
    CONFIG_SND_PXA910_SOC=m
    CONFIG_DRM_XE_PAGEMAP=y
    CONFIG_PINCTRL_MILOS=m
    CONFIG_PCIE_SOPHGO_DW=y
    CONFIG_SM_VIDEOCC_6350=m
    CONFIG_SUNDANCE=m
    CONFIG_PWM_ARGON_FAN_HAT=m
    CONFIG_SND_MMP_SOC_SSPA=m
    CONFIG_LIVEPATCH=y
    CONFIG_SM_VIDEOCC_MILOS=m
    CONFIG_PAGE_BLOCK_MAX_ORDER=10
    CONFIG_ARM_HISI_UNCORE_DEVFREQ=m
    CONFIG_SND_HDA_CODEC_HDMI_TEGRA=m
    CONFIG_CC_HAS_SANCOV_STACK_DEPTH_CALLBACK=y
    CONFIG_INTERCONNECT_QCOM_MILOS=m
    CONFIG_ARCH_AXIADO=y
    CONFIG_QCOM_UBWC_CONFIG=m
    CONFIG_CLK_SOPHGO_CV1800=m
    CONFIG_SPI_PXA2XX=m
    CONFIG_SND_HDA_CODEC_ALC268=m
    CONFIG_PINCTRL_SOPHGO_CV1800B=m
    CONFIG_SOPHGO_SG2042_MSI=y
    CONFIG_ARCH_TEGRA_264_SOC=y
    CONFIG_DRM_DISPLAY_HDMI_CEC_HELPER=y
    CONFIG_PINCTRL_SOPHGO_SG2042_OPS=y
    CONFIG_PWM_PXA=m
    [#] CONFIG_NFT_EXTHDR_DCCP is not set
    CONFIG_ARM64_BRBE=y
    CONFIG_DRM_PANEL_RENESAS_R61307=m
    CONFIG_SOPHGO_CV1800_RTCSYS=m
    CONFIG_SUN55I_PCK600=m
    CONFIG_SM_GPUCC_MILOS=m
    CONFIG_ARCH_SOPHGO=y
    CONFIG_ARCH_R9A09G087=y
    CONFIG_GROUP_SCHED_BANDWIDTH=y
    CONFIG_SPI_AMLOGIC_SPISG=m
    CONFIG_PINCTRL_BCM2712=m
    [#] CONFIG_RUSTC_HAS_FILE_AS_C_STR is not set
    CONFIG_DWMAC_SOPHGO=m
    CONFIG_KEYBOARD_PXA27x=m
    [#] CONFIG_SCHED_PROXY_EXEC is not set
    CONFIG_QCS_DISPCC_615=m
    CONFIG_HAVE_RELIABLE_STACKTRACE=y
    CONFIG_MMP_PDMA=m
    CONFIG_PHY_PXA_USB=m
    CONFIG_RTW89_8851BU=m
    [#] CONFIG_SERIAL_PXA is not set
    CONFIG_AD7405=m
    CONFIG_SND_HDA_CODEC_ALC861=m
    CONFIG_PINCTRL_SOPHGO_CV18XX_OPS=y
    CONFIG_PINCTRL_MT8189=y
    CONFIG_PINCTRL_SOPHGO_SG2002=m
    CONFIG_ARCH_R9A09G077=y
    CONFIG_SND_HDA_CODEC_CS421X=m
    CONFIG_SND_HDA_CODEC_ALC882=m
    CONFIG_OVMF_DEBUG_LOG=y
    CONFIG_DRM_PANEL_HIMAX_HX83112B=m
    CONFIG_SND_HDA_CODEC_ALC662=m
    CONFIG_MMP_TDMA=m
    CONFIG_DRM_DISPLAY_HDMI_CEC_NOTIFIER_HELPER=y
    CONFIG_PINCTRL_SOPHGO_SG2044=m
    CONFIG_AD4080=m
    CONFIG_NET_DSA_TAG_BRCM_LEGACY_FCS=m
    CONFIG_SND_HDA_CODEC_ALC269=m
    CONFIG_RTC_DRV_CV1800=m
    CONFIG_DRM_IMX8_DC=m
    CONFIG_CV1800_MBOX=m
    CONFIG_SND_HDA_CODEC_ALC260=m
    CONFIG_SND_HDA_CODEC_CM9825=m
    CONFIG_VIDEO_MMP_CAMERA=m
    CONFIG_PINCTRL_STM32_HDP=m
    CONFIG_SND_HDA_CODEC_CS420X=m
    CONFIG_CIX_MBOX=m
    CONFIG_MFD_MACSMC=m
    CONFIG_PINCTRL_SOPHGO_CV1812H=m
    CONFIG_GPIO_SYSFS_LEGACY=y
    CONFIG_MDIO_AIROHA=m
    CONFIG_CLK_SOPHGO_SG2042_RPGATE=m
    CONFIG_DRM_PANEL_RENESAS_R69328=m
    CONFIG_PHY_QCOM_M31_EUSB=m
    CONFIG_RTW89_8852BU=m
    CONFIG_NET_SCH_DUALPI2=m
    CONFIG_GPIOLIB_LEGACY=y
    CONFIG_RTW89_USB=m
  - commit c8a876c
* Mon Sep 22 2025 mkubecek@suse.cz
  - update to 6.17-rc7
  - refresh configs
  - commit dba68fc
* Fri Sep 19 2025 jslaby@suse.cz
  - wifi: iwlwifi: pcie: fix byte count table for some devices
    (git-fixes).
  - commit 051c8a7
* Fri Sep 19 2025 jslaby@suse.cz
  - Linux 6.16.8 (bsc#1012628).
  - fs: add a FMODE_ flag to indicate IOCB_HAS_METADATA availability
    (bsc#1012628).
  - block: don't silently ignore metadata for sync read/write
    (bsc#1012628).
  - coredump: don't pointlessly check and spew warnings
    (bsc#1012628).
  - fuse: Block access to folio overlimit (bsc#1012628).
  - fhandle: use more consistent rules for decoding file handle
    from userns (bsc#1012628).
  - dma-debug: don't enforce dma mapping check on noncoherent
    allocations (bsc#1012628).
  - perf: Fix the POLL_HUP delivery breakage (bsc#1012628).
  - irqchip/mvebu-gicp: Fix an IS_ERR() vs NULL check in probe()
    (bsc#1012628).
  - iommu/vt-d: Split intel_iommu_domain_alloc_paging_flags()
    (bsc#1012628).
  - iommu/vt-d: Create unique domain ops for each stage
    (bsc#1012628).
  - iommu/vt-d: Split paging_domain_compatible() (bsc#1012628).
  - iommu/vt-d: Make iotlb_sync_map a static property of dmar_domain
    (bsc#1012628).
  - Revert "drm/amdgpu: Add more checks to PSP mailbox"
    (bsc#1012628).
  - flexfiles/pNFS: fix NULL checks on result of
    ff_layout_choose_ds_for_read (bsc#1012628).
  - SUNRPC: call xs_sock_process_cmsg for all cmsg (bsc#1012628).
  - NFSv4: Don't clear capabilities that won't be reset
    (bsc#1012628).
  - trace/fgraph: Fix error handling (bsc#1012628).
  - NFSv4: Clear the NFS_CAP_FS_LOCATIONS flag if it is not set
    (bsc#1012628).
  - NFSv4: Clear NFS_CAP_OPEN_XOR and NFS_CAP_DELEGTIME if not
    supported (bsc#1012628).
  - NFSv4: Clear the NFS_CAP_XATTR flag if not supported by the
    server (bsc#1012628).
  - tracing: Fix tracing_marker may trigger page fault during
    preempt_disable (bsc#1012628).
  - nfs/localio: restore creds before releasing pageio data
    (bsc#1012628).
  - ftrace/samples: Fix function size computation (bsc#1012628).
  - tracing/osnoise: Fix null-ptr-deref in bitmap_parselist()
    (bsc#1012628).
  - NFS: Serialise O_DIRECT i/o and truncate() (bsc#1012628).
  - NFSv4.2: Serialise O_DIRECT i/o and fallocate() (bsc#1012628).
  - NFSv4.2: Serialise O_DIRECT i/o and clone range (bsc#1012628).
  - NFSv4.2: Serialise O_DIRECT i/o and copy range (bsc#1012628).
  - NFS: nfs_invalidate_folio() must observe the offset and size
    arguments (bsc#1012628).
  - NFSv4/flexfiles: Fix layout merge mirror check (bsc#1012628).
  - tracing: Silence warning when chunk allocation fails in
    trace_pid_write (bsc#1012628).
  - bpf, cpumap: Disable page_pool direct xdp_return need larger
    scope (bsc#1012628).
  - cpufreq/amd-pstate: Fix setting of CPPC.min_perf in active
    mode for performance governor (bsc#1012628).
  - s390/pai: Deny all events not handled by this PMU (bsc#1012628).
  - s390/cpum_cf: Deny all sampling events by counter PMU
    (bsc#1012628).
  - cpufreq/amd-pstate: Fix a regression leading to EPP 0 after
    resume (bsc#1012628).
  - bpf: Fix out-of-bounds dynptr write in bpf_crypto_crypt
    (bsc#1012628).
  - xsk: Fix immature cq descriptor production (bsc#1012628).
  - rqspinlock: Choose trylock fallback for NMI waiters
    (bsc#1012628).
  - bpf: Allow fall back to interpreter for programs with stack
    size <= 512 (bsc#1012628).
  - bpf: Tell memcg to use allow_spinning=false path in
    bpf_timer_init() (bsc#1012628).
  - tcp_bpf: Call sk_msg_free() when tcp_bpf_send_verdict() fails
    to allocate psock->cork (bsc#1012628).
  - EDAC/altera: Delete an inappropriate dma_free_coherent() call
    (bsc#1012628).
  - i2c: rtl9300: fix channel number bound check (bsc#1012628).
  - Revert "SUNRPC: Don't allow waiting for exiting tasks"
    (bsc#1012628).
  - compiler-clang.h: define __SANITIZE_*__ macros only when
    undefined (bsc#1012628).
  - arm64: kexec: initialize kexec_buf struct in
    load_other_segments() (bsc#1012628).
  - mptcp: sockopt: make sync_socket_options propagate SOCK_KEEPOPEN
    (bsc#1012628).
  - doc: mptcp: net.mptcp.pm_type is deprecated (bsc#1012628).
  - netlink: specs: mptcp: fix if-idx attribute type (bsc#1012628).
  - ocfs2: fix recursive semaphore deadlock in fiemap call
    (bsc#1012628).
  - btrfs: fix squota compressed stats leak (bsc#1012628).
  - btrfs: fix subvolume deletion lockup caused by inodes xarray
    race (bsc#1012628).
  - i2c: i801: Hide Intel Birch Stream SoC TCO WDT (bsc#1012628).
  - i2c: rtl9300: ensure data length is within supported range
    (bsc#1012628).
  - i2c: rtl9300: remove broken SMBus Quick operation support
    (bsc#1012628).
  - net: libwx: fix to enable RSS (bsc#1012628).
  - net: usb: asix: ax88772: drop phylink use in PM to avoid MDIO
    runtime PM wakeups (bsc#1012628).
  - PM: EM: Add function for registering a PD without capacity
    update (bsc#1012628).
  - PM: hibernate: Restrict GFP mask in hibernation_snapshot()
    (bsc#1012628).
  - wifi: iwlwifi: fix 130/1030 configs (bsc#1012628).
  - s390: kexec: initialize kexec_buf struct (bsc#1012628).
  - smb: client: fix compound alignment with encryption
    (bsc#1012628).
  - smb: client: fix data loss due to broken rename(2)
    (bsc#1012628).
  - mtd: nand: raw: atmel: Respect tAR, tCLR in read setup timing
    (bsc#1012628).
  - mtd: rawnand: stm32_fmc2: avoid overlapping mappings on ECC
    buffer (bsc#1012628).
  - mtd: rawnand: stm32_fmc2: fix ECC overwrite (bsc#1012628).
  - fuse: do not allow mapping a non-regular backing file
    (bsc#1012628).
  - fuse: check if copy_file_range() returns larger than requested
    size (bsc#1012628).
  - fuse: prevent overflow in copy_file_range return value
    (bsc#1012628).
  - mm/hugetlb: add missing hugetlb_lock in __unmap_hugepage_range()
    (bsc#1012628).
  - mm/khugepaged: fix the address passed to notifier on testing
    young (bsc#1012628).
  - mm/vmalloc, mm/kasan: respect gfp mask in
    kasan_populate_vmalloc() (bsc#1012628).
  - mm/memory-failure: fix VM_BUG_ON_PAGE(PagePoisoned(page))
    when unpoison memory (bsc#1012628).
  - mm/memory-failure: fix redundant updates for already poisoned
    pages (bsc#1012628).
  - mm/damon/core: set quota->charged_from to jiffies at first
    charge window (bsc#1012628).
  - mm/damon/lru_sort: avoid divide-by-zero in
    damon_lru_sort_apply_parameters() (bsc#1012628).
  - drm/mediatek: fix potential OF node use-after-free
    (bsc#1012628).
  - drm/i915/power: fix size for for_each_set_bit() in abox
    iteration (bsc#1012628).
  - drm/xe: Attempt to bring bos back to VRAM after eviction
    (bsc#1012628).
  - drm/xe: Allow the pm notifier to continue on failure
    (bsc#1012628).
  - drm/xe: Block exec and rebind worker while evicting for suspend
    / hibernate (bsc#1012628).
  - drm/amdgpu: fix a memory leak in fence cleanup when unloading
    (bsc#1012628).
  - drm/amdgpu/vcn: Allow limiting ctx to instance 0 for AV1 at
    any time (bsc#1012628).
  - drm/amdgpu/vcn4: Fix IB parsing with multiple engine info
    packages (bsc#1012628).
  - drm/amd/display: Correct sequences and delays for DCN35 PG &
    RCG (bsc#1012628).
  - drm/amd/display: remove oem i2c adapter on finish (bsc#1012628).
  - drm/edid: Define the quirks in an enum list (bsc#1012628).
  - drm/edid: Add support for quirks visible to DRM core and drivers
    (bsc#1012628).
  - drm/dp: Add an EDID quirk for the DPCD register access probe
    (bsc#1012628).
  - drm/amd/display: Disable DPCD Probe Quirk (bsc#1012628).
  - btrfs: use readahead_expand() on compressed extents
    (bsc#1012628).
  - btrfs: fix corruption reading compressed range when block size
    is smaller than page size (bsc#1012628).
  - kernfs: Fix UAF in polling when open file is released
    (bsc#1012628).
  - libceph: fix invalid accesses to ceph_connection_v1_info
    (bsc#1012628).
  - ceph: fix race condition validating r_parent before applying
    state (bsc#1012628).
  - ceph: fix race condition where r_parent becomes stale before
    sending message (bsc#1012628).
  - ceph: always call ceph_shift_unused_folios_left() (bsc#1012628).
  - ceph: fix crash after fscrypt_encrypt_pagecache_blocks() error
    (bsc#1012628).
  - mtd: spinand: Add a ->configure_chip() hook (bsc#1012628).
  - mtd: spinand: winbond: Enable high-speed modes on w25n0xjw
    (bsc#1012628).
  - mtd: spinand: winbond: Fix oob_layout for W25N01JW
    (bsc#1012628).
  - mm/damon/sysfs: fix use-after-free in state_show()
    (bsc#1012628).
  - mm/damon/reclaim: avoid divide-by-zero in
    damon_reclaim_apply_parameters() (bsc#1012628).
  - drm/amd/display: Destroy cached state in complete() callback
    (bsc#1012628).
  - drm/amd/display: Drop dm_prepare_suspend() and dm_complete()
    (bsc#1012628).
  - drm/amd/amdgpu: Declare isp firmware binary file (bsc#1012628).
  - hrtimers: Unconditionally update target CPU base after offline
    timer migration (bsc#1012628).
  - fs/resctrl: Eliminate false positive lockdep warning when
    reading SNC counters (bsc#1012628).
  - x86/cpu/topology: Always try cpu_parse_topology_ext() on
    AMD/Hygon (bsc#1012628).
  - Input: iqs7222 - avoid enabling unused interrupts (bsc#1012628).
  - Input: i8042 - add TUXEDO InfinityBook Pro Gen10 AMD to i8042
    quirk table (bsc#1012628).
  - Input: xpad - add support for Flydigi Apex 5 (bsc#1012628).
  - Revert "net: usb: asix: ax88772: drop phylink use in PM to
    avoid MDIO runtime PM wakeups" (bsc#1012628).
  - tty: hvc_console: Call hvc_kick in hvc_write unconditionally
    (bsc#1012628).
  - serial: sc16is7xx: fix bug in flow control levels init
    (bsc#1012628).
  - dt-bindings: serial: brcm,bcm7271-uart: Constrain clocks
    (bsc#1012628).
  - USB: serial: option: add Telit Cinterion FN990A w/audio
    compositions (bsc#1012628).
  - USB: serial: option: add Telit Cinterion LE910C4-WWX new
    compositions (bsc#1012628).
  - mtd: rawnand: nuvoton: Fix an error handling path in
    ma35_nand_chips_init() (bsc#1012628).
  - drm/panthor: validate group queue count (bsc#1012628).
  - net: fec: Fix possible NPD in
    fec_enet_phy_reset_after_clk_enable() (bsc#1012628).
  - net: phylink: add lock for serializing concurrent pl->phydev
    writes with resolver (bsc#1012628).
  - net: phy: transfer phy_config_inband() locking responsibility
    to phylink (bsc#1012628).
  - wifi: ath12k: Fix missing station power save configuration
    (bsc#1012628).
  - wifi: ath12k: add link support for multi-link in arsta
    (bsc#1012628).
  - wifi: ath12k: Add support to enqueue management frame at MLD
    level (bsc#1012628).
  - wifi: ath12k: fix WMI TLV header misalignment (bsc#1012628).
  - PCI: mvebu: Fix use of for_each_of_range() iterator
    (bsc#1012628).
  - genetlink: fix genl_bind() invoking bind() after -EPERM
    (bsc#1012628).
  - net: dsa: b53: fix ageing time for BCM53101 (bsc#1012628).
  - net: bridge: Bounce invalid boolopts (bsc#1012628).
  - tunnels: reset the GSO metadata before reusing the skb
    (bsc#1012628).
  - docs: networking: can: change bcm_msg_head frames member to
    support flexible array (bsc#1012628).
  - igb: Fix NULL pointer dereference in ethtool loopback test
    (bsc#1012628).
  - igb: fix link test skipping when interface is admin down
    (bsc#1012628).
  - i40e: fix IRQ freeing in i40e_vsi_request_irq_msix error path
    (bsc#1012628).
  - drm/xe/configfs: Don't touch survivability_mode on fini
    (bsc#1012628).
  - drm/amd/display: use udelay rather than fsleep (bsc#1012628).
  - net: dev_ioctl: take ops lock in hwtstamp lower paths
    (bsc#1012628).
  - macsec: sync features on RTM_NEWLINK (bsc#1012628).
  - selftests: can: enable CONFIG_CAN_VCAN as a module
    (bsc#1012628).
  - can: j1939: implement NETDEV_UNREGISTER notification handler
    (bsc#1012628).
  - can: j1939: j1939_sk_bind(): call j1939_priv_put() immediately
    when j1939_local_ecu_get() failed (bsc#1012628).
  - can: j1939: j1939_local_ecu_get(): undo increment when
    j1939_local_ecu_get() fails (bsc#1012628).
  - can: xilinx_can: xcan_write_frame(): fix use-after-free of
    transmitted SKB (bsc#1012628).
  - netfilter: nft_set_bitmap: fix lockdep splat due to missing
    annotation (bsc#1012628).
  - netfilter: nft_set_pipapo: remove unused arguments
    (bsc#1012628).
  - netfilter: nft_set: remove one argument from lookup and update
    functions (bsc#1012628).
  - netfilter: nft_set_pipapo: merge pipapo_get/lookup
    (bsc#1012628).
  - netfilter: nft_set_pipapo: don't return bogus extension pointer
    (bsc#1012628).
  - netfilter: nft_set_pipapo: don't check genbit from packetpath
    lookups (bsc#1012628).
  - netfilter: nft_set_rbtree: continue traversal if element is
    inactive (bsc#1012628).
  - netfilter: nf_tables: Reintroduce shortened deletion
    notifications (bsc#1012628).
  - netfilter: nf_tables: place base_seq in struct net
    (bsc#1012628).
  - netfilter: nf_tables: make nft_set_do_lookup available
    unconditionally (bsc#1012628).
  - netfilter: nf_tables: restart set lookup on base_seq change
    (bsc#1012628).
  - hsr: use rtnl lock when iterating over ports (bsc#1012628).
  - hsr: use hsr_for_each_port_rtnl in hsr_port_get_hsr
    (bsc#1012628).
  - hsr: hold rcu and dev lock for hsr_get_port_ndev (bsc#1012628).
  - phy: qualcomm: phy-qcom-eusb2-repeater: fix override properties
    (bsc#1012628).
  - dmaengine: idxd: Remove improper idxd_free (bsc#1012628).
  - dmaengine: idxd: Fix refcount underflow on module unload
    (bsc#1012628).
  - dmaengine: idxd: Fix double free in idxd_setup_wqs()
    (bsc#1012628).
  - erofs: get rid of {get,put}_page() for ztailpacking data
    (bsc#1012628).
  - erofs: remove need_kmap in erofs_read_metabuf() (bsc#1012628).
  - erofs: unify meta buffers in z_erofs_fill_inode() (bsc#1012628).
  - erofs: fix invalid algorithm for encoded extents (bsc#1012628).
  - dmaengine: ti: edma: Fix memory allocation size for
    queue_priority_map (bsc#1012628).
  - regulator: sy7636a: fix lifecycle of power good gpio
    (bsc#1012628).
  - erofs: fix runtime warning on
    truncate_folio_batch_exceptionals() (bsc#1012628).
  - xhci: dbc: decouple endpoint allocation from initialization
    (bsc#1012628).
  - xhci: dbc: Fix full DbC transfer ring after several reconnects
    (bsc#1012628).
  - xhci: fix memory leak regression when freeing xhci vdev devices
    depth first (bsc#1012628).
  - USB: gadget: dummy-hcd: Fix locking bug in RT-enabled kernels
    (bsc#1012628).
  - usb: typec: tcpm: properly deliver cable vdms to altmode drivers
    (bsc#1012628).
  - usb: gadget: midi2: Fix missing UMP group attributes
    initialization (bsc#1012628).
  - usb: gadget: midi2: Fix MIDI2 IN EP max packet size
    (bsc#1012628).
  - dmaengine: qcom: bam_dma: Fix DT error handling for
    num-channels/ees (bsc#1012628).
  - dmaengine: dw: dmamux: Fix device reference leak in
    rzn1_dmamux_route_allocate (bsc#1012628).
  - phy: qcom: qmp-pcie: Fix PHY initialization when powered down
    by firmware (bsc#1012628).
  - phy: tegra: xusb: fix device and OF node leak at probe
    (bsc#1012628).
  - phy: ti: omap-usb2: fix device leak at unbind (bsc#1012628).
  - phy: ti-pipe3: fix device leak at unbind (bsc#1012628).
  - netfilter: nft_set_pipapo: fix null deref for empty set
    (bsc#1012628).
  - blacklist.conf:
  - Rename to
    patches.kernel.org/6.16.8-009-Bluetooth-hci_conn-Fix-not-cleaning-up-Broadca.patch.
  - Rename to
    patches.kernel.org/6.16.8-014-Bluetooth-hci_conn-Fix-running-bis_cleanup-for.patch.
  - Rename to
    patches.kernel.org/6.16.8-015-Bluetooth-ISO-Fix-getname-not-returning-broadc.patch.
  - Rename to
    patches.kernel.org/6.16.8-020-md-keep-recovery_cp-in-mdp_superblock_s.patch.
  - Rename to
    patches.kernel.org/6.16.8-047-proc-fix-type-confusion-in-pde_set_flags.patch.
  - commit ccf587a
* Thu Sep 18 2025 mkoutny@suse.com
  - Update config files.
    Only commit run_oldconfig refresh.
  - commit 25cd332
* Thu Sep 18 2025 jlee@suse.com
  - Refresh description
    patches.suse/KEYS-Make-use-of-platform-keyring-for-module-signatu.patch.
    (bsc#1205447)
  - commit 4bbb2eb
* Thu Sep 18 2025 mbrugger@suse.com
  - pinctrl: bcm: Add STB family pin controller driver
    (jsc#PED-7144).
  - pinctrl: rp1: Add regmap ranges to RP1 gpio controller
    (jsc#PED-7144).
  - net: cadence: macb: Add support for Raspberry Pi RP1 ethernet
    controller (jsc#PED-7144).
  - commit 0243e34
* Wed Sep 17 2025 tiwai@suse.de
  - wifi: iwlwifi: fix byte count table for old devices
    (bsc#1249390).
  - commit 44cfea6
* Wed Sep 17 2025 mbrugger@suse.com
  - wifi: iwlwifi: fix byte count table for old devices
    (bsc#1249390).
  - commit bc0f170
* Wed Sep 17 2025 tiwai@suse.de
  - drm/amd/display: Disable DPCD Probe Quirk (bsc#1248121).
  - drm/dp: Add an EDID quirk for the DPCD register access probe
    (bsc#1248121).
  - drm/edid: Add support for quirks visible to DRM core and drivers
    (bsc#1248121).
  - drm/edid: Define the quirks in an enum list (bsc#1248121).
  - commit 19d2a01
* Tue Sep 16 2025 jslaby@suse.cz
  - Refresh
    patches.suse/proc-fix-type-confusion-in-pde_set_flags.patch.
    Update upstream status.
  - commit c4b47af
* Mon Sep 15 2025 msuchanek@suse.de
  - Update config files.
    BSR were last availasble in POWER7 but ppc64le requires POWER8 and
    later.
  - commit 74b5a9a
* Mon Sep 15 2025 jdelvare@suse.de
  - Update config files.
    Disable CONFIG_AMD_SBRMI_I2C. This driver is meant for the BMC, not
    the managed node. Therefore it is of no use on openSUSE distributions.
  - commit 5bb23eb
* Mon Sep 15 2025 mkubecek@suse.cz
  - update to 6.17-rc6
  - update configs
    - MITIGATION_VMSCAPE=y (x86)
  - commit 307f149
* Sun Sep 14 2025 tiwai@suse.de
  - ALSA: hda/realtek: Add ALC295 Dell TAS2781 I2C fixup
    (bsc#1249575).
  - commit d6d89e9
* Fri Sep 12 2025 mfranc@suse.cz
  - Update config files.  Disable useless things on System Z. (bsc#1249377)
  - commit af137ba
* Fri Sep 12 2025 jslaby@suse.cz
  - Update
    patches.kernel.org/6.16.7-002-x86-vmscape-Enumerate-VMSCAPE-bug.patch
    (bsc#1012628 CVE-2025-40300).
    Add CVE number.
  - commit 4e78a24
* Fri Sep 12 2025 jslaby@suse.cz
  - Linux 6.16.7 (bsc#1012628).
  - x86/vmscape: Add old Intel CPUs to affected list (bsc#1012628).
  - x86/vmscape: Warn when STIBP is disabled with SMT (bsc#1012628).
  - x86/bugs: Move cpu_bugs_smt_update() down (bsc#1012628).
  - x86/vmscape: Enable the mitigation (bsc#1012628).
  - Update config files (set MITIGATION_VMSCAPE=y).
  - x86/vmscape: Add conditional IBPB mitigation (bsc#1012628).
  - x86/vmscape: Enumerate VMSCAPE bug (bsc#1012628).
  - Documentation/hw-vuln: Add VMSCAPE documentation (bsc#1012628).
  - commit 6ebd23a
* Thu Sep 11 2025 jslaby@suse.cz
  - Revert "- Update config files: disable bcachefs (boo#1248109)"
    This reverts commit 43349d94b3d6468f157012b08dfa7a65600bc0d5.
    We postpone this to 6.18, see bsc#1248109.
  - commit 4e2469e
* Thu Sep 11 2025 jslaby@suse.cz
  - Refresh
    patches.suse/bcachefs-print-message-at-mount-time-regarding-immin.patch.
    Update the message as discussed in bsc#1248109.
  - commit bf4fa57
* Wed Sep 10 2025 ddiss@suse.de
  - Update config files: disable bcachefs (boo#1248109)
  - Delete
    patches.suse/bcachefs-print-message-at-mount-time-regarding-immin.patch.
    Bcachefs appears to have been the only local FS enabled for zfcpdump,
    hence the larger diff for removal.
    CONFIG_MIN_HEAP is affected based on whether dm-vdo (the only other
    consumer) is already enabled.
  - commit 43349d9
* Tue Sep 09 2025 jslaby@suse.cz
  - Linux 6.16.6 (bsc#1012628).
  - btrfs: fix race between logging inode and checking if it was
    logged before (bsc#1012628).
  - btrfs: fix race between setting last_dir_index_offset and
    inode logging (bsc#1012628).
  - btrfs: avoid load/store tearing races when checking if an
    inode was logged (bsc#1012628).
  - ASoC: soc-core: care NULL dirver name on
    snd_soc_lookup_component_nolocked() (bsc#1012628).
  - ASoC: rsnd: tidyup direction name on rsnd_dai_connect()
    (bsc#1012628).
  - ASoC: SOF: Intel: WCL: Add the sdw_process_wakeen op
    (bsc#1012628).
  - ALSA: usb-audio: Allow Focusrite devices to use low samplerates
    (bsc#1012628).
  - LoongArch: Save LBT before FPU in setup_sigcontext()
    (bsc#1012628).
  - LoongArch: Add cpuhotplug hooks to fix high cpu usage of vCPU
    threads (bsc#1012628).
  - cdc_ncm: Flag Intel OEM version of Fibocom L850-GL as WWAN
    (bsc#1012628).
  - drm/amd/display: Don't warn when missing DCE encoder caps
    (bsc#1012628).
  - cpupower: Fix a bug where the -t option of the set subcommand
    was not working (bsc#1012628).
  - Bluetooth: hci_sync: Avoid adding default advertising on startup
    (bsc#1012628).
  - drm/rockchip: vop2: make vp registers nonvolatile (bsc#1012628).
  - btrfs: clear block dirty if submit_one_sector() failed
    (bsc#1012628).
  - btrfs: zoned: skip ZONE FINISH of conventional zones
    (bsc#1012628).
  - platform/x86/amd: pmc: Drop SMU F/W match for Cezanne
    (bsc#1012628).
  - fs: writeback: fix use-after-free in __mark_inode_dirty()
    (bsc#1012628).
  - tee: fix NULL pointer dereference in tee_shm_put (bsc#1012628).
  - tee: fix memory leak in tee_dyn_shm_alloc_helper (bsc#1012628).
  - arm64: dts: rockchip: mark eeprom as read-only for Radxa E52C
    (bsc#1012628).
  - arm64: dts: rockchip: Add vcc-supply to SPI flash on
    rk3399-pinebook-pro (bsc#1012628).
  - tee: optee: ffa: fix a typo of "optee_ffa_api_is_compatible"
    (bsc#1012628).
  - arm64: dts: imx8mp-tqma8mpql: fix LDO5 power off (bsc#1012628).
  - arm64: dts: imx8mp: Fix missing microSD slot vqmmc on DH
    electronics i.MX8M Plus DHCOM (bsc#1012628).
  - arm64: dts: imx8mp: Fix missing microSD slot vqmmc on Data
    Modul i.MX8M Plus eDM SBC (bsc#1012628).
  - arm64: dts: rockchip: Fix the headphone detection on the
    orangepi 5 plus (bsc#1012628).
  - arm64: dts: rockchip: Add supplies for eMMC on rk3588-orangepi-5
    (bsc#1012628).
  - ARM: dts: microchip: sama7d65: Force SDMMC Legacy mode
    (bsc#1012628).
  - wifi: cfg80211: fix use-after-free in cmp_bss() (bsc#1012628).
  - wifi: brcmfmac: fix use-after-free when rescheduling
    brcmf_btcoex_info work (bsc#1012628).
  - wifi: mt76: mt7921: don't disconnect when CSA to DFS chan
    (bsc#1012628).
  - wifi: mt76: mt7925: fix locking in mt7925_change_vif_links()
    (bsc#1012628).
  - wifi: mt76: prevent non-offchannel mgmt tx during scan/roc
    (bsc#1012628).
  - wifi: mt76: mt7996: disable beacons when going offchannel
    (bsc#1012628).
  - wifi: mt76: mt7996: use the correct vif link for scanning/roc
    (bsc#1012628).
  - wifi: mt76: mt7996: add missing check for rx wcid entries
    (bsc#1012628).
  - wifi: mt76: mt7915: fix list corruption after hardware restart
    (bsc#1012628).
  - wifi: mt76: free pending offchannel tx frames on wcid cleanup
    (bsc#1012628).
  - wifi: mt76: fix linked list corruption (bsc#1012628).
  - netfilter: br_netfilter: do not check confirmed bit in
    br_nf_local_in() after confirm (bsc#1012628).
  - netfilter: conntrack: helper: Replace -EEXIST by -EBUSY
    (bsc#1012628).
  - wifi: iwlwifi: if scratch is ~0U, consider it a failure
    (bsc#1012628).
  - wifi: iwlwifi: acpi: check DSM func validity (bsc#1012628).
  - wifi: iwlwifi: uefi: check DSM item validity (bsc#1012628).
  - wifi: iwlwifi: cfg: restore some 1000 series configs
    (bsc#1012628).
  - wifi: iwlwifi: cfg: add back more lost PCI IDs (bsc#1012628).
  - Bluetooth: vhci: Prevent use-after-free by removing debugfs
    files early (bsc#1012628).
  - Bluetooth: Fix use-after-free in l2cap_sock_cleanup_listen()
    (bsc#1012628).
  - netfilter: nft_flowtable.sh: re-run with random mtu sizes
    (bsc#1012628).
  - net_sched: gen_estimator: fix est_timer() vs CONFIG_PREEMPT_RT=y
    (bsc#1012628).
  - xirc2ps_cs: fix register access when enabling FullDuplex
    (bsc#1012628).
  - ptp: ocp: fix use-after-free bugs causing by ptp_ocp_watchdog
    (bsc#1012628).
  - mISDN: Fix memory leak in dsp_hwec_enable() (bsc#1012628).
  - selftests: drv-net: csum: fix interface name for remote host
    (bsc#1012628).
  - bnxt_en: fix incorrect page count in RX aggr ring log
    (bsc#1012628).
  - icmp: fix icmp_ndo_send address translation for reply direction
    (bsc#1012628).
  - eth: mlx4: Fix IS_ERR() vs NULL check bug in
    mlx4_en_create_rx_ring (bsc#1012628).
  - net: macb: Fix tx_ptr_lock locking (bsc#1012628).
  - macsec: read MACSEC_SA_ATTR_PN with nla_get_uint (bsc#1012628).
  - net/smc: fix one NULL pointer dereference in
    smc_ib_is_sg_need_sync() (bsc#1012628).
  - net: mctp: mctp_fraq_queue should take ownership of passed skb
    (bsc#1012628).
  - net: mctp: usb: initialise mac header in RX path (bsc#1012628).
  - net: ethernet: ti: am65-cpsw-nuss: Fix null pointer dereference
    for ndev (bsc#1012628).
  - ice: fix NULL access of tx->in_use in ice_ptp_ts_irq
    (bsc#1012628).
  - ice: fix NULL access of tx->in_use in ice_ll_ts_intr
    (bsc#1012628).
  - idpf: set mac type when adding and removing MAC filters
    (bsc#1012628).
  - i40e: remove read access to debugfs files (bsc#1012628).
  - i40e: Fix potential invalid access when MAC list is empty
    (bsc#1012628).
  - ixgbe: fix incorrect map used in eee linkmode (bsc#1012628).
  - wifi: ath12k: Set EMLSR support flag in MLO flags for
    EML-capable stations (bsc#1012628).
  - wifi: ath11k: fix group data packet drops during rekey
    (bsc#1012628).
  - net/tcp: Fix socket memory leak in TCP-AO failure handling
    for IPv6 (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix tx vlan tag for llc packets
    (bsc#1012628).
  - vxlan: Fix NPD when refreshing an FDB entry with a nexthop
    object (bsc#1012628).
  - vxlan: Fix NPD in {arp,neigh}_reduce() when using nexthop
    objects (bsc#1012628).
  - wifi: cw1200: cap SSID length in cw1200_do_join() (bsc#1012628).
  - wifi: libertas: cap SSID len in lbs_associate() (bsc#1012628).
  - wifi: cfg80211: sme: cap SSID length in
    __cfg80211_connect_result() (bsc#1012628).
  - tools: ynl-gen: fix nested array counting (bsc#1012628).
  - net: remove sock_i_uid() (bsc#1012628).
  - net: lockless sock_i_ino() (bsc#1012628).
  - net: thunder_bgx: add a missing of_node_put (bsc#1012628).
  - net: thunder_bgx: decrement cleanup index before use
    (bsc#1012628).
  - ipv4: Fix NULL vs error pointer check in
    inet_blackhole_dev_init() (bsc#1012628).
  - net/smc: Remove validation of reserved bits in CLC Decline
    message (bsc#1012628).
  - mctp: return -ENOPROTOOPT for unknown getsockopt options
    (bsc#1012628).
  - ax25: properly unshare skbs in ax25_kiss_rcv() (bsc#1012628).
  - selftests: netfilter: fix udpclash tool hang (bsc#1012628).
  - netfilter: nf_tables: Introduce NFTA_DEVICE_PREFIX
    (bsc#1012628).
  - net: atm: fix memory leak in atm_register_sysfs when
    device_register fail (bsc#1012628).
  - net: xilinx: axienet: Add error handling for RX metadata
    pointer retrieval (bsc#1012628).
  - ppp: fix memory leak in pad_compress_skb (bsc#1012628).
  - selftest: net: Fix weird setsockopt() in bind_bhash.c
    (bsc#1012628).
  - phy: mscc: Stop taking ts_lock for tx_queue and use its own lock
    (bsc#1012628).
  - ALSA: usb-audio: Add mute TLV for playback volumes on some
    devices (bsc#1012628).
  - accel/ivpu: Prevent recovery work from being queued during
    device removal (bsc#1012628).
  - ACPI/IORT: Fix memory leak in iort_rmr_alloc_sids()
    (bsc#1012628).
  - arm64: ftrace: fix unreachable PLT for ftrace_caller in
    init_module with CONFIG_DYNAMIC_FTRACE (bsc#1012628).
  - pcmcia: Fix a NULL pointer dereference in
    __iodyn_find_io_region() (bsc#1012628).
  - rust: mm: mark VmaNew as transparent (bsc#1012628).
  - mm/slub: avoid accessing metadata when pointer is invalid in
    object_err() (bsc#1012628).
  - x86/mm/64: define ARCH_PAGE_TABLE_SYNC_MASK and
    arch_sync_kernel_mappings() (bsc#1012628).
  - mm/userfaultfd: fix kmap_local LIFO ordering for CONFIG_HIGHPTE
    (bsc#1012628).
  - mm: fix accounting of memmap pages (bsc#1012628).
  - mm: move page table sync declarations to linux/pgtable.h
    (bsc#1012628).
  - mm: introduce and use {pgd,p4d}_populate_kernel() (bsc#1012628).
  - mm: fix possible deadlock in kmemleak (bsc#1012628).
  - mm: slub: avoid wake up kswapd in set_track_prepare
    (bsc#1012628).
  - sched: Fix sched_numa_find_nth_cpu() if mask offline
    (bsc#1012628).
  - kasan: fix GCC mem-intrinsic prefix with sw tags (bsc#1012628).
  - kunit: kasan_test: disable fortify string checker on
    kasan_strings() test (bsc#1012628).
  - ocfs2: prevent release journal inode after journal shutdown
    (bsc#1012628).
  - of_numa: fix uninitialized memory nodes causing kernel panic
    (bsc#1012628).
  - soc: qcom: mdt_loader: Deal with zero e_shentsize (bsc#1012628).
  - wifi: mac80211: do not permit 40 MHz EHT operation on 5/6 GHz
    (bsc#1012628).
  - wifi: mwifiex: Initialize the chan_stats array to zero
    (bsc#1012628).
  - wifi: mt76: mt7925u: use connac3 tx aggr check in tx complete
    (bsc#1012628).
  - wifi: mt76: mt7996: Initialize hdr before passing to
    skb_put_data() (bsc#1012628).
  - wifi: mt76: mt7925: fix the wrong bss cleanup for SAP
    (bsc#1012628).
  - wifi: mt76: mt7925: skip EHT MLD TLV on non-MLD and pass
    conn_state for sta_cmd (bsc#1012628).
  - net: ethernet: oa_tc6: Handle failure of spi_setup
    (bsc#1012628).
  - spi: microchip-core-qspi: stop checking viability of
    op->max_freq in supports_op callback (bsc#1012628).
  - drm/xe: Fix incorrect migration of backed-up object to VRAM
    (bsc#1012628).
  - drm/amdgpu: drop hw access in non-DC audio fini (bsc#1012628).
  - drm/amdgpu/mes11: make MES_MISC_OP_CHANGE_CONFIG failure
    non-fatal (bsc#1012628).
  - drm/amd/display: Clear the CUR_ENABLE register on DCN314 w/out
    DPP PG (bsc#1012628).
  - drm/amdgpu/sdma: bump firmware version checks for user queue
    support (bsc#1012628).
  - platform/x86/amd/pmc: Add TUXEDO IB Pro Gen10 AMD to spurious
    8042 quirks list (bsc#1012628).
  - scsi: lpfc: Fix buffer free/clear order in deferred receive path
    (bsc#1012628).
  - nouveau: fix disabling the nonstall irq due to storm code
    (bsc#1012628).
  - nouveau: Membar before between semaphore writes and the
    interrupt (bsc#1012628).
  - audit: fix out-of-bounds read in audit_compare_dname_path()
    (bsc#1012628).
  - batman-adv: fix OOB read/write in network-coding decode
    (bsc#1012628).
  - cifs: prevent NULL pointer dereference in UTF16 conversion
    (bsc#1012628).
  - e1000e: fix heap overflow in e1000_set_eeprom (bsc#1012628).
  - net: dsa: mv88e6xxx: Fix fwnode reference leaks in
    mv88e6xxx_port_setup_leds (bsc#1012628).
  - net: pcs: rzn1-miic: Correct MODCTRL register offset
    (bsc#1012628).
  - microchip: lan865x: Fix module autoloading (bsc#1012628).
  - microchip: lan865x: Fix LAN8651 autoloading (bsc#1012628).
  - drm/dp: Change AUX DPCD probe address from LANE0_1_STATUS to
    TRAINING_PATTERN_SET (bsc#1012628).
  - rust: support Rust >= 1.91.0 target spec (bsc#1012628).
  - ALSA: hda/hdmi: Add pin fix for another HP EliteDesk 800 G4
    model (bsc#1012628).
  - ALSA: hda/realtek: Fix headset mic for TongFang X6[AF]R5xxY
    (bsc#1012628).
  - ALSA: hda: tas2781: fix tas2563 EFI data endianness
    (bsc#1012628).
  - ALSA: hda: tas2781: reorder tas2563 calibration variables
    (bsc#1012628).
  - pcmcia: omap: Add missing check for platform_get_resource
    (bsc#1012628).
  - pcmcia: Add error handling for add_interval() in
    do_validate_mem() (bsc#1012628).
  - platform/x86: asus-wmi: Remove extra keys from ignore_key_wlan
    quirk (bsc#1012628).
  - platform/x86: acer-wmi: Stop using ACPI bitmap for platform
    profile choices (bsc#1012628).
  - platform/x86/intel: power-domains: Use
    topology_logical_package_id() for package ID (bsc#1012628).
  - hwmon: mlxreg-fan: Prevent fans from getting stuck at 0 RPM
    (bsc#1012628).
  - hwmon: (ina238) Correctly clamp temperature (bsc#1012628).
  - scsi: sr: Reinstate rotational media flag (bsc#1012628).
  - spi: spi-fsl-lpspi: Fix transmissions when using CONT
    (bsc#1012628).
  - spi: spi-fsl-lpspi: Set correct chip-select polarity bit
    (bsc#1012628).
  - spi: spi-fsl-lpspi: Reset FIFO and disable module on transfer
    abort (bsc#1012628).
  - spi: spi-fsl-lpspi: Clear status register after disabling the
    module (bsc#1012628).
  - hwmon: (ina238) Correctly clamp shunt voltage limit
    (bsc#1012628).
  - hwmon: (ina238) Correctly clamp power limits (bsc#1012628).
  - drm/bridge: ti-sn65dsi86: fix REFCLK setting (bsc#1012628).
  - perf bpf-event: Fix use-after-free in synthesis (bsc#1012628).
  - perf bpf-utils: Constify bpil_array_desc (bsc#1012628).
  - perf bpf-utils: Harden get_bpf_prog_info_linear (bsc#1012628).
  - spi: spi-qpic-snand: unregister ECC engine on probe error and
    device remove (bsc#1012628).
  - drm/amd/amdgpu: Fix missing error return on kzalloc failure
    (bsc#1012628).
  - tools: gpio: remove the include directory on make clean
    (bsc#1012628).
  - md/raid1: fix data lost for writemostly rdev (bsc#1012628).
  - md: prevent incorrect update of resync/recovery offset
    (bsc#1012628).
  - drm/i915/gem: Allow EXEC_CAPTURE on recoverable contexts on DG1
    (bsc#1012628).
  - ACPI: RISC-V: Fix FFH_CPPC_CSR error handling (bsc#1012628).
  - riscv: Only allow LTO with CMODEL_MEDANY (bsc#1012628).
  - riscv: uaccess: fix __put_user_nocheck for unaligned accesses
    (bsc#1012628).
  - riscv: use lw when reading int cpu in new_vmalloc_check
    (bsc#1012628).
  - riscv: use lw when reading int cpu in asm_per_cpu (bsc#1012628).
  - riscv, bpf: use lw when reading int cpu in BPF_MOV64_PERCPU_REG
    (bsc#1012628).
  - riscv, bpf: use lw when reading int cpu in
    bpf_get_smp_processor_id (bsc#1012628).
  - riscv: kexec: Initialize kexec_buf struct (bsc#1012628).
  - riscv: Fix sparse warning in __get_user_error() (bsc#1012628).
  - riscv: Fix sparse warning about different address spaces
    (bsc#1012628).
  - Revert "drm/i915/gem: Allow EXEC_CAPTURE on recoverable contexts
    on DG1" (bsc#1012628).
  - Rename to
    patches.kernel.org/6.16.6-114-proc-fix-missing-pde_set_flags-for-net-proc-fi.patch.
  - Rename to
    patches.kernel.org/6.16.6-151-platform-x86-asus-wmi-Fix-racy-registrations.patch.
  - commit ad8b04f
* Tue Sep 09 2025 msuchanek@suse.de
  - Update config files.
    Disable lowlevel hwmon drivers on s390
  - commit ab9ac69
* Tue Sep 09 2025 msuchanek@suse.de
  - Update config files.
    s390: Disable XE DRM as well as some lowlevel panel drivers.
    Only virtio DRM is expected on s390 when running under KVM.
  - commit afb20bd
* Tue Sep 09 2025 msuchanek@suse.de
  - kernel-subpackage-build: Decompress ghost file when compressed version exists (bsc#1249346)
  - commit 40606b5
* Mon Sep 08 2025 jslaby@suse.cz
  - Delete
    patches.suse/Revert-usb-hub-Don-t-try-to-recover-devices-lost-dur.patch.
    Let's see if this still happens...
  - commit 7048337
* Mon Sep 08 2025 jlee@suse.com
  - Update config files.
  - Enabled the following config on x86_64 and arm64:
    CONFIG_IMA_KEYRINGS_PERMIT_SIGNED_BY_BUILTIN_OR_SECONDARY=y
    CONFIG_INTEGRITY_CA_MACHINE_KEYRING=y
    CONFIG_INTEGRITY_CA_MACHINE_KEYRING_MAX=y
    (bsc#1243677, PED-12554, PED-6528)
    Only allow CA-MOK be loaded to .machine keyring which will be linked to
    .secondary_trusted_keys keyring. Then CA keys in .machine keyring can be
    used to verify ima/evm keys. And, the CA-MOK does not allow DigitalSignature
    usage field.
    The following downstream patch still be applied to kernel for downward
    compatible:
    patches.suse/KEYS-Make-use-of-platform-keyring-for-module-signatu.patch
  - Refresh patch description of
    patches.suse/KEYS-Make-use-of-platform-keyring-for-module-signatu.patch.
  - commit 2d8cb7a
* Mon Sep 08 2025 jslaby@suse.cz
  - proc: fix type confusion in pde_set_flags() (bsc#1248156).
  - commit c385595
* Mon Sep 08 2025 jslaby@suse.cz
  - Refresh
    patches.suse/platform-x86-asus-wmi-Fix-racy-registrations.patch.
  - Refresh
    patches.suse/proc-fix-missing-pde_set_flags-for-net-proc-files.patch.
    Update upstream info.
  - commit d37e98a
* Mon Sep 08 2025 mkubecek@suse.cz
  - update to 6.17-rc5
  - update configs
    - SUNDANCE=m
    - SUNDANCE_MMIO=n
  - commit daa600a
* Thu Sep 04 2025 jslaby@suse.cz
  - Reapply "Move pesign-obs-integration requirement from kernel-syms to kernel devel"
    This reverts commit 51761486d7dd28910fe7d8ca7765f2c441c02e71.
    https://github.com/openSUSE/rpm-config-SUSE/pull/91
    has been accepted, so we can retry.
  - commit 642f24d
* Thu Sep 04 2025 jslaby@suse.cz
  - Linux 6.16.5 (bsc#1012628).
  - perf: Avoid undefined behavior from stopping/starting inactive
    events (bsc#1012628).
  - tools/latency-collector: Check pkg-config install (bsc#1012628).
  - rtla: Check pkg-config install (bsc#1012628).
  - trace/fgraph: Fix the warning caused by missing unregister
    notifier (bsc#1012628).
  - of: dynamic: Fix memleak when of_pci_add_properties() failed
    (bsc#1012628).
  - of: reserved_mem: Add missing IORESOURCE_MEM flag on resources
    (bsc#1012628).
  - pinctrl: STMFX: add missing HAS_IOMEM dependency (bsc#1012628).
  - pinctrl: airoha: Fix return value in pinconf callbacks
    (bsc#1012628).
  - mips: dts: lantiq: danube: add missing burst length property
    (bsc#1012628).
  - mips: lantiq: xway: sysctrl: rename the etop node (bsc#1012628).
  - of: dynamic: Fix use after free in
    of_changeset_add_prop_helper() (bsc#1012628).
  - fgraph: Copy args in intermediate storage with entry
    (bsc#1012628).
  - ftrace: Fix potential warning in trace_printk_seq during
    ftrace_dump (bsc#1012628).
  - perf symbol-minimal: Fix ehdr reading in filename__read_build_id
    (bsc#1012628).
  - Revert "virtio: reject shm region if length is zero"
    (bsc#1012628).
  - vhost: Fix ioctl # for VHOST_[GS]ET_FORK_FROM_OWNER
    (bsc#1012628).
  - scsi: core: sysfs: Correct sysfs attributes access rights
    (bsc#1012628).
  - smb: client: fix race with concurrent opens in unlink(2)
    (bsc#1012628).
  - smb: client: fix race with concurrent opens in rename(2)
    (bsc#1012628).
  - ASoC: codecs: tx-macro: correct tx_macro_component_drv name
    (bsc#1012628).
  - ASoC: rt721: fix FU33 Boost Volume control not working
    (bsc#1012628).
  - ASoC: rt1320: fix random cycle mute issue (bsc#1012628).
  - erofs: Fallback to normal access if DAX is not supported on
    extra device (bsc#1012628).
  - erofs: fix atomic context detection when
    !CONFIG_DEBUG_LOCK_ALLOC (bsc#1012628).
  - io_uring/io-wq: add check free worker before create new worker
    (bsc#1012628).
  - platform/x86: int3472: add hpd pin support (bsc#1012628).
  - vhost/net: Protect ubufs with rcu read lock in
    vhost_net_ubuf_put() (bsc#1012628).
  - drm/msm: Defer fd_install in SUBMIT ioctl (bsc#1012628).
  - of: reserved_mem: Restructure call site for
    dma_contiguous_early_fixup() (bsc#1012628).
  - HID: intel-thc-hid: intel-quicki2c: Fix ACPI dsd ICRS/ISUB
    length (bsc#1012628).
  - HID: intel-thc-hid: intel-thc: Fix incorrect pointer arithmetic
    in I2C regs save (bsc#1012628).
  - HID: intel-thc-hid: Intel-quicki2c: Enhance driver re-install
    flow (bsc#1012628).
  - drm/msm/kms: move snapshot init earlier in KMS init
    (bsc#1012628).
  - drm/msm/dpu: correct dpu_plane_virtual_atomic_check()
    (bsc#1012628).
  - drm/msm: update the high bitfield of certain DSI registers
    (bsc#1012628).
  - drm/mediatek: Add error handling for old state CRTC in
    atomic_disable (bsc#1012628).
  - powerpc/kvm: Fix ifdef to remove build warning (bsc#1012628).
  - HID: input: rename hidinput_set_battery_charge_status()
    (bsc#1012628).
  - HID: input: report battery status changes immediately
    (bsc#1012628).
  - idpf: add support for Tx refillqs in flow scheduling mode
    (bsc#1012628).
  - idpf: simplify and fix splitq Tx packet rollback error path
    (bsc#1012628).
  - idpf: replace flow scheduling buffer ring with buffer pool
    (bsc#1012628).
  - idpf: stop Tx if there are insufficient buffer resources
    (bsc#1012628).
  - net: macb: fix unregister_netdev call order in macb_remove()
    (bsc#1012628).
  - Bluetooth: hci_conn: Make unacked packet handling more robust
    (bsc#1012628).
  - Bluetooth: hci_event: Treat UNKNOWN_CONN_ID on disconnect as
    success (bsc#1012628).
  - Bluetooth: hci_event: Mark connection as closed during suspend
    disconnect (bsc#1012628).
  - Bluetooth: hci_event: Detect if HCI_EV_NUM_COMP_PKTS is
    unbalanced (bsc#1012628).
  - Bluetooth: hci_event: Disconnect device when BIG sync is lost
    (bsc#1012628).
  - Bluetooth: hci_sync: fix set_local_name race condition
    (bsc#1012628).
  - page_pool: fix incorrect mp_ops error handling (bsc#1012628).
  - Octeontx2-vf: Fix max packet length errors (bsc#1012628).
  - atm: atmtcp: Prevent arbitrary write in atmtcp_recv_control()
    (bsc#1012628).
  - drm/nouveau: remove unused increment in gm200_flcn_pio_imem_wr
    (bsc#1012628).
  - drm/nouveau: remove unused memory target test (bsc#1012628).
  - ice: fix NULL pointer dereference in ice_unplug_aux_dev()
    on reset (bsc#1012628).
  - ice: don't leave device non-functional if Tx scheduler config
    fails (bsc#1012628).
  - ice: use fixed adapter index for E825C embedded devices
    (bsc#1012628).
  - ice: fix incorrect counter for buffer allocation failures
    (bsc#1012628).
  - ixgbe: fix ixgbe_orom_civd_info struct layout (bsc#1012628).
  - dt-bindings: display/msm: qcom,mdp5: drop lut clock
    (bsc#1012628).
  - drm/msm/dpu: Add a null ptr check for dpu_encoder_needs_modeset
    (bsc#1012628).
  - octeontx2: Set appropriate PF, VF masks and shifts based on
    silicon (bsc#1012628).
  - Octeontx2-af: Fix NIX X2P calibration failures (bsc#1012628).
  - mISDN: hfcpci: Fix warning when deleting uninitialized timer
    (bsc#1012628).
  - net: dlink: fix multicast stats being counted incorrectly
    (bsc#1012628).
  - efi: stmm: Fix incorrect buffer allocation method (bsc#1012628).
  - drm/xe/xe_sync: avoid race during ufence signaling
    (bsc#1012628).
  - drm/xe/vm: Don't pin the vm_resv during validation
    (bsc#1012628).
  - drm/xe: Don't trigger rebind on initial dma-buf validation
    (bsc#1012628).
  - block: validate QoS before calling __rq_qos_done_bio()
    (bsc#1012628).
  - phy: mscc: Fix when PTP clock is register and unregister
    (bsc#1012628).
  - net: macb: Fix offset error in gem_update_stats (bsc#1012628).
  - bnxt_en: Fix memory corruption when FW resources change during
    ifdown (bsc#1012628).
  - bnxt_en: Adjust TX rings if reservation is less than requested
    (bsc#1012628).
  - bnxt_en: Fix stats context reservation logic (bsc#1012628).
  - net/mlx5: HWS, Fix memory leak in hws_pool_buddy_init error path
    (bsc#1012628).
  - net/mlx5: HWS, Fix memory leak in hws_action_get_shared_stc_nic
    error flow (bsc#1012628).
  - net/mlx5: HWS, Fix uninitialized variables in
    mlx5hws_pat_calc_nop error flow (bsc#1012628).
  - net/mlx5: HWS, Fix pattern destruction in
    mlx5hws_pat_get_pattern error path (bsc#1012628).
  - net/mlx5: Reload auxiliary drivers on fw_activate (bsc#1012628).
  - net/mlx5: Fix lockdep assertion on sync reset unload event
    (bsc#1012628).
  - net/mlx5: Nack sync reset when SFs are present (bsc#1012628).
  - net/mlx5: Prevent flow steering mode changes in switchdev mode
    (bsc#1012628).
  - net/mlx5e: Update and set Xon/Xoff upon MTU set (bsc#1012628).
  - net/mlx5e: Update and set Xon/Xoff upon port speed set
    (bsc#1012628).
  - net/mlx5e: Set local Xoff after FW update (bsc#1012628).
  - net: stmmac: xgmac: Do not enable RX FIFO Overflow interrupts
    (bsc#1012628).
  - net: stmmac: xgmac: Correct supported speed modes (bsc#1012628).
  - net: stmmac: Set CIC bit only for TX queues with COE
    (bsc#1012628).
  - net: hv_netvsc: fix loss of early receive events from host
    during channel open (bsc#1012628).
  - io_uring/kbuf: fix signedness in this_len calculation
    (bsc#1012628).
  - net: rose: split remove and free operations in
    rose_remove_neigh() (bsc#1012628).
  - net: rose: convert 'use' field to refcount_t (bsc#1012628).
  - net: rose: include node references in rose_neigh refcount
    (bsc#1012628).
  - sctp: initialize more fields in sctp_v6_from_sk() (bsc#1012628).
  - l2tp: do not use sock_hold() in pppol2tp_session_get_sock()
    (bsc#1012628).
  - fbnic: Move phylink resume out of service_task and into
    open/close (bsc#1012628).
  - efivarfs: Fix slab-out-of-bounds in efivarfs_d_compare
    (bsc#1012628).
  - net: macb: Disable clocks once (bsc#1012628).
  - io_uring/kbuf: always use READ_ONCE() to read ring provided
    buffer lengths (bsc#1012628).
  - drm/mediatek: mtk_hdmi: Fix inverted parameters in some
    regmap_update_bits calls (bsc#1012628).
  - KVM: x86: use array_index_nospec with indices that come from
    guest (bsc#1012628).
  - RISC-V: KVM: fix stack overrun when loading vlenb (bsc#1012628).
  - x86/cpu/intel: Fix the constant_tsc model check for Pentium 4
    (bsc#1012628).
  - x86/microcode/AMD: Handle the case of no BIOS microcode
    (bsc#1012628).
  - x86/cpu/topology: Use initial APIC ID from XTOPOLOGY leaf on
    AMD/HYGON (bsc#1012628).
  - HID: asus: fix UAF via HID_CLAIMED_INPUT validation
    (bsc#1012628).
  - HID: multitouch: fix slab out-of-bounds access in
    mt_report_fixup() (bsc#1012628).
  - HID: elecom: add support for ELECOM M-DT2DRBK (bsc#1012628).
  - HID: quirks: add support for Legion Go dual dinput modes
    (bsc#1012628).
  - HID: logitech: Add ids for G PRO 2 LIGHTSPEED (bsc#1012628).
  - HID: wacom: Add a new Art Pen 2 (bsc#1012628).
  - HID: hid-ntrig: fix unable to handle page fault in
    ntrig_report_version() (bsc#1012628).
  - Revert "drm/amdgpu: fix incorrect vm flags to map bo"
    (bsc#1012628).
  - arm64: mm: Fix CFI failure due to kpti_ng_pgd_alloc function
    signature (bsc#1012628).
  - blk-zoned: Fix a lockdep complaint about recursive locking
    (bsc#1012628).
  - dma/pool: Ensure DMA_DIRECT_REMAP allocations are decrypted
    (bsc#1012628).
  - fs/smb: Fix inconsistent refcnt update (bsc#1012628).
  - net: usb: qmi_wwan: add Telit Cinterion LE910C4-WWX new
    compositions (bsc#1012628).
  - smb3 client: fix return code mapping of remap_file_range
    (bsc#1012628).
  - xfs: do not propagate ENODATA disk errors into xattr code
    (bsc#1012628).
  - drm/xe/vm: Clear the scratch_pt pointer on error (bsc#1012628).
  - drm/nouveau/disp: Always accept linear modifier (bsc#1012628).
  - drm/nouveau: fix error path in nvkm_gsp_fwsec_v2 (bsc#1012628).
  - drm/msm/dpu: Initialize crtc_state to NULL in
    dpu_plane_virtual_atomic_check() (bsc#1012628).
  - drm/mediatek: Fix device/node reference count leaks in
    mtk_drm_get_all_drm_priv (bsc#1012628).
  - drm/amd/amdgpu: disable hwmon power1_cap* for gfx 11.0.3 on
    vf mode (bsc#1012628).
  - drm/amdgpu/userq: fix error handling of invalid doorbell
    (bsc#1012628).
  - drm/amdgpu: update firmware version checks for user queue
    support (bsc#1012628).
  - drm/amdgpu/gfx11: set MQD as appriopriate for queue types
    (bsc#1012628).
  - drm/amdgpu/gfx12: set MQD as appriopriate for queue types
    (bsc#1012628).
  - net: rose: fix a typo in rose_clear_routes() (bsc#1012628).
  - firmware: qcom: scm: remove unused arguments from SHM bridge
    routines (bsc#1012628).
  - firmware: qcom: scm: take struct device as argument in SHM
    bridge enable (bsc#1012628).
  - firmware: qcom: scm: initialize tzmem before marking SCM as
    available (bsc#1012628).
  - firmware: qcom: scm: request the waitqueue irq *after*
    initializing SCM (bsc#1012628).
  - Revert "drm/dp: Change AUX DPCD probe address from DPCD_REV
    to LANE0_1_STATUS" (bsc#1012628).
  - thermal/drivers/mediatek/lvts_thermal: Change lvts commands
    array to static const (bsc#1012628).
  - thermal/drivers/mediatek/lvts_thermal: Add lvts commands and
    their sizes to driver data (bsc#1012628).
  - thermal/drivers/mediatek/lvts_thermal: Add mt7988 lvts commands
    (bsc#1012628).
  - Rename to
    patches.kernel.org/6.16.5-028-net-ipv4-fix-regression-in-local-broadcast-rou.patch.
  - commit bd1e98c
* Thu Sep 04 2025 jslaby@suse.cz
  - Revert "Move pesign-obs-integration requirement from kernel-syms to kernel devel"
    This reverts commit e707e417d3bfa5ccc25557bcf1566ab05d56d43b. Let's
    revert temporarily again. We are still waiting for:
    https://github.com/openSUSE/rpm-config-SUSE/pull/91
  - commit 5176148
* Wed Sep 03 2025 mkoutny@suse.com
  - rpm: Configure KABI checkingness macro (bsc#1249186)
    The value of the config should match presence of KABI reference data. If
    it mismatches:
  - !CONFIG & reference  -> this is bug, immediate fail
  - CONFIG & no reference -> OK temporarily, must be resolved eventually
  - commit 23c1536
* Wed Sep 03 2025 mkoutny@suse.com
  - Kconfig.suse: Add KABI checkiness macro (config) (bsc#1249186)
    The motivation: there are patches.kabi/ patches that restore KABI and
    they check validity of the approach with static_assert()s to prevent
    accidental KABI breakage.
    These asserts are invoked on each arch-flavor and they may signal false
    negatives -- that is KABI restoration patch could break KABI but the
    given arch-flavor defines no KABI.
    The intended use is to disable the compile time checks in patches.kabi/
    (but not to be confused with __GENKSYMS__ that affects how reference is
    calculated).
    The name is chosen so that it mimics HAVE_* macros that are not
    configured manually (but is selected by an arch). In our case it's
    (un)selected by build script depending on whether KABI reference is
    defined for given arch-flavor and whether check is really requested by
    the user. Default value is 'n' so that people building merely via
    Makefile (not RPM with KABI checking) obtain consistent config.
  - commit e4fd609
* Tue Sep 02 2025 jslaby@suse.cz
  - Update config files.
    Only oldconfig -- some configs disappeared.
  - commit 214f538
* Tue Sep 02 2025 jslaby@suse.cz
  - Refresh
    patches.suse/net-ipv4-fix-regression-in-local-broadcast-routes.patch.
  - Refresh
    patches.suse/platform-x86-asus-wmi-Fix-racy-registrations.patch.
    Update upstream status.
  - commit 42adf06
* Mon Sep 01 2025 tiwai@suse.de
  - ASoC: SDCA: Add quirk for incorrect function types for 3 systems
    (bsc#1248239).
  - commit cd50fa1
* Mon Sep 01 2025 mkubecek@suse.cz
  - update to 6.17-rc4
  - commit e245414
* Fri Aug 29 2025 msuchanek@suse.de
  - kernel-binary: Another installation ordering fix (bsc#1241353).
  - commit fe14ab5
* Fri Aug 29 2025 jslaby@suse.cz
  - Linux 6.16.4 (bsc#1012628).
  - serial: 8250: fix panic due to PSLVERR (bsc#1012628).
  - ata: Fix SATA_MOBILE_LPM_POLICY description in Kconfig
    (bsc#1012628).
  - cpufreq: armada-8k: Fix off by one in
    armada_8k_cpufreq_free_table() (bsc#1012628).
  - platform/chrome: cros_ec: Unregister notifier in
    cros_ec_unregister() (bsc#1012628).
  - PM: runtime: Take active children into account in
    pm_runtime_get_if_in_use() (bsc#1012628).
  - dm: dm-crypt: Do not partially accept write BIOs with zoned
    targets (bsc#1012628).
  - dm: Check for forbidden splitting of zone write operations
    (bsc#1012628).
  - m68k: Fix lost column on framebuffer debug console
    (bsc#1012628).
  - iio: adc: ad7173: fix num_slots (bsc#1012628).
  - usb: atm: cxacru: Merge cxacru_upload_firmware() into
    cxacru_heavy_init() (bsc#1012628).
  - usb: gadget: udc: renesas_usb3: fix device leak at unbind
    (bsc#1012628).
  - usb: musb: omap2430: fix device leak at unbind (bsc#1012628).
  - usb: dwc3: meson-g12a: fix device leaks at unbind (bsc#1012628).
  - usb: dwc3: imx8mp: fix device leak at unbind (bsc#1012628).
  - bus: mhi: host: Fix endianness of BHI vector table
    (bsc#1012628).
  - bus: mhi: host: Detect events pointing to unexpected TREs
    (bsc#1012628).
  - vt: keyboard: Don't process Unicode characters in K_OFF mode
    (bsc#1012628).
  - vt: defkeymap: Map keycodes above 127 to K_HOLE (bsc#1012628).
  - netfs: Fix unbuffered write error handling (bsc#1012628).
  - lib/crypto: mips/chacha: Fix clang build and remove unneeded
    byteswap (bsc#1012628).
  - lib/crypto: arm/poly1305: Fix register corruption in no-SIMD
    contexts (bsc#1012628).
  - lib/crypto: arm64/poly1305: Fix register corruption in no-SIMD
    contexts (bsc#1012628).
  - crypto: qat - lower priority for skcipher and aead algorithms
    (bsc#1012628).
  - crypto: ccp - Fix SNP panic notifier unregistration
    (bsc#1012628).
  - crypto: caam - Prevent crash on suspend with iMX8QM / iMX8ULP
    (bsc#1012628).
  - crypto: qat - flush misc workqueue during device shutdown
    (bsc#1012628).
  - crypto: x86/aegis - Fix sleeping when disallowed on PREEMPT_RT
    (bsc#1012628).
  - crypto: x86/aegis - Add missing error checks (bsc#1012628).
  - crypto: octeontx2 - Fix address alignment issue on ucode loading
    (bsc#1012628).
  - crypto: octeontx2 - Fix address alignment on CN10K A0/A1 and
    OcteonTX2 (bsc#1012628).
  - crypto: octeontx2 - Fix address alignment on CN10KB and
    CN10KA-B0 (bsc#1012628).
  - crypto: hash - Increase HASH_MAX_DESCSIZE for
    hmac(sha3-224-s390) (bsc#1012628).
  - Revert "vgacon: Add check for vc_origin address range in
    vgacon_scroll()" (bsc#1012628).
  - ksmbd: fix refcount leak causing resource not released
    (bsc#1012628).
  - ksmbd: extend the connection limiting mechanism to support IPv6
    (bsc#1012628).
  - tracing: fprobe-event: Sanitize wildcard for fprobe event name
    (bsc#1012628).
  - ext4: preserve SB_I_VERSION on remount (bsc#1012628).
  - ext4: check fast symlink for ea_inode correctly (bsc#1012628).
  - ext4: fix fsmap end of range reporting with bigalloc
    (bsc#1012628).
  - ext4: fix reserved gdt blocks handling in fsmap (bsc#1012628).
  - ext4: don't try to clear the orphan_present feature block
    device is r/o (bsc#1012628).
  - ext4: use kmalloc_array() for array space allocation
    (bsc#1012628).
  - ext4: fix hole length calculation overflow in non-extent inodes
    (bsc#1012628).
  - btrfs: zoned: fix write time activation failure for metadata
    block group (bsc#1012628).
  - btrfs: fix incorrect log message for nobarrier mount option
    (bsc#1012628).
  - btrfs: restore mount option info messages during mount
    (bsc#1012628).
  - btrfs: fix printing of mount info messages for
    NODATACOW/NODATASUM (bsc#1012628).
  - arm64: dts: apple: t8012-j132: Include touchbar framebuffer node
    (bsc#1012628).
  - arm64: dts: ti: k3-am62-main: Remove eMMC High Speed DDR support
    (bsc#1012628).
  - arm64: dts: exynos7870-j6lte: reduce memory ranges to base
    amount (bsc#1012628).
  - arm64: dts: ti: k3-pinctrl: Enable Schmitt Trigger by default
    (bsc#1012628).
  - arm64: dts: exynos7870: add quirk to disable USB2 LPM in gadget
    mode (bsc#1012628).
  - arm64: dts: rockchip: Add HDMI PHY PLL clock source to VOP2
    on rk3576 (bsc#1012628).
  - arm64: dts: rockchip: Enable HDMI PHY clk provider on rk3576
    (bsc#1012628).
  - arm64: dts: exynos: gs101: ufs: add dma-coherent property
    (bsc#1012628).
  - arm64: dts: ti: k3-am62a7-sk: fix pinmux for main_uart1
    (bsc#1012628).
  - arm64: dts: ti: k3-am62*: Move eMMC pinmux to top level board
    file (bsc#1012628).
  - arm64: dts: exynos7870-on7xelte: reduce memory ranges to base
    amount (bsc#1012628).
  - arm64: dts: ti: k3-am62-verdin: Enable pull-ups on I2C buses
    (bsc#1012628).
  - arm64: dts: rockchip: Remove workaround that prevented Turing
    RK1 GPU power regulator control (bsc#1012628).
  - apparmor: Fix 8-byte alignment for initial dfa blob streams
    (bsc#1012628).
  - dt-bindings: display: sprd,sharkl3-dpu: Fix missing clocks
    constraints (bsc#1012628).
  - dt-bindings: display: sprd,sharkl3-dsi-host: Fix missing clocks
    constraints (bsc#1012628).
  - dt-bindings: display: vop2: Add optional PLL clock property
    for rk3576 (bsc#1012628).
  - scsi: dt-bindings: mediatek,ufs: Add ufs-disable-mcq flag for
    UFS host (bsc#1012628).
  - scsi: ufs: exynos: Fix programming of HCI_UTRL_NEXUS_TYPE
    (bsc#1012628).
  - scsi: mpi3mr: Fix race between config read submit and interrupt
    completion (bsc#1012628).
  - ata: libata-scsi: Fix ata_to_sense_error() status handling
    (bsc#1012628).
  - ata: libata-scsi: Return aborted command when missing sense
    and result TF (bsc#1012628).
  - scsi: ufs: ufs-pci: Fix hibernate state transition for Intel
    MTL-like host controllers (bsc#1012628).
  - scsi: ufs: ufs-pci: Fix default runtime and system PM levels
    (bsc#1012628).
  - ata: libata-scsi: Fix CDL control (bsc#1012628).
  - soc: qcom: mdt_loader: Ensure we don't read past the ELF header
    (bsc#1012628).
  - zynq_fpga: use sgtable-based scatterlist wrappers (bsc#1012628).
  - iio: imu: bno055: fix OOB access of hw_xlate array
    (bsc#1012628).
  - iio: adc: ad_sigma_delta: change to buffer predisable
    (bsc#1012628).
  - iio: adc: ad7173: fix channels index for syscalib_mode
    (bsc#1012628).
  - iio: adc: ad7173: fix calibration channel (bsc#1012628).
  - iio: adc: ad7173: fix setting ODR in probe (bsc#1012628).
  - wifi: brcmsmac: Remove const from tbl_ptr parameter in
    wlc_lcnphy_common_read_table() (bsc#1012628).
  - wifi: ath12k: fix dest ring-buffer corruption (bsc#1012628).
  - wifi: ath12k: fix source ring-buffer corruption (bsc#1012628).
  - wifi: ath12k: fix dest ring-buffer corruption when ring is full
    (bsc#1012628).
  - wifi: ath11k: fix dest ring-buffer corruption (bsc#1012628).
  - wifi: ath11k: fix source ring-buffer corruption (bsc#1012628).
  - wifi: ath11k: fix dest ring-buffer corruption when ring is full
    (bsc#1012628).
  - pwm: imx-tpm: Reset counter if CMOD is 0 (bsc#1012628).
  - pwm: mediatek: Handle hardware enable and clock enable
    separately (bsc#1012628).
  - pwm: mediatek: Fix duty and period setting (bsc#1012628).
  - hwmon: (gsc-hwmon) fix fan pwm setpoint show functions
    (bsc#1012628).
  - mtd: spi-nor: Fix spi_nor_try_unlock_all() (bsc#1012628).
  - mtd: spinand: propagate spinand_wait() errors from
    spinand_write_page() (bsc#1012628).
  - mtd: rawnand: fsmc: Add missing check after DMA map
    (bsc#1012628).
  - mtd: rawnand: renesas: Add missing check after DMA map
    (bsc#1012628).
  - mfd: mt6397: Do not use generic name for keypad sub-devices
    (bsc#1012628).
  - readahead: fix return value of page_cache_next_miss() when no
    hole is found (bsc#1012628).
  - PCI/portdrv: Use is_pciehp instead of is_hotplug_bridge
    (bsc#1012628).
  - PCI: Fix link speed calculation on retrain failure
    (bsc#1012628).
  - PCI: endpoint: Fix configfs group list head handling
    (bsc#1012628).
  - PCI: endpoint: Fix configfs group removal on driver teardown
    (bsc#1012628).
  - PCI: imx6: Add IMX8MQ_EP third 64-bit BAR in epc_features
    (bsc#1012628).
  - PCI: imx6: Add IMX8MM_EP and IMX8MP_EP fixed 256-byte BAR 4
    in epc_features (bsc#1012628).
  - PCI: imx6: Remove apps_reset toggling from
    imx_pcie_{assert/deassert}_core_reset (bsc#1012628).
  - PCI: imx6: Delay link start until configfs 'start' written
    (bsc#1012628).
  - vsock/virtio: Validate length in packet header before skb_put()
    (bsc#1012628).
  - vhost/vsock: Avoid allocating arbitrarily-sized SKBs
    (bsc#1012628).
  - phy: qcom: phy-qcom-m31: Update IPQ5332 M31 USB phy
    initialization sequence (bsc#1012628).
  - amdgpu/amdgpu_discovery: increase timeout limit for IFWI init
    (bsc#1012628).
  - ASoC: SOF: amd: acp-loader: Use GFP_KERNEL for DMA allocations
    in resume context (bsc#1012628).
  - block: restore default wbt enablement (bsc#1012628).
  - f2fs: fix to avoid out-of-boundary access in dnode page
    (bsc#1012628).
  - i2c: qcom-geni: fix I2C frequency table to achieve accurate
    bus rates (bsc#1012628).
  - iomap: Fix broken data integrity guarantees for O_SYNC writes
    (bsc#1012628).
  - jbd2: prevent softlockup in jbd2_log_do_checkpoint()
    (bsc#1012628).
  - kasan/test: fix protection against compiler elision
    (bsc#1012628).
  - kbuild: userprogs: use correct linker when mixing clang and
    GNU ld (bsc#1012628).
  - Mark xe driver as BROKEN if kernel page size is not 4kB
    (bsc#1012628).
  - open_tree_attr: do not allow id-mapping changes without
    OPEN_TREE_CLONE (bsc#1012628).
  - proc: proc_maps_open allow proc_mem_open to return NULL
    (bsc#1012628).
  - soc/tegra: pmc: Ensure power-domains are in a known state
    (bsc#1012628).
  - parisc: Check region is readable by user in raw_copy_from_user()
    (bsc#1012628).
  - parisc: Define and use set_pte_at() (bsc#1012628).
  - parisc: Drop WARN_ON_ONCE() from flush_cache_vmap (bsc#1012628).
  - parisc: Makefile: explain that 64BIT requires both 32-bit and
    64-bit compilers (bsc#1012628).
  - parisc: Rename pte_needs_flush() to pte_needs_cache_flush()
    in cache.c (bsc#1012628).
  - parisc: Revise __get_user() to probe user read access
    (bsc#1012628).
  - parisc: Revise gateway LWS calls to probe user read access
    (bsc#1012628).
  - parisc: Try to fixup kernel exception in bad_area_nosemaphore
    path of do_page_fault() (bsc#1012628).
  - parisc: Update comments in make_insert_tlb (bsc#1012628).
  - media: gspca: Add bounds checking to firmware parser
    (bsc#1012628).
  - media: hi556: correct the test pattern configuration
    (bsc#1012628).
  - media: imx: fix a potential memory leak in
    imx_media_csc_scaler_device_init() (bsc#1012628).
  - media: ipu6: isys: Use correct pads for xlate_streams()
    (bsc#1012628).
  - media: vivid: fix wrong pixel_array control size (bsc#1012628).
  - media: verisilicon: Fix AV1 decoder clock frequency
    (bsc#1012628).
  - media: v4l2-ctrls: Don't reset handler's error in
    v4l2_ctrl_handler_free() (bsc#1012628).
  - media: usbtv: Lock resolution while streaming (bsc#1012628).
  - media: rainshadow-cec: fix TOCTOU race condition in
    rain_interrupt() (bsc#1012628).
  - media: pisp_be: Fix pm_runtime underrun in probe (bsc#1012628).
  - media: ov2659: Fix memory leaks in ov2659_probe() (bsc#1012628).
  - media: mt9m114: Fix deadlock in
    get_frame_interval/set_frame_interval (bsc#1012628).
  - media: ivsc: Fix crash at shutdown due to missing
    mei_cldev_disable() calls (bsc#1012628).
  - media: qcom: camss: csiphy-3ph: Fix inadvertent dropping of
    SDM660/SDM670 phy init (bsc#1012628).
  - media: qcom: camss: cleanup media device allocated resource
    on error path (bsc#1012628).
  - media: qcom: camss: Remove extraneous -supply postfix on supply
    names (bsc#1012628).
  - media: venus: Add a check for packet size after reading from
    shared memory (bsc#1012628).
  - media: venus: Fix MSM8998 frequency table (bsc#1012628).
  - media: venus: hfi: explicitly release IRQ during teardown
    (bsc#1012628).
  - media: venus: protect against spurious interrupts during probe
    (bsc#1012628).
  - media: venus: vdec: Clamp param smaller than 1fps and bigger
    than 240 (bsc#1012628).
  - media: venus: venc: Clamp param smaller than 1fps and bigger
    than 240 (bsc#1012628).
  - media: iris: Avoid updating frame size to firmware during
    reconfig (bsc#1012628).
  - media: iris: Drop port check for session property response
    (bsc#1012628).
  - media: iris: Fix buffer preparation failure during resolution
    change (bsc#1012628).
  - media: iris: Fix missing function pointer initialization
    (bsc#1012628).
  - media: iris: Fix NULL pointer dereference (bsc#1012628).
  - media: iris: Fix typo in depth variable (bsc#1012628).
  - media: iris: Prevent HFI queue writes when core is in deinit
    state (bsc#1012628).
  - media: iris: Remove deprecated property setting to firmware
    (bsc#1012628).
  - media: iris: Remove error check for non-zero v4l2 controls
    (bsc#1012628).
  - media: iris: Send V4L2_BUF_FLAG_ERROR for capture buffers with
    0 filled length (bsc#1012628).
  - media: iris: Skip destroying internal buffer if not dequeued
    (bsc#1012628).
  - media: iris: Skip flush on first sequence change (bsc#1012628).
  - media: iris: Track flush responses to prevent premature
    completion (bsc#1012628).
  - media: iris: Update CAPTURE format info based on OUTPUT format
    (bsc#1012628).
  - media: iris: Verify internal buffer release on close
    (bsc#1012628).
  - media: iris: Remove unnecessary re-initialization of flush
    completion (bsc#1012628).
  - drm/xe/bmg: Add one additional PCI ID (bsc#1012628).
  - drm/xe: Defer buffer object shrinker write-backs and GPU waits
    (bsc#1012628).
  - drm/amd/amdgpu: fix missing lock for cper.ring->rptr/wptr access
    (bsc#1012628).
  - drm/amdgpu/discovery: fix fw based ip discovery (bsc#1012628).
  - drm/amd: Restore cached power limit during resume (bsc#1012628).
  - drm/amdgpu: add kicker fws loading for gfx12/smu14/psp14
    (bsc#1012628).
  - drm/amdgpu: add missing vram lost check for LEGACY RESET
    (bsc#1012628).
  - drm/amdgpu: Avoid extra evict-restore process (bsc#1012628).
  - drm/amdgpu: check if hubbub is NULL in
    debugfs/amdgpu_dm_capabilities (bsc#1012628).
  - drm/amdgpu: Initialize data to NULL in
    imu_v12_0_program_rlc_ram() (bsc#1012628).
  - drm/amdgpu: Retain job->vm in amdgpu_job_prepare_job
    (bsc#1012628).
  - drm/amdgpu: track whether a queue is a kernel queue in
    amdgpu_mqd_prop (bsc#1012628).
  - drm/amdgpu: Update external revid for GC v9.5.0 (bsc#1012628).
  - drm/amdgpu: update mmhub 3.0.1 client id mappings (bsc#1012628).
  - drm/amdgpu: update mmhub 3.3 client id mappings (bsc#1012628).
  - drm/amdgpu: update mmhub 4.1.0 client id mappings (bsc#1012628).
  - drm/amdgpu: Update supported modes for GC v9.5.0 (bsc#1012628).
  - drm/amdkfd: Destroy KFD debugfs after destroy KFD wq
    (bsc#1012628).
  - drm/amdkfd: Fix checkpoint-restore on multi-xcc (bsc#1012628).
  - drm/amd/display: Add primary plane to commits for correct VRR
    handling (bsc#1012628).
  - drm/amd/display: fix a Null pointer dereference vulnerability
    (bsc#1012628).
  - drm/amd/display: Fix DCE 6.0 and 6.4 PLL programming
    (bsc#1012628).
  - drm/amd/display: fix initial backlight brightness calculation
    (bsc#1012628).
  - drm/amd/display: Pass up errors for reset GPU that fails to
    init HW (bsc#1012628).
  - drm/amd/display: Revert "drm/amd/display: Fix
    AMDGPU_MAX_BL_LEVEL value" (bsc#1012628).
  - drm/amd/display: Don't overwrite dce60_clk_mgr (bsc#1012628).
  - LoongArch: KVM: Make function kvm_own_lbt() robust
    (bsc#1012628).
  - LoongArch: KVM: Fix stack protector issue in send_ipi_data()
    (bsc#1012628).
  - LoongArch: KVM: Add address alignment check in pch_pic register
    access (bsc#1012628).
  - net, hsr: reject HSR frame if skb can't hold tag (bsc#1012628).
  - sched/ext: Fix invalid task state transitions on class switch
    (bsc#1012628).
  - ipv6: sr: Fix MAC comparison to be constant-time (bsc#1012628).
  - cgroup: avoid null de-ref in css_rstat_exit() (bsc#1012628).
  - cpuidle: governors: menu: Avoid selecting states with too much
    latency (bsc#1012628).
  - ACPI: pfr_update: Fix the driver update version check
    (bsc#1012628).
  - ACPI: APEI: EINJ: Fix resource leak by remove callback in
    .exit.text (bsc#1012628).
  - mptcp: drop skb if MPTCP skb extension allocation fails
    (bsc#1012628).
  - mptcp: pm: kernel: flush: do not reset ADD_ADDR limit
    (bsc#1012628).
  - mptcp: remove duplicate sk_reset_timer call (bsc#1012628).
  - mptcp: disable add_addr retransmission when timeout is 0
    (bsc#1012628).
  - selftests: mptcp: pm: check flush doesn't reset limits
    (bsc#1012628).
  - selftests: mptcp: connect: fix C23 extension warning
    (bsc#1012628).
  - selftests: mptcp: sockopt: fix C23 extension warning
    (bsc#1012628).
  - mm/damon/ops-common: ignore migration request to invalid nodes
    (bsc#1012628).
  - btrfs: move transaction aborts to the error site in
    add_block_group_free_space() (bsc#1012628).
  - btrfs: always abort transaction on failure to add block group
    to free space tree (bsc#1012628).
  - btrfs: abort transaction on unexpected eb generation at
    btrfs_copy_root() (bsc#1012628).
  - btrfs: reorganize logic at free_extent_buffer() for better
    readability (bsc#1012628).
  - btrfs: add comment for optimization in free_extent_buffer()
    (bsc#1012628).
  - btrfs: use refcount_t type for the extent buffer reference
    counter (bsc#1012628).
  - btrfs: fix subpage deadlock in
    try_release_subpage_extent_buffer() (bsc#1012628).
  - btrfs: add comments on the extra btrfs specific subpage bitmaps
    (bsc#1012628).
  - btrfs: rename btrfs_subpage structure (bsc#1012628).
  - btrfs: subpage: keep TOWRITE tag until folio is cleaned
    (bsc#1012628).
  - xfs: decouple xfs_trans_alloc_empty from xfs_trans_alloc
    (bsc#1012628).
  - xfs: return the allocated transaction from xfs_trans_alloc_empty
    (bsc#1012628).
  - xfs: improve the comments in xfs_select_zone_nowait
    (bsc#1012628).
  - xfs: fully decouple XFS_IBULK* flags from XFS_IWALK* flags
    (bsc#1012628).
  - xfs: Remove unused label in xfs_dax_notify_dev_failure
    (bsc#1012628).
  - erofs: fix build error with CONFIG_EROFS_FS_ZIP_ACCEL=y
    (bsc#1012628).
  - erofs: Do not select tristate symbols from bool symbols
    (bsc#1012628).
  - crypto: acomp - Fix CFI failure due to type punning
    (bsc#1012628).
  - iommu/riscv: prevent NULL deref in iova_to_phys (bsc#1012628).
  - io_uring/futex: ensure io_futex_wait() cleans up properly on
    failure (bsc#1012628).
  - iov_iter: iterate_folioq: fix handling of offset >= folio size
    (bsc#1012628).
  - iommu/arm-smmu-v3: Fix smmu_domain->nr_ats_masters decrement
    (bsc#1012628).
  - mm/damon/core: fix commit_ops_filters by using correct nth
    function (bsc#1012628).
  - mmc: sdhci-of-arasan: Ensure CD logic stabilization before
    power-up (bsc#1012628).
  - mmc: sdhci-pci-gli: Add a new function to simplify the code
    (bsc#1012628).
  - kho: init new_physxa->phys_bits to fix lockdep (bsc#1012628).
  - kho: mm: don't allow deferred struct page with KHO
    (bsc#1012628).
  - kho: warn if KHO is disabled due to an error (bsc#1012628).
  - memstick: Fix deadlock by moving removing flag earlier
    (bsc#1012628).
  - mmc: sdhci-pci-gli: GL9763e: Mask the replay timer timeout of
    AER (bsc#1012628).
  - mmc: sdhci-pci-gli: GL9763e: Rename the gli_set_gl9763e()
    for consistency (bsc#1012628).
  - mmc: sdhci_am654: Disable HS400 for AM62P SR1.0 and SR1.1
    (bsc#1012628).
  - NFS: Fix a race when updating an existing write (bsc#1012628).
  - squashfs: fix memory leak in squashfs_fill_super (bsc#1012628).
  - mm/damon/core: fix damos_commit_filter not changing allow
    (bsc#1012628).
  - mm/debug_vm_pgtable: clear page table entries at destroy_args()
    (bsc#1012628).
  - mm/memory-failure: fix infinite UCE for VM_PFNMAP pfn
    (bsc#1012628).
  - mm/mremap: fix WARN with uffd that has remap events disabled
    (bsc#1012628).
  - ALSA: hda/realtek: Add support for HP EliteBook x360 830 G6
    and EliteBook 830 G6 (bsc#1012628).
  - RDMA/rxe: Flush delayed SKBs while releasing RXE resources
    (bsc#1012628).
  - s390/sclp: Fix SCCB present check (bsc#1012628).
  - platform/x86/intel-uncore-freq: Check write blocked for ELC
    (bsc#1012628).
  - compiler: remove __ADDRESSABLE_ASM{_STR,}() again (bsc#1012628).
  - accel/habanalabs/gaudi2: Use kvfree() for memory allocated
    with kvcalloc() (bsc#1012628).
  - drm/amdgpu/swm14: Update power limit logic (bsc#1012628).
  - drm/i915: silence rpm wakeref asserts on GEN11_GU_MISC_IIR
    access (bsc#1012628).
  - drm/nouveau/gsp: fix mismatched alloc/free for kvmalloc()
    (bsc#1012628).
  - drm/i915/gt: Relocate compression repacking WA for JSL/EHL
    (bsc#1012628).
  - drm/i915/lnl+/tc: Fix handling of an enabled/disconnected
    dp-alt sink (bsc#1012628).
  - drm/i915/icl+/tc: Cache the max lane count value (bsc#1012628).
  - drm/i915/lnl+/tc: Fix max lane count HW readout (bsc#1012628).
  - drm/i915/lnl+/tc: Use the cached max lane count value
    (bsc#1012628).
  - drm/i915/icl+/tc: Convert AUX powered WARN to a debug message
    (bsc#1012628).
  - drm/amd/display: Avoid a NULL pointer dereference (bsc#1012628).
  - drm/amd/display: Don't overclock DCE 6 by 15% (bsc#1012628).
  - drm/amd/display: Fix fractional fb divider in set_pixel_clock_v3
    (bsc#1012628).
  - drm/amd/display: Fix Xorg desktop unresponsive on Replay panel
    (bsc#1012628).
  - drm/amd/display: Fix DP audio DTO1 clock source on DCE 6
    (bsc#1012628).
  - drm/amd/display: Find first CRTC and its line time in
    dce110_fill_display_configs (bsc#1012628).
  - drm/amd/display: Fill display clock and vblank time in
    dce110_fill_display_configs (bsc#1012628).
  - scsi: mpi3mr: Drop unnecessary volatile from __iomem pointers
    (bsc#1012628).
  - scsi: mpi3mr: Serialize admin queue BAR writes on 32-bit systems
    (bsc#1012628).
  - PCI: rockchip: Use standard PCIe definitions (bsc#1012628).
  - PCI: rockchip: Set Target Link Speed to 5.0 GT/s before
    retraining (bsc#1012628).
  - drm/amdgpu: fix task hang from failed job submission during
    process kill (bsc#1012628).
  - soc: qcom: mdt_loader: Fix error return values in
    mdt_header_valid() (bsc#1012628).
  - xfs: fix frozen file system assert in xfs_trans_alloc
    (bsc#1012628).
  - rust: faux: fix C header link (bsc#1012628).
  - debugfs: fix mount options not being applied (bsc#1012628).
  - fs: fix incorrect lflags value in the move_mount syscall
    (bsc#1012628).
  - btrfs: zoned: fix data relocation block group reservation
    (bsc#1012628).
  - fhandle: do_handle_open() should get FD with user flags
    (bsc#1012628).
  - libfs: massage path_from_stashed() to allow custom stashing
    behavior (bsc#1012628).
  - smb: server: split ksmbd_rdma_stop_listening() out of
    ksmbd_rdma_destroy() (bsc#1012628).
  - fs/buffer: fix use-after-free when call bh_read() helper
    (bsc#1012628).
  - signal: Fix memory leak for PIDFD_SELF* sentinels (bsc#1012628).
  - use uniform permission checks for all mount propagation changes
    (bsc#1012628).
  - iommu: Remove ops.pgsize_bitmap from drivers that don't use it
    (bsc#1012628).
  - iommu/virtio: Make instance lookup robust (bsc#1012628).
  - drm/amd: Restore cached manual clock settings during resume
    (bsc#1012628).
  - drm/dp: Change AUX DPCD probe address from DPCD_REV to
    LANE0_1_STATUS (bsc#1012628).
  - fpga: zynq_fpga: Fix the wrong usage of dma_map_sgtable()
    (bsc#1012628).
  - iio: adc: ad7380: fix missing max_conversion_rate_hz on
    adaq4381-4 (bsc#1012628).
  - iio: accel: sca3300: fix uninitialized iio scan data
    (bsc#1012628).
  - ftrace: Also allocate and copy hash for reading of filter files
    (bsc#1012628).
  - iio: temperature: maxim_thermocouple: use DMA-safe buffer for
    spi_read() (bsc#1012628).
  - iio: adc: ad7124: fix channel lookup in syscalib functions
    (bsc#1012628).
  - iio: light: as73211: Ensure buffer holes are zeroed
    (bsc#1012628).
  - iio: pressure: bmp280: Use IS_ERR() in bmp280_common_probe()
    (bsc#1012628).
  - iio: adc: rzg2l_adc: Set driver data before enabling runtime PM
    (bsc#1012628).
  - iio: adc: bd79124: Add GPIOLIB dependency (bsc#1012628).
  - iio: adc: ad7173: prevent scan if too many setups requested
    (bsc#1012628).
  - iio: proximity: isl29501: fix buffered read on big-endian
    systems (bsc#1012628).
  - iio: adc: rzg2l: Cleanup suspend/resume path (bsc#1012628).
  - most: core: Drop device reference after usage in get_channel()
    (bsc#1012628).
  - kcov, usb: Don't disable interrupts in
    kcov_remote_start_usb_softirq() (bsc#1012628).
  - cdx: Fix off-by-one error in cdx_rpmsg_probe() (bsc#1012628).
  - usb: quirks: Add DELAY_INIT quick for another SanDisk 3.2Gen1
    Flash Drive (bsc#1012628).
  - comedi: Make insn_rw_emulate_bits() do insn->n samples
    (bsc#1012628).
  - comedi: pcl726: Prevent invalid irq number (bsc#1012628).
  - comedi: Fix use of uninitialized memory in do_insn_ioctl()
    and do_insnlist_ioctl() (bsc#1012628).
  - usb: core: hcd: fix accessing unmapped memory in
    SINGLE_STEP_SET_FEATURE test (bsc#1012628).
  - usb: renesas-xhci: Fix External ROM access timeouts
    (bsc#1012628).
  - USB: storage: Add unusual-devs entry for Novatek NTK96550-based
    camera (bsc#1012628).
  - usb: storage: realtek_cr: Use correct byte order for
    bcs->Residue (bsc#1012628).
  - USB: storage: Ignore driver CD mode for Realtek multi-mode
    Wi-Fi dongles (bsc#1012628).
  - usb: typec: maxim_contaminant: disable low power mode when
    reading comparator values (bsc#1012628).
  - usb: typec: maxim_contaminant: re-enable cc toggle if cc is
    open and port is clean (bsc#1012628).
  - usb: xhci: Fix slot_id resource race conflict (bsc#1012628).
  - usb: xhci: fix host not responding after suspend and resume
    (bsc#1012628).
  - usb: dwc3: Ignore late xferNotReady event to prevent halt
    timeout (bsc#1012628).
  - usb: dwc3: Remove WARN_ON for device endpoint command timeouts
    (bsc#1012628).
  - usb: dwc3: pci: add support for the Intel Wildcat Lake
    (bsc#1012628).
  - tracing: Remove unneeded goto out logic (bsc#1012628).
  - tracing: Limit access to parser->buffer when trace_get_user
    failed (bsc#1012628).
  - ovl: use I_MUTEX_PARENT when locking parent in ovl_create_temp()
    (bsc#1012628).
  - PCI: dwc: Ensure that dw_pcie_wait_for_link() waits 100 ms
    after link up (bsc#1012628).
  - tls: fix handling of zero-length records on the rx_list
    (bsc#1012628).
  - x86/CPU/AMD: Ignore invalid reset reason value (bsc#1012628).
  - x86/cpu/hygon: Add missing resctrl_cpu_detect() in bsp_init
    helper (bsc#1012628).
  - i2c: rtl9300: Fix out-of-bounds bug in rtl9300_i2c_smbus_xfer
    (bsc#1012628).
  - i2c: rtl9300: Fix multi-byte I2C write (bsc#1012628).
  - i2c: rtl9300: Increase timeout for transfer polling
    (bsc#1012628).
  - i2c: rtl9300: Add missing count byte for SMBus Block Ops
    (bsc#1012628).
  - iio: imu: inv_icm42600: use = { } instead of memset()
    (bsc#1012628).
  - iio: imu: inv_icm42600: Convert to uXX and sXX integer types
    (bsc#1012628).
  - iio: imu: inv_icm42600: change invalid data error to -EBUSY
    (bsc#1012628).
  - spi: spi-qpic-snand: use correct CW_PER_PAGE value for OOB write
    (bsc#1012628).
  - spi: spi-fsl-lpspi: Clamp too high speed_hz (bsc#1012628).
  - spi: spi-qpic-snand: fix calculating of ECC OOB regions'
    properties (bsc#1012628).
  - drm/nouveau/nvif: Fix potential memory leak in nvif_vmm_ctor()
    (bsc#1012628).
  - cgroup/cpuset: Use static_branch_enable_cpuslocked() on
    cpusets_insane_config_key (bsc#1012628).
  - cgroup/cpuset: Fix a partition error with CPU hotplug
    (bsc#1012628).
  - drm/tests: Fix endian warning (bsc#1012628).
  - drm/tests: Do not use drm_fb_blit() in format-helper tests
    (bsc#1012628).
  - drm/tests: Fix drm_test_fb_xrgb8888_to_xrgb2101010() on
    big-endian (bsc#1012628).
  - iosys-map: Fix undefined behavior in iosys_map_clear()
    (bsc#1012628).
  - rust: alloc: replace aligned_size() with
    Kmalloc::aligned_layout() (bsc#1012628).
  - rust: drm: ensure kmalloc() compatible Layout (bsc#1012628).
  - rust: drm: remove pin annotations from drm::Device
    (bsc#1012628).
  - rust: drm: don't pass the address of drm::Device to
    drm_dev_put() (bsc#1012628).
  - drm/panic: Add a u64 divide by 10 for arm32 (bsc#1012628).
  - platform/x86/amd/hsmp: Ensure sock->metric_tbl_addr is non-NULL
    (bsc#1012628).
  - RDMA/erdma: Fix ignored return value of init_kernel_qp
    (bsc#1012628).
  - RDMA/erdma: Fix unset QPN of GSI QP (bsc#1012628).
  - RDMA/hns: Fix querying wrong SCC context for DIP algorithm
    (bsc#1012628).
  - RDMA/bnxt_re: Fix to do SRQ armena by default (bsc#1012628).
  - RDMA/bnxt_re: Fix to remove workload check in SRQ limit path
    (bsc#1012628).
  - RDMA/bnxt_re: Fix a possible memory leak in the driver
    (bsc#1012628).
  - RDMA/bnxt_re: Fix to initialize the PBL array (bsc#1012628).
  - RDMA/core: Free pfn_list with appropriate kvfree call
    (bsc#1012628).
  - RDMA/hns: Fix dip entries leak on devices newer than hip09
    (bsc#1012628).
  - net: xilinx: axienet: Fix RX skb ring management in DMAengine
    mode (bsc#1012628).
  - net: bridge: fix soft lockup in br_multicast_query_expired()
    (bsc#1012628).
  - net/sched: Fix backlog accounting in qdisc_dequeue_internal
    (bsc#1012628).
  - rtase: Fix Rx descriptor CRC error bit definition (bsc#1012628).
  - scsi: qla4xxx: Prevent a potential error pointer dereference
    (bsc#1012628).
  - iommu/amd: Avoid stack buffer overflow from kernel cmdline
    (bsc#1012628).
  - Bluetooth: hci_sync: Fix scan state after PA Sync has been
    established (bsc#1012628).
  - Bluetooth: btmtk: Fix wait_on_bit_timeout interruption during
    shutdown (bsc#1012628).
  - Bluetooth: hci_core: Fix using {cis,bis}_capable for current
    settings (bsc#1012628).
  - Bluetooth: hci_core: Fix using ll_privacy_capable for current
    settings (bsc#1012628).
  - Bluetooth: hci_sync: Prevent unintended PA sync when SID is 0xFF
    (bsc#1012628).
  - Bluetooth: hci_event: fix MTU for BN == 0 in CIS Established
    (bsc#1012628).
  - Bluetooth: hci_conn: do return error from
    hci_enhanced_setup_sync() (bsc#1012628).
  - Bluetooth: Add PA_LINK to distinguish BIG sync and PA sync
    connections (bsc#1012628).
  - Bluetooth: hci_core: Fix not accounting for BIS/CIS/PA links
    separately (bsc#1012628).
  - mlxsw: spectrum: Forward packets with an IPv4 link-local source
    IP (bsc#1012628).
  - drm: nova-drm: fix 32-bit arm build (bsc#1012628).
  - md: rename recovery_cp to resync_offset (bsc#1012628).
  - md: add helper rdev_needs_recovery() (bsc#1012628).
  - md: fix sync_action incorrect display during resync
    (bsc#1012628).
  - rust: alloc: fix `rusttest` by providing
    `Cmalloc::aligned_layout` too (bsc#1012628).
  - drm/hisilicon/hibmc: fix the i2c device resource leak when
    vdac init failed (bsc#1012628).
  - drm/hisilicon/hibmc: fix irq_request()'s irq name variable is
    local (bsc#1012628).
  - drm/hisilicon/hibmc: fix the hibmc loaded failed bug
    (bsc#1012628).
  - drm/hisilicon/hibmc: fix rare monitors cannot display problem
    (bsc#1012628).
  - drm/hisilicon/hibmc: fix dp and vga cannot show together
    (bsc#1012628).
  - ALSA: usb-audio: Fix size validation in convert_chmap_v3()
    (bsc#1012628).
  - regulator: pca9450: Use devm_register_sys_off_handler
    (bsc#1012628).
  - drm/amd/display: Add null pointer check in
    mod_hdcp_hdcp1_create_session() (bsc#1012628).
  - drm/amd/display: Adjust DCE 8-10 clock, don't overclock by 15%
    (bsc#1012628).
  - drm/amd/display: Don't print errors for nonexistent connectors
    (bsc#1012628).
  - net: gso: Forbid IPv6 TSO with extensions on devices with only
    IPV6_CSUM (bsc#1012628).
  - ipv6: sr: validate HMAC algorithm ID in seg6_hmac_info_add
    (bsc#1012628).
  - bnxt_en: Fix lockdep warning during rmmod (bsc#1012628).
  - scsi: ufs: core: Fix IRQ lock inversion for the SCSI host lock
    (bsc#1012628).
  - scsi: ufs: core: Remove WARN_ON_ONCE() call from
    ufshcd_uic_cmd_compl() (bsc#1012628).
  - scsi: ufs: ufs-qcom: Update esi_vec_mask for HW major version >=
    6 (bsc#1012628).
  - scsi: ufs: ufs-qcom: Fix ESI null pointer dereference
    (bsc#1012628).
  - net: ethernet: mtk_ppe: add RCU lock around
    dev_fill_forward_path (bsc#1012628).
  - ppp: fix race conditions in ppp_fill_forward_path (bsc#1012628).
  - net: ti: icssg-prueth: Fix HSR and switch offload Enablement
    during firwmare reload (bsc#1012628).
  - drm/xe: Assign ioctl xe file handler to vm in xe_vm_create
    (bsc#1012628).
  - regulator: tps65219: regulator: tps65219: Fix error codes in
    probe() (bsc#1012628).
  - cifs: Fix oops due to uninitialised variable (bsc#1012628).
  - phy: mscc: Fix timestamping for vsc8584 (bsc#1012628).
  - net: usb: asix_devices: Fix PHY address mask in MDIO bus
    initialization (bsc#1012628).
  - gve: prevent ethtool ops after shutdown (bsc#1012628).
  - net: stmmac: thead: Enable TX clock before MAC initialization
    (bsc#1012628).
  - net/smc: fix UAF on smcsk after smc_listen_out() (bsc#1012628).
  - net/mlx5: HWS, fix bad parameter in CQ creation (bsc#1012628).
  - net/mlx5: HWS, fix complex rules rehash error flow
    (bsc#1012628).
  - net/mlx5: HWS, Fix table creation UID (bsc#1012628).
  - net/mlx5: CT: Use the correct counter offset (bsc#1012628).
  - microchip: lan865x: fix missing netif_start_queue() call on
    device open (bsc#1012628).
  - microchip: lan865x: fix missing Timer Increment config for
    Rev.B0/B1 (bsc#1012628).
  - objtool/LoongArch: Get table size correctly if LTO is enabled
    (bsc#1012628).
  - LoongArch: Pass annotate-tablejump option if LTO is enabled
    (bsc#1012628).
  - LoongArch: Optimize module load time by optimizing PLT/GOT
    counting (bsc#1012628).
  - ASoC: cs35l56: Update Firmware Addresses for CS35L63 for
    production silicon (bsc#1012628).
  - ASoC: cs35l56: Handle new algorithms IDs for CS35L63
    (bsc#1012628).
  - ASoC: cs35l56: Remove SoundWire Clock Divider workaround for
    CS35L63 (bsc#1012628).
  - s390/mm: Do not map lowcore with identity mapping (bsc#1012628).
  - LoongArch: KVM: Use standard bitops API with eiointc
    (bsc#1012628).
  - LoongArch: KVM: Use kvm_get_vcpu_by_id() instead of
    kvm_get_vcpu() (bsc#1012628).
  - ixgbe: xsk: resolve the negative overflow of budget in
    ixgbe_xmit_zc (bsc#1012628).
  - igc: fix disabling L1.2 PCI-E link substate on I226 on init
    (bsc#1012628).
  - net: dsa: microchip: Fix KSZ9477 HSR port setup issue
    (bsc#1012628).
  - net/sched: Make cake_enqueue return NET_XMIT_CN when past
    buffer_limit (bsc#1012628).
  - net/sched: Remove unnecessary WARNING condition for empty
    child qdisc in htb_activate (bsc#1012628).
  - ALSA: timer: fix ida_free call while not allocated
    (bsc#1012628).
  - bonding: update LACP activity flag after setting lacp_active
    (bsc#1012628).
  - bonding: send LACPDUs periodically in passive mode after
    receiving partner's LACPDU (bsc#1012628).
  - net: airoha: ppe: Do not invalid PPE entries in case of SW
    hash collision (bsc#1012628).
  - block: move elevator queue allocation logic into
    blk_mq_init_sched (bsc#1012628).
  - block: fix lockdep warning caused by lock dependency in
    elv_iosched_store (bsc#1012628).
  - block: fix potential deadlock while running nr_hw_queue update
    (bsc#1012628).
  - blk-mq: fix lockdep warning in __blk_mq_update_nr_hw_queues
    (bsc#1012628).
  - block: decrement block_rq_qos static key in rq_qos_del()
    (bsc#1012628).
  - block: skip q->rq_qos check in rq_qos_done_bio() (bsc#1012628).
  - block: avoid cpu_hotplug_lock depedency on freeze_lock
    (bsc#1012628).
  - Octeontx2-af: Skip overlap check for SPI field (bsc#1012628).
  - net/mlx5: Base ECVF devlink port attrs from 0 (bsc#1012628).
  - net/mlx5: Add IFC bits and enums for buf_ownership
    (bsc#1012628).
  - net/mlx5e: Query FW for buffer ownership (bsc#1012628).
  - net/mlx5e: Preserve shared buffer capacity during headroom
    updates (bsc#1012628).
  - ALSA: usb-audio: Use correct sub-type for UAC3 feature unit
    validation (bsc#1012628).
  - s390/hypfs: Avoid unnecessary ioctl registration in debugfs
    (bsc#1012628).
  - s390/hypfs: Enable limited access during lockdown (bsc#1012628).
  - netfilter: nf_reject: don't leak dst refcount for loopback
    packets (bsc#1012628).
  - drm/xe: Move ASID allocation and user PT BO tracking into
    xe_vm_create (bsc#1012628).
  - drm/xe: Fix vm_bind_ioctl double free bug (bsc#1012628).
  - Rename to
    patches.kernel.org/6.16.4-250-ALSA-hda-tas2781-Fix-wrong-reference-of-tasdev.patch.
  - Rename to
    patches.kernel.org/6.16.4-337-devlink-let-driver-opt-out-of-automatic-phys_p.patch.
  - Rename to
    patches.kernel.org/6.16.4-338-ixgbe-prevent-from-unwanted-interface-name-cha.patch.
  - commit a1438f6
* Thu Aug 28 2025 tiwai@suse.de
  - net: ipv4: fix regression in local-broadcast routes
    (bsc#1248594).
  - commit 7db7324
* Thu Aug 28 2025 jslaby@suse.cz
  - Refresh
    patches.suse/proc-fix-missing-pde_set_flags-for-net-proc-files.patch.
    Update upstream status.
  - commit 888a1d8
* Wed Aug 27 2025 jslaby@suse.cz
  - md: keep recovery_cp in mdp_superblock_s (git-fixes).
  - Bluetooth: hci_conn: Fix not cleaning up Broadcaster/Broadcast
    Source (git-fixes).
  - Bluetooth: hci_conn: Fix running bis_cleanup for hci_conn->type
    PA_LINK (git-fixes).
  - Bluetooth: ISO: Fix getname not returning broadcast fields
    (git-fixes).
  - commit 929431e
* Tue Aug 26 2025 jslaby@suse.cz
  - Reapply "Move pesign-obs-integration requirement from kernel-syms to kernel devel"
    This reverts commit b954ff418da5325017c14ca31d570db1a6e055cf. Kernel was
    accepted, retry.
  - commit 5f5015f
* Tue Aug 26 2025 mkoutny@suse.com
  - build_bug.h: Add KABI assert (bsc#1249186).
  - commit 3aaeed5
* Tue Aug 26 2025 tiwai@suse.de
  - platform/x86: asus-wmi: Fix racy registrations (bsc#1246924).
  - commit 9771a44
* Tue Aug 26 2025 jslaby@suse.cz
  - Revert "Move pesign-obs-integration requirement from kernel-syms to kernel devel"
    This reverts commit e707e417d3bfa5ccc25557bcf1566ab05d56d43b.
    See:
    https://bugzilla.suse.com/show_bug.cgi?id=1248108#c20
    and earlier.
  - commit b954ff4
* Mon Aug 25 2025 jslaby@suse.cz
  - Linux 6.16.3 (bsc#1012628).
  - ext4: replace ext4_writepage_trans_blocks() (bsc#1012628).
  - ext4: reserved credits for one extent during the folio writeback
    (bsc#1012628).
  - ext4: correct the reserved credits for extent conversion
    (bsc#1012628).
  - ext4: enhance tracepoints during the folios writeback
    (bsc#1012628).
  - ext4: restart handle if credits are insufficient during
    allocating blocks (bsc#1012628).
  - ext4: refactor the block allocation process of
    ext4_page_mkwrite() (bsc#1012628).
  - ext4: fix stale data if it bail out of the extents mapping loop
    (bsc#1012628).
  - ext4: move the calculation of wbc->nr_to_write to
    mpage_folio_done() (bsc#1012628).
  - ext4: process folios writeback in bytes (bsc#1012628).
  - commit a0f1db3
* Mon Aug 25 2025 jslaby@suse.cz
  - ixgbe: prevent from unwanted interface name changes
    (<cfd4d3bd-cd0e-45dc-af9b-b478a56f8942@gmail.com>).
  - devlink: let driver opt out of automatic phys_port_name
    generation (<cfd4d3bd-cd0e-45dc-af9b-b478a56f8942@gmail.com>).
  - commit 4419082
* Sun Aug 24 2025 mkubecek@suse.cz
  - update to 6.17-rc3
  - refresh configs
  - commit 2ac8062
* Wed Aug 20 2025 jslaby@suse.cz
  - Linux 6.16.2 (bsc#1012628).
  - io_uring: don't use int for ABI (bsc#1012628).
  - io_uring: export io_[un]account_mem (bsc#1012628).
  - io_uring/zcrx: account area memory (bsc#1012628).
  - io_uring/memmap: cast nr_pages to size_t before shifting
    (bsc#1012628).
  - io_uring/net: commit partial buffers on retry (bsc#1012628).
  - ALSA: usb-audio: Validate UAC3 power domain descriptors, too
    (bsc#1012628).
  - ALSA: usb-audio: Validate UAC3 cluster segment descriptors
    (bsc#1012628).
  - ALSA: hda/realtek: Fix headset mic on HONOR BRB-X (bsc#1012628).
  - ALSA: hda/realtek: Add Framework Laptop 13 (AMD Ryzen AI 300)
    to quirks (bsc#1012628).
  - smb3: fix for slab out of bounds on mount to ksmbd
    (bsc#1012628).
  - smb: client: remove redundant lstrp update in negotiate protocol
    (bsc#1012628).
  - gpio: virtio: Fix config space reading (bsc#1012628).
  - arm64: dts: ti: k3-j722s-evm: Fix USB gpio-hog level for Type-C
    (bsc#1012628).
  - media: i2c: set lt6911uxe's reset_gpio to GPIOD_OUT_LOW
    (bsc#1012628).
  - gpio: mlxbf2: use platform_get_irq_optional() (bsc#1012628).
  - Revert "gpio: pxa: Make irq_chip immutable" (bsc#1012628).
  - Revert "gpio: mlxbf3: only get IRQ for device instance 0"
    (bsc#1012628).
  - gpio: mlxbf3: use platform_get_irq_optional() (bsc#1012628).
  - leds: flash: leds-qcom-flash: Fix registry access after re-bind
    (bsc#1012628).
  - Revert "leds: trigger: netdev: Configure LED blink interval
    for HW offload" (bsc#1012628).
  - netlink: avoid infinite retry looping in netlink_unicast()
    (bsc#1012628).
  - net: phy: micrel: fix KSZ8081/KSZ8091 cable test (bsc#1012628).
  - net: ftgmac100: fix potential NULL pointer access in
    ftgmac100_phy_disconnect (bsc#1012628).
  - net: gianfar: fix device leak when querying time stamp info
    (bsc#1012628).
  - net: enetc: fix device and OF node leak at probe (bsc#1012628).
  - net: mtk_eth_soc: fix device leak at probe (bsc#1012628).
  - net: ti: icss-iep: fix device and OF node leaks at probe
    (bsc#1012628).
  - net: dpaa: fix device leak when querying time stamp info
    (bsc#1012628).
  - net: usb: asix_devices: add phy_mask for ax88772 mdio bus
    (bsc#1012628).
  - net: usb: qmi_wwan: add Telit Cinterion FN990A w/audio
    composition (bsc#1012628).
  - fhandle: raise FILEID_IS_DIR in handle_type (bsc#1012628).
  - nfsd: handle get_client_locked() failure in
    nfsd4_setclientid_confirm() (bsc#1012628).
  - NFSD: detect mismatch of file handle and delegation stateid
    in OPEN op (bsc#1012628).
  - NFS: Fix the setting of capabilities when automounting a new
    filesystem (bsc#1012628).
  - PCI: Extend isolated function probing to LoongArch
    (bsc#1012628).
  - LoongArch: BPF: Fix jump offset calculation in tailcall
    (bsc#1012628).
  - LoongArch: Don't use %pK through printk() in unwinder
    (bsc#1012628).
  - LoongArch: Make relocate_new_kernel_size be a .quad value
    (bsc#1012628).
  - LoongArch: Avoid in-place string operation on FDT content
    (bsc#1012628).
  - LoongArch: vDSO: Remove -nostdlib complier flag (bsc#1012628).
  - sunvdc: Balance device refcount in vdc_port_mpgroup_check
    (bsc#1012628).
  - clk: samsung: exynos850: fix a comment (bsc#1012628).
  - clk: samsung: gs101: fix CLK_DOUT_CMU_G3D_BUSD (bsc#1012628).
  - clk: samsung: gs101: fix alternate mout_hsi0_usb20_ref parent
    clock (bsc#1012628).
  - fscrypt: Don't use problematic non-inline crypto engines
    (bsc#1012628).
  - lib/crypto: x86/poly1305: Fix register corruption in no-SIMD
    contexts (bsc#1012628).
  - lib/crypto: x86/poly1305: Fix performance regression on short
    messages (bsc#1012628).
  - fs: Prevent file descriptor table allocations exceeding INT_MAX
    (bsc#1012628).
  - Documentation: ACPI: Fix parent device references (bsc#1012628).
  - ACPI: processor: perflib: Fix initial _PPC limit application
    (bsc#1012628).
  - PCI/ACPI: Fix runtime PM ref imbalance on Hot-Plug Capable ports
    (bsc#1012628).
  - ACPI: EC: Relax sanity check of the ECDT ID string
    (bsc#1012628).
  - ACPI: processor: perflib: Move problematic pr->performance check
    (bsc#1012628).
  - block: Make REQ_OP_ZONE_FINISH a write operation (bsc#1012628).
  - mm/memory-tier: fix abstract distance calculation overflow
    (bsc#1012628).
  - mfd: cros_ec: Separate charge-control probing from USB-PD
    (bsc#1012628).
  - smb: client: let send_done() cleanup before calling
    smbd_disconnect_rdma_connection() (bsc#1012628).
  - smb: client: don't wait for info->send_pending == 0 on error
    (bsc#1012628).
  - habanalabs: fix UAF in export_dmabuf() (bsc#1012628).
  - mm/smaps: fix race between smaps_hugetlb_range and migration
    (bsc#1012628).
  - xfrm: flush all states in xfrm_state_fini (bsc#1012628).
  - xfrm: restore GSO for SW crypto (bsc#1012628).
  - xfrm: bring back device check in validate_xmit_xfrm
    (bsc#1012628).
  - udp: also consider secpath when evaluating ipsec use for
    checksumming (bsc#1012628).
  - netfilter: ctnetlink: fix refcount leak on table dump
    (bsc#1012628).
  - netfilter: ctnetlink: remove refcounting in expectation dumpers
    (bsc#1012628).
  - net: hibmcge: fix rtnl deadlock issue (bsc#1012628).
  - net: hibmcge: fix the division by zero issue (bsc#1012628).
  - net: hibmcge: fix the np_link_fail error reporting issue
    (bsc#1012628).
  - net: ti: icssg-prueth: Fix emac link speed handling
    (bsc#1012628).
  - net: page_pool: allow enabling recycling late, fix false
    positive warning (bsc#1012628).
  - net: ti: icss-iep: Fix incorrect type for return value in
    extts_enable() (bsc#1012628).
  - sctp: linearize cloned gso packets in sctp_rcv (bsc#1012628).
  - net: lapbether: ignore ops-locked netdevs (bsc#1012628).
  - hamradio: ignore ops-locked netdevs (bsc#1012628).
  - erofs: fix block count report when 48-bit layout is on
    (bsc#1012628).
  - intel_idle: Allow loading ACPI tables for any family
    (bsc#1012628).
  - cpuidle: governors: menu: Avoid using invalid recent intervals
    data (bsc#1012628).
  - net: phy: nxp-c45-tja11xx: fix the PHY ID mismatch issue when
    using C45 (bsc#1012628).
  - net: mdiobus: release reset_gpio in mdiobus_unregister_device()
    (bsc#1012628).
  - net: stmmac: thead: Get and enable APB clock on initialization
    (bsc#1012628).
  - riscv: dts: thead: Add APB clocks for TH1520 GMACs
    (bsc#1012628).
  - ptp: prevent possible ABBA deadlock in ptp_clock_freerun()
    (bsc#1012628).
  - tls: handle data disappearing from under the TLS ULP
    (bsc#1012628).
  - ipvs: Fix estimator kthreads preferred affinity (bsc#1012628).
  - netfilter: nf_tables: reject duplicate device on updates
    (bsc#1012628).
  - bnxt: fill data page pool with frags if PAGE_SIZE >
    BNXT_RX_PAGE_SIZE (bsc#1012628).
  - net: kcm: Fix race condition in kcm_unattach() (bsc#1012628).
  - hfs: fix general protection fault in hfs_find_init()
    (bsc#1012628).
  - hfs: fix slab-out-of-bounds in hfs_bnode_read() (bsc#1012628).
  - hfsplus: fix slab-out-of-bounds in hfsplus_bnode_read()
    (bsc#1012628).
  - hfsplus: fix slab-out-of-bounds read in hfsplus_uni2asc()
    (bsc#1012628).
  - hfsplus: don't use BUG_ON() in hfsplus_create_attributes_file()
    (bsc#1012628).
  - arm64: Handle KCOV __init vs inline mismatches (bsc#1012628).
  - tpm: Check for completion after timeout (bsc#1012628).
  - tpm: tpm_crb_ffa: try to probe tpm_crb_ffa when it's built-in
    (bsc#1012628).
  - firmware: arm_ffa: Change initcall level of ffa_init() to
    rootfs_initcall (bsc#1012628).
  - btrfs: fix -ENOSPC mmap write failure on NOCOW files/extents
    (bsc#1012628).
  - smb/server: avoid deadlock when linking with ReplaceIfExists
    (bsc#1012628).
  - nvme-pci: try function level reset on init failure
    (bsc#1012628).
  - dm-stripe: limit chunk_sectors to the stripe size (bsc#1012628).
  - md/raid10: set chunk_sectors limit (bsc#1012628).
  - nvme-tcp: log TLS handshake failures at error level
    (bsc#1012628).
  - gfs2: Validate i_depth for exhash directories (bsc#1012628).
  - gfs2: Set .migrate_folio in gfs2_{rgrp,meta}_aops (bsc#1012628).
  - loop: Avoid updating block size under exclusive owner
    (bsc#1012628).
  - udf: Verify partition map count (bsc#1012628).
  - drbd: add missing kref_get in handle_write_conflicts
    (bsc#1012628).
  - hfs: fix not erasing deleted b-tree node issue (bsc#1012628).
  - better lockdep annotations for simple_recursive_removal()
    (bsc#1012628).
  - ata: ahci: Disallow LPM policy control if not supported
    (bsc#1012628).
  - ata: ahci: Disable DIPM if host lacks support (bsc#1012628).
  - ata: libata-sata: Disallow changing LPM state if not supported
    (bsc#1012628).
  - fs/ntfs3: Add sanity check for file name (bsc#1012628).
  - fs/ntfs3: correctly create symlink for relative path
    (bsc#1012628).
  - pidfs: raise SB_I_NODEV and SB_I_NOEXEC (bsc#1012628).
  - landlock: opened file never has a negative dentry (bsc#1012628).
  - ext2: Handle fiemap on empty files to prevent EINVAL
    (bsc#1012628).
  - fix locking in efi_secret_unlink() (bsc#1012628).
  - securityfs: don't pin dentries twice, once is
    enough.. (bsc#1012628).
  - tracefs: Add d_delete to remove negative dentries (bsc#1012628).
  - usb: xhci: print xhci->xhc_state when queue_command failed
    (bsc#1012628).
  - staging: gpib: Add init response codes for new ni-usb-hs+
    (bsc#1012628).
  - selftests/kexec: fix test_kexec_jump build (bsc#1012628).
  - platform/x86/amd: pmc: Add Lenovo Yoga 6 13ALC6 to pmc quirk
    list (bsc#1012628).
  - cpufreq: CPPC: Mark driver with NEED_UPDATE_LIMITS flag
    (bsc#1012628).
  - selftests/futex: Define SYS_futex on 32-bit architectures with
    64-bit time_t (bsc#1012628).
  - usb: typec: ucsi: psy: Set current max to 100mA for BC 1.2
    and Default (bsc#1012628).
  - bus: mhi: host: pci_generic: Add Telit FN990B40 modem support
    (bsc#1012628).
  - bus: mhi: host: pci_generic: Disable runtime PM for QDU100
    (bsc#1012628).
  - usb: xhci: Avoid showing warnings for dying controller
    (bsc#1012628).
  - usb: xhci: Set avg_trb_len = 8 for EP0 during Address Device
    Command (bsc#1012628).
  - usb: xhci: Avoid showing errors during surprise removal
    (bsc#1012628).
  - soc: qcom: rpmh-rsc: Add RSC version 4 support (bsc#1012628).
  - ACPI: APEI: send SIGBUS to current task if synchronous memory
    error not recovered (bsc#1012628).
  - remoteproc: imx_rproc: skip clock enable when M-core is managed
    by the SCU (bsc#1012628).
  - binder: Fix selftest page indexing (bsc#1012628).
  - gpio: loongson-64bit: Extend GPIO irq support (bsc#1012628).
  - usb: typec: ucsi: Add poll_cci operation to cros_ec_ucsi
    (bsc#1012628).
  - usb: typec: tcpm/tcpci_maxim: fix irq wake usage (bsc#1012628).
  - pmdomain: ti: Select PM_GENERIC_DOMAINS (bsc#1012628).
  - gpio: wcd934x: check the return value of regmap_update_bits()
    (bsc#1012628).
  - cpufreq: Exit governor when failed to start old governor
    (bsc#1012628).
  - cpufreq: intel_pstate: Add Granite Rapids support in no-HWP mode
    (bsc#1012628).
  - ARM: rockchip: fix kernel hang during smp initialization
    (bsc#1012628).
  - PM / devfreq: governor: Replace sscanf() with kstrtoul()
    in set_freq_store() (bsc#1012628).
  - EDAC/synopsys: Clear the ECC counters on init (bsc#1012628).
  - ASoC: soc-dapm: set bias_level if snd_soc_dapm_set_bias_level()
    was successed (bsc#1012628).
  - thermal/drivers/qcom-spmi-temp-alarm: Enable stage 2 shutdown
    when required (bsc#1012628).
  - tools/nolibc: define time_t in terms of __kernel_old_time_t
    (bsc#1012628).
  - iio: adc: ad_sigma_delta: don't overallocate scan buffer
    (bsc#1012628).
  - gpio: tps65912: check the return value of regmap_update_bits()
    (bsc#1012628).
  - ARM: tegra: Use I/O memcpy to write to IRAM (bsc#1012628).
  - tools/build: Fix s390(x) cross-compilation with clang
    (bsc#1012628).
  - selftests: tracing: Use mutex_unlock for testing glob filter
    (bsc#1012628).
  - ACPI: PRM: Reduce unnecessary printing to avoid user confusion
    (bsc#1012628).
  - firmware: arm_scmi: power_control: Ensure SCMI_SYSPOWER_IDLE
    is set early during resume (bsc#1012628).
  - firmware: tegra: Fix IVC dependency problems (bsc#1012628).
  - ALSA: hda: add MODULE_FIRMWARE for cs35l41/cs35l56
    (bsc#1012628).
  - PM: runtime: Clear power.needs_force_resume in
    pm_runtime_reinit() (bsc#1012628).
  - thermal: sysfs: Return ENODATA instead of EAGAIN for reads
    (bsc#1012628).
  - PM: sleep: console: Fix the black screen issue (bsc#1012628).
  - ACPI: processor: fix acpi_object initialization (bsc#1012628).
  - mmc: sdhci-msm: Ensure SD card power isn't ON when card removed
    (bsc#1012628).
  - ACPI: APEI: GHES: add TAINT_MACHINE_CHECK on GHES panic path
    (bsc#1012628).
  - irqchip/renesas-rzv2h: Enable SKIP_SET_WAKE and MASK_ON_SUSPEND
    (bsc#1012628).
  - selftests: vDSO: vdso_test_getrandom: Always print TAP header
    (bsc#1012628).
  - pps: clients: gpio: fix interrupt handling order in remove path
    (bsc#1012628).
  - reset: brcmstb: Enable reset drivers for ARCH_BCM2835
    (bsc#1012628).
  - ASoC: SDCA: Add flag for unused IRQs (bsc#1012628).
  - x86/sev/vc: Fix EFI runtime instruction emulation (bsc#1012628).
  - char: misc: Fix improper and inaccurate error code returned
    by misc_init() (bsc#1012628).
  - mei: bus: Check for still connected devices in
    mei_cl_bus_dev_release() (bsc#1012628).
  - mmc: rtsx_usb_sdmmc: Fix error-path in sd_set_power_mode()
    (bsc#1012628).
  - mmc: sdhci-esdhc-imx: Don't change pinctrl in suspend if wakeup
    source (bsc#1012628).
  - platform/chrome: cros_ec_sensorhub: Retries when a sensor is
    not ready (bsc#1012628).
  - ALSA: hda: Handle the jack polling always via a work
    (bsc#1012628).
  - ALSA: hda: Disable jack polling at shutdown (bsc#1012628).
  - EDAC/ie31200: Enable support for Core i5-14600 and i7-14700
    (bsc#1012628).
  - x86/bugs: Avoid warning when overriding return thunk
    (bsc#1012628).
  - ASoC: hdac_hdmi: Rate limit logging on connection and
    disconnection (bsc#1012628).
  - ALSA: intel8x0: Fix incorrect codec index usage in mixer for
    ICH4 (bsc#1012628).
  - irqchip/mips-gic: Allow forced affinity (bsc#1012628).
  - ASoC: SOF: topology: Parse the dapm_widget_tokens in case of
    DSPless mode (bsc#1012628).
  - tty: serial: fix print format specifiers (bsc#1012628).
  - ASoC: core: Check for rtd == NULL in
    snd_soc_remove_pcm_runtime() (bsc#1012628).
  - usb: typec: intel_pmc_mux: Defer probe if SCU IPC isn't present
    (bsc#1012628).
  - usb: core: usb_submit_urb: downgrade type check (bsc#1012628).
  - usb: dwc3: xilinx: add shutdown callback (bsc#1012628).
  - pm: cpupower: Fix the snapshot-order of tsc,mperf, clock in
    mperf_stop() (bsc#1012628).
  - imx8m-blk-ctrl: set ISI panic write hurry level (bsc#1012628).
  - soc: qcom: mdt_loader: Actually use the e_phoff (bsc#1012628).
  - platform/x86: thinkpad_acpi: Handle KCOV __init vs inline
    mismatches (bsc#1012628).
  - platform/chrome: cros_ec_typec: Defer probe on missing EC parent
    (bsc#1012628).
  - ALSA: hda/ca0132: Fix buffer overflow in add_tuning_control
    (bsc#1012628).
  - ALSA: pcm: Rewrite recalculate_boundary() to avoid costly loop
    (bsc#1012628).
  - ALSA: usb-audio: Avoid precedence issues in mixer_quirks macros
    (bsc#1012628).
  - iio: adc: ad7768-1: Ensure SYNC_IN pulse minimum timing
    requirement (bsc#1012628).
  - ASoC: codecs: rt5640: Retry DEVICE_ID verification
    (bsc#1012628).
  - ASoC: qcom: use drvdata instead of component to keep id
    (bsc#1012628).
  - netfilter: nft_set_pipapo: prefer kvmalloc for scratch maps
    (bsc#1012628).
  - selftests: netfilter: Enable CONFIG_INET_SCTP_DIAG
    (bsc#1012628).
  - powerpc/thp: tracing: Hide hugepage events under
    CONFIG_PPC_BOOK3S_64 (bsc#1012628).
  - verification/dot2k: Make a separate
    dot2k_templates/Kconfig_container (bsc#1012628).
  - Bluetooth: btusb: Add new VID/PID 0489/e14e for MT7925
    (bsc#1012628).
  - Bluetooth: hci_event: Add support for handling LE BIG Sync
    Lost event (bsc#1012628).
  - Bluetooth: hci_sock: Reset cookie to zero in
    hci_sock_free_cookie() (bsc#1012628).
  - Bluetooth: btusb: Add support for variant of RTL8851BE (USB
    ID 13d3:3601) (bsc#1012628).
  - xen/netfront: Fix TX response spurious interrupts (bsc#1012628).
  - wifi: iwlwifi: mld: use spec link id and not FW link id
    (bsc#1012628).
  - wifi: iwlwifi: mld: avoid outdated reorder buffer head_sn
    (bsc#1012628).
  - wifi: iwlwifi: mvm: avoid outdated reorder buffer head_sn
    (bsc#1012628).
  - net: usb: cdc-ncm: check for filtering capability (bsc#1012628).
  - wifi: ath12k: Correct tid cleanup when tid setup fails
    (bsc#1012628).
  - ktest.pl: Prevent recursion of default variable options
    (bsc#1012628).
  - wifi: cfg80211: reject HTC bit for management frames
    (bsc#1012628).
  - s390/sclp: Use monotonic clock in sclp_sync_wait()
    (bsc#1012628).
  - s390/time: Use monotonic clock in get_cycles() (bsc#1012628).
  - be2net: Use correct byte order and format string for TCP seq
    and ack_seq (bsc#1012628).
  - libbpf: Verify that arena map exists when adding arena
    relocations (bsc#1012628).
  - idpf: preserve coalescing settings across resets (bsc#1012628).
  - libbpf: Fix warning in calloc() usage (bsc#1012628).
  - wifi: rtw89: wow: Add Basic Rate IE to probe request in
    scheduled scan mode (bsc#1012628).
  - wifi: rtw89: Lower the timeout in rtw89_fw_read_c2h_reg()
    for USB (bsc#1012628).
  - et131x: Add missing check after DMA map (bsc#1012628).
  - net: ag71xx: Add missing check after DMA map (bsc#1012628).
  - net/mlx5e: Properly access RCU protected qdisc_sleeping variable
    (bsc#1012628).
  - net: pcs: xpcs: mask readl() return value to 16 bits
    (bsc#1012628).
  - arm64: Mark kernel as tainted on SAE and SError panic
    (bsc#1012628).
  - drm/amd/pm: fix null pointer access (bsc#1012628).
  - rcu: Protect ->defer_qs_iw_pending from data race (bsc#1012628).
  - drm/amd/display: limit clear_update_flags to dcn32 and above
    (bsc#1012628).
  - can: ti_hecc: fix -Woverflow compiler warning (bsc#1012628).
  - net: mctp: Prevent duplicate binds (bsc#1012628).
  - wifi: mac80211: don't use TPE data from assoc response
    (bsc#1012628).
  - wifi: mac80211: handle WLAN_HT_ACTION_NOTIFY_CHANWIDTH async
    (bsc#1012628).
  - wifi: cfg80211: Fix interface type validation (bsc#1012628).
  - wifi: mac80211: don't unreserve never reserved chanctx
    (bsc#1012628).
  - net: ipv4: fix incorrect MTU in broadcast routes (bsc#1012628).
  - net: thunderx: Fix format-truncation warning in
    bgx_acpi_match_id() (bsc#1012628).
  - net: phy: micrel: Add ksz9131_resume() (bsc#1012628).
  - perf/cxlpmu: Remove unintended newline from IRQ name format
    string (bsc#1012628).
  - sched/deadline: Fix accounting after global limits change
    (bsc#1012628).
  - bpf: Forget ranges when refining tnum after JSET (bsc#1012628).
  - wifi: iwlwifi: mvm: set gtk id also in older FWs (bsc#1012628).
  - wifi: iwlwifi: mld: fix scan request validation (bsc#1012628).
  - um: Re-evaluate thread flags repeatedly (bsc#1012628).
  - wifi: iwlwifi: mvm: fix scan request validation (bsc#1012628).
  - wifi: iwlwifi: handle non-overlapping API ranges (bsc#1012628).
  - drm/sched/tests: Add unit test for cancel_job() (bsc#1012628).
  - drm/sched: Avoid memory leaks with cancel_job() callback
    (bsc#1012628).
  - s390/stp: Remove udelay from stp_sync_clock() (bsc#1012628).
  - net: phy: bcm54811: PHY initialization (bsc#1012628).
  - rv: Add #undef TRACE_INCLUDE_FILE (bsc#1012628).
  - sched/fair: Bump sd->max_newidle_lb_cost when newidle balance
    fails (bsc#1012628).
  - wifi: mac80211: don't complete management TX on SAE commit
    (bsc#1012628).
  - wifi: mac80211: avoid weird state in error path (bsc#1012628).
  - s390/early: Copy last breaking event address to pt_regs
    (bsc#1012628).
  - (powerpc/512) Fix possible `dma_unmap_single()` on uninitialized
    pointer (bsc#1012628).
  - ipv6: mcast: Check inet6_dev->dead under idev->mc_lock in
    __ipv6_dev_mc_inc() (bsc#1012628).
  - rcu/nocb: Fix possible invalid rdp's->nocb_cb_kthread pointer
    access (bsc#1012628).
  - wifi: mac80211: fix rx link assignment for non-MLO stations
    (bsc#1012628).
  - wifi: mt76: mt7996: Fix mlink lookup in mt7996_tx_prepare_skb
    (bsc#1012628).
  - wifi: mt76: fix vif link allocation (bsc#1012628).
  - drm/fbdev-client: Skip DRM clients if modesetting is absent
    (bsc#1012628).
  - drm/msm: Update register xml (bsc#1012628).
  - drm/msm: use trylock for debugfs (bsc#1012628).
  - drm/msm: Add error handling for krealloc in metadata setup
    (bsc#1012628).
  - perf/arm: Add missing .suppress_bind_attrs (bsc#1012628).
  - drm/imagination: Clear runtime PM errors while resetting the
    GPU (bsc#1012628).
  - wifi: rtw89: Fix rtw89_mac_power_switch() for USB (bsc#1012628).
  - wifi: rtw89: Disable deep power saving for USB/SDIO
    (bsc#1012628).
  - wifi: mt76: mt7915: mcu: re-init MCU before loading FW patch
    (bsc#1012628).
  - wifi: mt76: mt7915: mcu: increase eeprom command timeout
    (bsc#1012628).
  - kselftest/arm64: Specify SVE data when testing VL set in
    sve-ptrace (bsc#1012628).
  - drm/xe/xe_query: Use separate iterator while filling GT list
    (bsc#1012628).
  - net: thunderbolt: Enable end-to-end flow control also in
    transmit (bsc#1012628).
  - net: thunderbolt: Fix the parameter passing of
    tb_xdomain_enable_paths()/tb_xdomain_disable_paths()
    (bsc#1012628).
  - xfrm: Duplicate SPI Handling (bsc#1012628).
  - net: phy: air_en8811h: Introduce resume/suspend and
    clk_restore_context to ensure correct CKO settings after
    network interface reinitialization (bsc#1012628).
  - net: atlantic: add set_power to fw_ops for atl2 to fix wol
    (bsc#1012628).
  - ACPI: Suppress misleading SPCR console message when SPCR table
    is absent (bsc#1012628).
  - net: ieee8021q: fix insufficient table-size assertion
    (bsc#1012628).
  - net: enetc: separate 64-bit counters from enetc_port_counters
    (bsc#1012628).
  - net: fec: allow disable coalescing (bsc#1012628).
  - drm/amdgpu: Use correct severity for BP threshold exceed event
    (bsc#1012628).
  - drm/amd/display: Separate set_gsl from set_gsl_source_select
    (bsc#1012628).
  - drm/amd/display: add null check (bsc#1012628).
  - wifi: ath10k: shutdown driver when hardware is unreliable
    (bsc#1012628).
  - drm/panel: raydium-rm67200: Move initialization from enable()
    to prepare stage (bsc#1012628).
  - eth: bnxt: take page size into account for page pool recycling
    rings (bsc#1012628).
  - wifi: ath12k: Add memset and update default rate value in wmi
    tx completion (bsc#1012628).
  - wifi: ath12k: Fix beacon reception for sta associated to Non-TX
    AP (bsc#1012628).
  - wifi: ath12k: Fix station association with MBSSID Non-TX BSS
    (bsc#1012628).
  - net: phy: realtek: add error handling to rtl8211f_get_wol
    (bsc#1012628).
  - lib: packing: Include necessary headers (bsc#1012628).
  - wifi: iwlwifi: dvm: fix potential overflow in rs_fill_link_cmd()
    (bsc#1012628).
  - wifi: iwlwifi: mld: don't exit EMLSR when we shouldn't
    (bsc#1012628).
  - wifi: iwlwifi: mld: use the correct struct size for tracing
    (bsc#1012628).
  - wifi: iwlwifi: mld: fix last_mlo_scan_time type (bsc#1012628).
  - wifi: iwlwifi: fw: Fix possible memory leak in
    iwl_fw_dbg_collect (bsc#1012628).
  - wifi: iwlwifi: pcie: reinit device properly during TOP reset
    (bsc#1012628).
  - rcutorture: Fix rcutorture_one_extend_check() splat in RT
    kernels (bsc#1012628).
  - drm/amdgpu: Add more checks to PSP mailbox (bsc#1012628).
  - drm/amd/display: Fix 'failed to blank crtc!' (bsc#1012628).
  - drm/amd/display: Initialize mode_select to 0 (bsc#1012628).
  - wifi: mac80211: update radar_required in channel context after
    channel switch (bsc#1012628).
  - wifi: rtlwifi: fix possible skb memory leak in
    `_rtl_pci_rx_interrupt()` (bsc#1012628).
  - wifi: rtw89: coex: Not to set slot duration to zero to avoid
    firmware issue (bsc#1012628).
  - wifi: ath12k: Enable REO queue lookup table feature on QCN9274
    hw2.0 (bsc#1012628).
  - wifi: ath12k: Decrement TID on RX peer frag setup error handling
    (bsc#1012628).
  - powerpc: floppy: Add missing checks after DMA map (bsc#1012628).
  - netmem: fix skb_frag_address_safe with unreadable skbs
    (bsc#1012628).
  - arm64: stacktrace: Check kretprobe_find_ret_addr() return value
    (bsc#1012628).
  - wifi: iwlegacy: Check rate_idx range after addition
    (bsc#1012628).
  - dpaa_eth: don't use fixed_phy_change_carrier (bsc#1012628).
  - drm/amd/pm: Use pointer type for typecheck() (bsc#1012628).
  - drm/amd: Allow printing VanGogh OD SCLK levels without setting
    dpm to manual (bsc#1012628).
  - drm/amd/display: Stop storing failures into
    adev->dm.cached_state (bsc#1012628).
  - drm/amdgpu: Suspend IH during mode-2 reset (bsc#1012628).
  - drm/amdgpu: clear pa and mca record counter when resetting
    eeprom (bsc#1012628).
  - net: vlan: Make is_vlan_dev() a stub when VLAN is not configured
    (bsc#1012628).
  - net: vlan: Replace BUG() with WARN_ON_ONCE() in vlan_dev_*
    stubs (bsc#1012628).
  - gve: Return error for unknown admin queue command (bsc#1012628).
  - net: dsa: b53: ensure BCM5325 PHYs are enabled (bsc#1012628).
  - net: dsa: b53: fix b53_imp_vlan_setup for BCM5325 (bsc#1012628).
  - net: dsa: b53: prevent GMII_PORT_OVERRIDE_CTRL access on BCM5325
    (bsc#1012628).
  - net: dsa: b53: prevent DIS_LEARNING access on BCM5325
    (bsc#1012628).
  - net: dsa: b53: prevent SWITCH_CTRL access on BCM5325
    (bsc#1012628).
  - bpftool: Fix JSON writer resource leak in version command
    (bsc#1012628).
  - ptp: Use ratelimite for freerun error message (bsc#1012628).
  - wifi: rtw89: scan abort when assign/unassign_vif (bsc#1012628).
  - wifi: rtlwifi: fix possible skb memory leak in
    _rtl_pci_init_one_rxdesc() (bsc#1012628).
  - ionic: clean dbpage in de-init (bsc#1012628).
  - drm/xe: Make dma-fences compliant with the safe access rules
    (bsc#1012628).
  - net: ncsi: Fix buffer overflow in fetching version id
    (bsc#1012628).
  - drm: renesas: rz-du: mipi_dsi: Add min check for VCLK range
    (bsc#1012628).
  - drm/ttm: Should to return the evict error (bsc#1012628).
  - uapi: in6: restore visibility of most IPv6 socket options
    (bsc#1012628).
  - wifi: rtw89: 8852c: increase beacon loss to 6 seconds
    (bsc#1012628).
  - bpf: Make reg_not_null() true for CONST_PTR_TO_MAP
    (bsc#1012628).
  - selftests/bpf: Fix ringbuf/ringbuf_write test failure with
    arm64 64KB page size (bsc#1012628).
  - selftests/bpf: Fix a user_ringbuf failure with arm64 64KB page
    size (bsc#1012628).
  - drm/amd/display: Update DMCUB loading sequence for DCN3.5
    (bsc#1012628).
  - drm/amd/display: Avoid trying AUX transactions on disconnected
    ports (bsc#1012628).
  - drm/ttm: Respect the shrinker core free target (bsc#1012628).
  - rcu: Fix rcu_read_unlock() deadloop due to IRQ work
    (bsc#1012628).
  - net: dsa: b53: fix IP_MULTICAST_CTRL on BCM5325 (bsc#1012628).
  - vsock/virtio: Resize receive buffers so that each SKB fits in
    a 4K page (bsc#1012628).
  - vhost: fail early when __vhost_add_used() fails (bsc#1012628).
  - drm/amd/display: Only finalize atomic_obj if it was initialized
    (bsc#1012628).
  - drm/amd/display: Avoid configuring PSR granularity if PSR-SU
    not supported (bsc#1012628).
  - drm/amd/display: Disable dsc_power_gate for dcn314 by default
    (bsc#1012628).
  - watchdog: sbsa: Adjust keepalive timeout to avoid MediaTek
    WS0 race condition (bsc#1012628).
  - cifs: Fix calling CIFSFindFirst() for root path without msearch
    (bsc#1012628).
  - smb: client: fix session setup against servers that require SPN
    (bsc#1012628).
  - fbdev: fix potential buffer overflow in
    do_register_framebuffer() (bsc#1012628).
  - crypto: hisilicon/hpre - fix dma unmap sequence (bsc#1012628).
  - ext4: do not BUG when INLINE_DATA_FL lacks system.data xattr
    (bsc#1012628).
  - clk: tegra: periph: Fix error handling and resolve unsigned
    compare warning (bsc#1012628).
  - sphinx: kernel_abi: fix performance regression with O=<dir>
    (bsc#1012628).
  - mfd: axp20x: Set explicit ID for AXP313 regulator (bsc#1012628).
  - phy: rockchip-pcie: Properly disable TEST_WRITE strobe signal
    (bsc#1012628).
  - phy: rockchip-pcie: Enable all four lanes if required
    (bsc#1012628).
  - scsi: libiscsi: Initialize iscsi_conn->dd_data only if memory
    is allocated (bsc#1012628).
  - fs/orangefs: use snprintf() instead of sprintf() (bsc#1012628).
  - watchdog: dw_wdt: Fix default timeout (bsc#1012628).
  - hwmon: (emc2305) Set initial PWM minimum value during probe
    based on thermal state (bsc#1012628).
  - clk: qcom: ipq5018: keep XO clock always on (bsc#1012628).
  - MIPS: vpe-mt: add missing prototypes for
    vpe_{alloc,start,stop,free} (bsc#1012628).
  - watchdog: iTCO_wdt: Report error if timeout configuration fails
    (bsc#1012628).
  - ext4: limit the maximum folio order (bsc#1012628).
  - scsi: bfa: Double-free fix (bsc#1012628).
  - jfs: truncate good inode pages when hard link is 0
    (bsc#1012628).
  - jfs: Regular file corruption check (bsc#1012628).
  - jfs: upper bound check of tree index in dbAllocAG (bsc#1012628).
  - media: hi556: Fix reset GPIO timings (bsc#1012628).
  - RDMA/bnxt_re: Fix size of uverbs_copy_to() in
    BNXT_RE_METHOD_GET_TOGGLE_MEM (bsc#1012628).
  - crypto: jitter - fix intermediary handling (bsc#1012628).
  - MIPS: Don't crash in stack_top() for tasks without ABI or vDSO
    (bsc#1012628).
  - MIPS: lantiq: falcon: sysctrl: fix request memory check logic
    (bsc#1012628).
  - media: iris: Add handling for corrupt and drop frames
    (bsc#1012628).
  - clk: thead: Mark essential bus clocks as CLK_IGNORE_UNUSED
    (bsc#1012628).
  - media: i2c: vd55g1: Setup sensor external clock before patching
    (bsc#1012628).
  - media: i2c: vd55g1: Fix RATE macros not being expressed in bps
    (bsc#1012628).
  - media: ipu-bridge: Add _HID for OV5670 (bsc#1012628).
  - media: v4l2-common: Reduce warnings about missing
    V4L2_CID_LINK_FREQ control (bsc#1012628).
  - leds: leds-lp50xx: Handle reg to get correct multi_index
    (bsc#1012628).
  - dmaengine: stm32-dma: configure next sg only if there are more
    than 2 sgs (bsc#1012628).
  - RDMA: hfi1: fix possible divide-by-zero in find_hw_thread_mask()
    (bsc#1012628).
  - RDMA/core: reduce stack using in nldev_stat_get_doit()
    (bsc#1012628).
  - scsi: lpfc: Ensure HBA_SETUP flag is used only for SLI4 in
    dev_loss_tmo_callbk (bsc#1012628).
  - scsi: lpfc: Check for hdwq null ptr when cleaning up lpfc_vport
    structure (bsc#1012628).
  - crypto: caam - Support iMX8QXP and variants thereof
    (bsc#1012628).
  - power: supply: qcom_battmgr: Add lithium-polymer entry
    (bsc#1012628).
  - HID: rate-limit hid_warn to prevent log flooding (bsc#1012628).
  - scsi: mpt3sas: Correctly handle ATA device errors (bsc#1012628).
  - scsi: pm80xx: Free allocated tags after failure (bsc#1012628).
  - scsi: mpi3mr: Correctly handle ATA device errors (bsc#1012628).
  - PCI: dw-rockchip: Delay link training after hot reset in EP mode
    (bsc#1012628).
  - pinctrl: stm32: Manage irq affinity settings (bsc#1012628).
  - media: raspberrypi: cfe: Fix min_reqbufs_allocation
    (bsc#1012628).
  - media: tc358743: Check I2C succeeded during probe (bsc#1012628).
  - media: tc358743: Return an appropriate colorspace from
    tc358743_set_fmt (bsc#1012628).
  - media: tc358743: Increase FIFO trigger level to 374
    (bsc#1012628).
  - media: usb: hdpvr: disable zero-length read messages
    (bsc#1012628).
  - media: dvb-frontends: dib7090p: fix null-ptr-deref in
    dib7090p_rw_on_apb() (bsc#1012628).
  - media: dvb-frontends: w7090p: fix null-ptr-deref in
    w7090p_tuner_write_serpar and w7090p_tuner_read_serpar
    (bsc#1012628).
  - media: uvcvideo: Add quirk for HP Webcam HD 2300 (bsc#1012628).
  - media: uvcvideo: Set V4L2_CTRL_FLAG_DISABLED during queryctrl
    errors (bsc#1012628).
  - media: uvcvideo: Fix bandwidth issue for Alcor camera
    (bsc#1012628).
  - crypto: octeontx2 - add timeout for load_fvc completion poll
    (bsc#1012628).
  - crypto: ccp - Add missing bootloader info reg for pspv6
    (bsc#1012628).
  - clk: renesas: rzg2l: Postpone updating priv->clks[]
    (bsc#1012628).
  - soundwire: amd: serialize amd manager resume sequence during
    pm_prepare (bsc#1012628).
  - soundwire: amd: cancel pending slave status handling workqueue
    during remove sequence (bsc#1012628).
  - soundwire: Move handle_nested_irq outside of sdw_dev_lock
    (bsc#1012628).
  - md: dm-zoned-target: Initialize return variable r to avoid
    uninitialized use (bsc#1012628).
  - module: Prevent silent truncation of module name in
    delete_module(2) (bsc#1012628).
  - i3c: add missing include to internal header (bsc#1012628).
  - rtc: ds1307: handle oscillator stop flag (OSF) for ds1341
    (bsc#1012628).
  - apparmor: shift ouid when mediating hard links in userns
    (bsc#1012628).
  - i3c: don't fail if GETHDRCAP is unsupported (bsc#1012628).
  - i3c: master: Initialize ret in i3c_i2c_notifier_call()
    (bsc#1012628).
  - dm-mpath: don't print the "loaded" message if registering fails
    (bsc#1012628).
  - dm-table: fix checking for rq stackable devices (bsc#1012628).
  - apparmor: use the condition in AA_BUG_FMT even with debug
    disabled (bsc#1012628).
  - apparmor: fix x_table_lookup when stacking is not the first
    entry (bsc#1012628).
  - i2c: Force DLL0945 touchpad i2c freq to 100khz (bsc#1012628).
  - exfat: add cluster chain loop check for dir (bsc#1012628).
  - f2fs: check the generic conditions first (bsc#1012628).
  - f2fs: handle nat.blkaddr corruption in f2fs_get_node_info()
    (bsc#1012628).
  - printk: nbcon: Allow reacquire during panic (bsc#1012628).
  - kconfig: lxdialog: replace strcpy() with strncpy() in inputbox.c
    (bsc#1012628).
  - vfio/type1: conditional rescheduling while pinning
    (bsc#1012628).
  - kconfig: nconf: Ensure null termination where strncpy is used
    (bsc#1012628).
  - scsi: Fix sas_user_scan() to handle wildcard and multi-channel
    scans (bsc#1012628).
  - scsi: target: core: Generate correct identifiers for PR OUT
    transport IDs (bsc#1012628).
  - scsi: aacraid: Stop using PCI_IRQ_AFFINITY (bsc#1012628).
  - vfio/mlx5: fix possible overflow in tracking max message size
    (bsc#1012628).
  - ipmi: Use dev_warn_ratelimited() for incorrect message warnings
    (bsc#1012628).
  - kconfig: gconf: avoid hardcoding model2 in
    on_treeview2_cursor_changed() (bsc#1012628).
  - kconfig: gconf: fix potential memory leak in renderer_edited()
    (bsc#1012628).
  - kheaders: rebuild kheaders_data.tar.xz when a file is modified
    within a minute (bsc#1012628).
  - kconfig: lxdialog: fix 'space' to (de)select options
    (bsc#1012628).
  - ipmi: Fix strcpy source and destination the same (bsc#1012628).
  - tools/power turbostat: Handle non-root legacy-uncore sysfs
    permissions (bsc#1012628).
  - tools/power turbostat: Fix build with musl (bsc#1012628).
  - tools/power turbostat: Handle cap_get_proc() ENOSYS
    (bsc#1012628).
  - smb: client: don't call init_waitqueue_head(&info->conn_wait)
    twice in _smbd_get_connection (bsc#1012628).
  - lib/sbitmap: convert shallow_depth from one word to the whole
    sbitmap (bsc#1012628).
  - irqchip/mvebu-gicp: Clear pending interrupts on init
    (bsc#1012628).
  - ALSA: hda/realtek: add LG gram 16Z90R-A to alc269 fixup table
    (bsc#1012628).
  - ASoC: Intel: sof_sdw: Add quirk for Alienware Area 51 (2025)
    0CCC SKU (bsc#1012628).
  - regmap: irq: Free the regmap-irq mutex (bsc#1012628).
  - net: phy: smsc: add proper reset flags for LAN8710A
    (bsc#1012628).
  - ASoC: Intel: avs: Fix uninitialized pointer error in probe()
    (bsc#1012628).
  - block: avoid possible overflow for chunk_sectors check in
    blk_stack_limits() (bsc#1012628).
  - pNFS: Fix stripe mapping in block/scsi layout (bsc#1012628).
  - pNFS: Fix disk addr range check in block/scsi layout
    (bsc#1012628).
  - pNFS: Handle RPC size limit for layoutcommits (bsc#1012628).
  - pNFS: Fix uninited ptr deref in block/scsi layout (bsc#1012628).
  - rtc: ds1307: remove clear of oscillator stop flag (OSF) in probe
    (bsc#1012628).
  - scsi: ufs: core: Fix interrupt handling for MCQ Mode
    (bsc#1012628).
  - scsi: lpfc: Remove redundant assignment to avoid memory leak
    (bsc#1012628).
  - ASoC: fsl_sai: replace regmap_write with regmap_update_bits
    (bsc#1012628).
  - ublk: check for unprivileged daemon on each I/O fetch
    (bsc#1012628).
  - block: fix kobject double initialization in add_disk
    (bsc#1012628).
  - cifs: Fix collect_sample() to handle any iterator type
    (bsc#1012628).
  - drm/i915/fbc: fix the implementation of wa_18038517565
    (bsc#1012628).
  - drm/i915/psr: Do not trigger Frame Change events from
    frontbuffer flush (bsc#1012628).
  - drm/xe/migrate: prevent infinite recursion (bsc#1012628).
  - drm/xe/migrate: don't overflow max copy size (bsc#1012628).
  - drm/xe/migrate: prevent potential UAF (bsc#1012628).
  - drm/xe/hwmon: Add SW clamp for power limits writes
    (bsc#1012628).
  - drm/amdgpu: fix vram reservation issue (bsc#1012628).
  - drm/amdgpu: fix incorrect vm flags to map bo (bsc#1012628).
  - x86/sev: Improve handling of writes to intercepted TSC MSRs
    (bsc#1012628).
  - x86/fpu: Fix NULL dereference in avx512_status() (bsc#1012628).
  - x86/sev: Ensure SVSM reserved fields in a page validation
    entry are initialized to zero (bsc#1012628).
  - futex: Use user_write_access_begin/_end() in futex_put_value()
    (bsc#1012628).
  - rust: kbuild: clean output before running `rustdoc`
    (bsc#1012628).
  - rust: workaround `rustdoc` target modifiers bug (bsc#1012628).
  - samples/damon/wsse: fix boot time enable handling (bsc#1012628).
  - samples/damon/mtier: support boot time enable setup
    (bsc#1012628).
  - mm/damon/core: commit damos->target_nid (bsc#1012628).
  - block: Introduce bio_needs_zone_write_plugging() (bsc#1012628).
  - dm: Always split write BIOs to zoned device limits
    (bsc#1012628).
  - clk: qcom: gcc-ipq8074: fix broken freq table for
    nss_port6_tx_clk_src (bsc#1012628).
  - clk: qcom: dispcc-sm8750: Fix setting rate byte and pixel clocks
    (bsc#1012628).
  - cifs: reset iface weights when we cannot find a candidate
    (bsc#1012628).
  - iommu/vt-d: Optimize iotlb_sync_map for non-caching/non-RWBF
    modes (bsc#1012628).
  - iommu/arm-smmu-v3: Revert vmaster in the error path
    (bsc#1012628).
  - iommu/arm-smmu-qcom: Add SM6115 MDSS compatible (bsc#1012628).
  - iommufd: Report unmapped bytes in the error path of
    iopt_unmap_iova_range (bsc#1012628).
  - iommufd: Prevent ALIGN() overflow (bsc#1012628).
  - ext4: fix zombie groups in average fragment size lists
    (bsc#1012628).
  - ext4: fix largest free orders lists corruption on
    mb_optimize_scan switch (bsc#1012628).
  - ext4: initialize superblock fields in the kballoc-test.c kunit
    tests (bsc#1012628).
  - usb: core: config: Prevent OOB read in SS endpoint companion
    parsing (bsc#1012628).
  - misc: rtsx: usb: Ensure mmc child device is active when card
    is present (bsc#1012628).
  - usb: typec: ucsi: Update power_supply on power role change
    (bsc#1012628).
  - comedi: fix race between polling and detaching (bsc#1012628).
  - thunderbolt: Fix copy+paste error in match_service_id()
    (bsc#1012628).
  - usb: typec: fusb302: cache PD RX state (bsc#1012628).
  - cdc-acm: fix race between initial clearing halt and open
    (bsc#1012628).
  - btrfs: zoned: use filesystem size not disk size for reclaim
    decision (bsc#1012628).
  - btrfs: abort transaction during log replay if walk_log_tree()
    failed (bsc#1012628).
  - btrfs: zoned: reserve data_reloc block group on mount
    (bsc#1012628).
  - btrfs: zoned: requeue to unused block group list if zone finish
    failed (bsc#1012628).
  - btrfs: zoned: do not remove unwritten non-data block group
    (bsc#1012628).
  - btrfs: qgroup: set quota enabled bit if quota disable fails
    flushing reservations (bsc#1012628).
  - btrfs: don't ignore inode missing when replaying log tree
    (bsc#1012628).
  - btrfs: fix ssd_spread overallocation (bsc#1012628).
  - btrfs: qgroup: fix race between quota disable and quota rescan
    ioctl (bsc#1012628).
  - btrfs: populate otime when logging an inode item (bsc#1012628).
  - btrfs: don't skip accounting in early ENOTTY return in
    btrfs_uring_encoded_read() (bsc#1012628).
  - btrfs: qgroup: fix qgroup create ioctl returning success after
    quotas disabled (bsc#1012628).
  - btrfs: don't skip remaining extrefs if dir not found during
    log replay (bsc#1012628).
  - btrfs: clear dirty status from extent buffer on error at
    insert_new_root() (bsc#1012628).
  - btrfs: send: use fallocate for hole punching with send stream v2
    (bsc#1012628).
  - btrfs: error on missing block group when unaccounting log tree
    extent buffers (bsc#1012628).
  - btrfs: zoned: do not select metadata BG as finish target
    (bsc#1012628).
  - btrfs: fix wrong length parameter for
    btrfs_cleanup_ordered_extents() (bsc#1012628).
  - btrfs: fix iteration bug in __qgroup_excl_accounting()
    (bsc#1012628).
  - btrfs: do not allow relocation of partially dropped subvolumes
    (bsc#1012628).
  - xfs: fix scrub trace with null pointer in quotacheck
    (bsc#1012628).
  - userfaultfd: fix a crash in UFFDIO_MOVE when PMD is a migration
    entry (bsc#1012628).
  - fbdev: Fix vmalloc out-of-bounds write in fast_imageblit
    (bsc#1012628).
  - fbdev: nvidiafb: add depends on HAS_IOPORT (bsc#1012628).
  - ocfs2: reset folio to NULL when get folio fails (bsc#1012628).
  - net/sched: ets: use old 'nbands' while purging unused classes
    (bsc#1012628).
  - hv_netvsc: Fix panic during namespace deletion with VF
    (bsc#1012628).
  - i2c: core: Fix double-free of fwnode in i2c_unregister_device()
    (bsc#1012628).
  - parisc: Makefile: fix a typo in palo.conf (bsc#1012628).
  - mm, slab: restore NUMA policy support for large kmalloc
    (bsc#1012628).
  - mm/huge_memory: don't ignore queried cachemode in
    vmf_insert_pfn_pud() (bsc#1012628).
  - mm/ptdump: take the memory hotplug lock inside ptdump_walk_pgd()
    (bsc#1012628).
  - mm/shmem, swap: improve cached mTHP handling and fix potential
    hang (bsc#1012628).
  - mm/kmemleak: avoid soft lockup in __kmemleak_do_cleanup()
    (bsc#1012628).
  - mm/kmemleak: avoid deadlock by moving pr_warn() outside
    kmemleak_lock (bsc#1012628).
  - media: uvcvideo: Fix 1-byte out-of-bounds read in
    uvc_parse_format() (bsc#1012628).
  - media: venus: Fix OOB read due to missing payload bound check
    (bsc#1012628).
  - media: uvcvideo: Do not mark valid metadata as invalid
    (bsc#1012628).
  - media: v4l2: Add support for NV12M tiled variants to
    v4l2_format_info() (bsc#1012628).
  - media: uvcvideo: Turn on the camera if
    V4L2_EVENT_SUB_FL_SEND_INITIAL (bsc#1012628).
  - media: i2c: vd55g1: Fix return code in vd55g1_enable_streams
    error path (bsc#1012628).
  - tracing: fprobe: Fix infinite recursion using
    preempt_*_notrace() (bsc#1012628).
  - tools/nolibc: fix spelling of FD_SETBITMASK in FD_* macros
    (bsc#1012628).
  - RDMA/siw: Fix the sendmsg byte count in siw_tcp_sendpages
    (bsc#1012628).
  - KVM: VMX: Extract checking of guest's DEBUGCTL into helper
    (bsc#1012628).
  - KVM: nVMX: Check vmcs12->guest_ia32_debugctl on nested VM-Enter
    (bsc#1012628).
  - KVM: VMX: Wrap all accesses to IA32_DEBUGCTL with getter/setter
    APIs (bsc#1012628).
  - KVM: VMX: Preserve host's DEBUGCTLMSR_FREEZE_IN_SMM while
    running the guest (bsc#1012628).
  - ata: libata-sata: Add link_power_management_supported sysfs
    attribute (bsc#1012628).
  - io_uring/rw: cast rw->flags assignment to rwf_t (bsc#1012628).
  - firmware: arm_scmi: Convert to SYSTEM_SLEEP_PM_OPS
    (bsc#1012628).
  - drm/amd/display: Allow DCN301 to clear update flags
    (bsc#1012628).
  - rcu: Fix racy re-initialization of irq_work causing hangs
    (bsc#1012628).
  - irqchip/mvebu-gicp: Use resource_size() for ioremap()
    (bsc#1012628).
  - dm: split write BIOs on zone boundaries when zone append is
    not emulated (bsc#1012628).
  - io_uring/zcrx: fix null ifq on area destruction (bsc#1012628).
  - io_uring/zcrx: don't leak pages on account failure
    (bsc#1012628).
  - ACPI: Return -ENODEV from acpi_parse_spcr() when SPCR support
    is disabled (bsc#1012628).
  - Update config files.
  - Rename to
    patches.kernel.org/6.16.2-522-btrfs-fix-log-tree-replay-failure-due-to-file-.patch.
  - commit b12dbdb
* Tue Aug 19 2025 tiwai@suse.de
  - ALSA: hda: tas2781: Fix wrong reference of tasdevice_priv
    (bsc#1248270).
  - commit c6ee58b
* Tue Aug 19 2025 jslaby@suse.cz
  - proc: fix missing pde_set_flags() for net proc files
    (bsc#1248156).
  - commit 1955117
* Mon Aug 18 2025 tzimmermann@suse.com
  - Unselect CONFIG_FB_VESA (bsc#1206264)
    Support for vesafb was required by older versions of the proprietary
    Nvidia driver. This has meanwhile been updated to also work with DRM
    drivers. Hence unselect vesafb.
  - commit 13e07c8
* Mon Aug 18 2025 tzimmermann@suse.com
  - Unselect CONFIG_FB_EFI (bsc#1206264)
    Support for efifb was required by older versions of the proprietary
    Nvidia driver. This has meanwhile been updated to also work with DRM
    drivers. Hence unselect efifb.
  - commit badcd62
* Mon Aug 18 2025 jslaby@suse.cz
  - Update config files. Re-enable HIGHMEM on PAE (bsc#1248148)
    This was a mistake when upstream dropped HIGHMEM64G in commit
    e4c97fd368d0 (update to 6.15-rc1).
  - commit f9359c1
* Mon Aug 18 2025 mkubecek@suse.cz
  - update to 6.17-rc2
  - refresh configs
  - commit bf7ed9b
* Fri Aug 15 2025 jslaby@suse.cz
  - Linux 6.16.1 (bsc#1012628).
  - audit,module: restore audit logging in load failure case
    (bsc#1012628).
  - parse_longname(): strrchr() expects NUL-terminated string
    (bsc#1012628).
  - fs_context: fix parameter name in infofc() macro (bsc#1012628).
  - selftests/landlock: Fix readlink check (bsc#1012628).
  - selftests/landlock: Fix build of audit_test (bsc#1012628).
  - fs/ntfs3: cancle set bad inode after removing name fails
    (bsc#1012628).
  - landlock: Fix warning from KUnit tests (bsc#1012628).
  - ublk: use vmalloc for ublk_device's __queues (bsc#1012628).
  - hfsplus: make splice write available again (bsc#1012628).
  - hfs: make splice write available again (bsc#1012628).
  - hfsplus: remove mutex_lock check in hfsplus_free_extents
    (bsc#1012628).
  - Revert "fs/ntfs3: Replace inode_trylock with inode_lock"
    (bsc#1012628).
  - block: mtip32xx: Fix usage of dma_map_sg() (bsc#1012628).
  - gfs2: Minor do_xmote cancelation fix (bsc#1012628).
  - nbd: fix lockdep deadlock warning (bsc#1012628).
  - md: allow removing faulty rdev during resync (bsc#1012628).
  - kunit/fortify: Add back "volatile" for sizeof() constants
    (bsc#1012628).
  - ublk: speed up ublk server exit handling (bsc#1012628).
  - ublk: validate ublk server pid (bsc#1012628).
  - md/raid10: fix set but not used variable in sync_request_write()
    (bsc#1012628).
  - gfs2: No more self recovery (bsc#1012628).
  - nvmet: pci-epf: Do not complete commands twice if
    nvmet_req_init() fails (bsc#1012628).
  - block: sanitize chunk_sectors for atomic write limits
    (bsc#1012628).
  - io_uring: fix breakage in EXPERT menu (bsc#1012628).
  - btrfs: remove partial support for lowest level from
    btrfs_search_forward() (bsc#1012628).
  - eventpoll: Fix semi-unbounded recursion (bsc#1012628).
  - eventpoll: fix sphinx documentation build warning (bsc#1012628).
  - block: restore two stage elevator switch while running
    nr_hw_queue update (bsc#1012628).
  - sched/task_stack: Add missing const qualifier to end_of_stack()
    (bsc#1012628).
  - ASOC: rockchip: fix capture stream handling in
    rockchip_sai_xfer_stop (bsc#1012628).
  - ASoC: soc-dai: tidyup return value of
    snd_soc_xlate_tdm_slot_mask() (bsc#1012628).
  - ASoC: amd: acp: Fix pointer assignments for snd_soc_acpi_mach
    structures (bsc#1012628).
  - ASoC: ops: dynamically allocate struct snd_ctl_elem_value
    (bsc#1012628).
  - arm64: dts: qcom: x1p42100: Fix thermal sensor configuration
    (bsc#1012628).
  - ASoC: mediatek: use reserved memory or enable buffer
    pre-allocation (bsc#1012628).
  - ASoC: mediatek: mt8183-afe-pcm: Support >32 bit DMA addresses
    (bsc#1012628).
  - arm64: dts: freescale: imx93-tqma9352: Limit BUCK2 to 600mV
    (bsc#1012628).
  - selftests: Fix errno checking in syscall_user_dispatch test
    (bsc#1012628).
  - soc: qcom: QMI encoding/decoding for big endian (bsc#1012628).
  - soc: qcom: fix endianness for QMI header (bsc#1012628).
  - arm64: dts: qcom: qcs615: fix a crash issue caused by infinite
    loop for Coresight (bsc#1012628).
  - arm64: dts: qcom: sdm845: Expand IMEM region (bsc#1012628).
  - arm64: dts: qcom: sc7180: Expand IMEM region (bsc#1012628).
  - arm64: dts: qcom: qcs615: disable the CTI device of the camera
    block (bsc#1012628).
  - arm64: dts: exynos: gs101: Add 'local-timer-stop' to cpuidle
    nodes (bsc#1012628).
  - arm64: dts: qcom: sa8775p: Correct the interrupt for remoteproc
    (bsc#1012628).
  - arm64: dts: qcom: msm8976: Make blsp_dma controlled-remotely
    (bsc#1012628).
  - pm: cpupower: Fix printing of CORE, CPU fields in
    cpupower-monitor (bsc#1012628).
  - ARM: dts: vfxxx: Correctly use two tuples for timer address
    (bsc#1012628).
  - usb: host: xhci-plat: fix incorrect type for of_match variable
    in xhci_plat_probe() (bsc#1012628).
  - usb: misc: apple-mfi-fastcharge: Make power supply names unique
    (bsc#1012628).
  - arm64: dts: rockchip: fix endpoint dtc warning for PX30 ISP
    (bsc#1012628).
  - arm64: dts: ti: k3-am642-phyboard-electra: Fix PRU-ICSSG
    Ethernet ports (bsc#1012628).
  - arm64: dts: ti: k3-am62p-verdin: Enable pull-ups on I2C_3_HDMI
    (bsc#1012628).
  - arm64: dts: ti: k3-am62p-j722s: fix pinctrl-single size
    (bsc#1012628).
  - ARM: dts: microchip: sama7d65: Add clock name property
    (bsc#1012628).
  - ARM: dts: microchip: sam9x7: Add clock name property
    (bsc#1012628).
  - cpufreq: armada-8k: make both cpu masks static (bsc#1012628).
  - firmware: arm_scmi: Fix up turbo frequencies selection
    (bsc#1012628).
  - x86/bugs: Avoid AUTO after the select step in the retbleed
    mitigation (bsc#1012628).
  - x86/bugs: Simplify the retbleed=stuff checks (bsc#1012628).
  - x86/bugs: Introduce cdt_possible() (bsc#1012628).
  - x86/bugs: Allow ITS stuffing in eIBRS+retpoline mode also
    (bsc#1012628).
  - usb: typec: ucsi: yoga-c630: fix error and remove paths
    (bsc#1012628).
  - mei: vsc: Don't re-init VSC from mei_vsc_hw_reset() on stop
    (bsc#1012628).
  - mei: vsc: Destroy mutex after freeing the IRQ (bsc#1012628).
  - mei: vsc: Event notifier fixes (bsc#1012628).
  - mei: vsc: Unset the event callback on remove and probe errors
    (bsc#1012628).
  - mei: vsc: Drop unused vsc_tp_request_irq() and vsc_tp_free_irq()
    (bsc#1012628).
  - mei: vsc: Run event callback from a workqueue (bsc#1012628).
  - mei: vsc: Fix "BUG: Invalid wait context" lockdep error
    (bsc#1012628).
  - spi: stm32: Check for cfg availability in stm32_spi_probe
    (bsc#1012628).
  - drivers: misc: sram: fix up some const issues with recent
    attribute changes (bsc#1012628).
  - rust: devres: require T: Send for Devres (bsc#1012628).
  - power: sequencing: qcom-wcn: fix bluetooth-wifi copypasta for
    WCN6855 (bsc#1012628).
  - arm64: dts: rockchip: Enable eMMC HS200 mode on Radxa E20C
    (bsc#1012628).
  - ASoC: SDCA: Add missing default in switch in entity_pde_event()
    (bsc#1012628).
  - staging: gpib: fix unset padding field copy back to userspace
    (bsc#1012628).
  - staging: fbtft: fix potential memory leak in
    fbtft_framebuffer_alloc() (bsc#1012628).
  - rust: miscdevice: clarify invariant for `MiscDeviceRegistration`
    (bsc#1012628).
  - vmci: Prevent the dispatching of uninitialized payloads
    (bsc#1012628).
  - pps: fix poll support (bsc#1012628).
  - arm64: dts: imx8mp-venice-gw74xx: update name of M2SKT_WDIS2#
    gpio (bsc#1012628).
  - selftests: vDSO: chacha: Correctly skip test if necessary
    (bsc#1012628).
  - Revert "vmci: Prevent the dispatching of uninitialized payloads"
    (bsc#1012628).
  - powercap: dtpm_cpu: Fix NULL pointer dereference in
    get_pd_power_uw() (bsc#1012628).
  - arm64: dts: ti: k3-am62p-verdin: add SD_1 CD pull-up
    (bsc#1012628).
  - selftests/nolibc: correctly report errors from printf() and
    friends (bsc#1012628).
  - usb: early: xhci-dbc: Fix early_ioremap leak (bsc#1012628).
  - tools/nolibc: avoid false-positive -Wmaybe-uninitialized
    through waitpid() (bsc#1012628).
  - arm: dts: ti: omap: Fixup pinheader typo (bsc#1012628).
  - arm64: dts: renesas: r8a779g3-sparrow-hawk-fan-pwm: Add missing
    install target (bsc#1012628).
  - arm64: dts: ti: k3-am62p-verdin: fix PWM_3_DSI GPIO direction
    (bsc#1012628).
  - staging: gpib: Fix error code in board_type_ioctl()
    (bsc#1012628).
  - staging: gpib: Fix error handling paths in cb_gpib_probe()
    (bsc#1012628).
  - soc/tegra: cbb: Clear ERR_FORCE register with ERR_STATUS
    (bsc#1012628).
  - arm64: dts: rockchip: fix PHY handling for ROCK 4D
    (bsc#1012628).
  - arm64: dts: st: fix timer used for ticks (bsc#1012628).
  - selftests: breakpoints: use suspend_stats to reliably check
    suspend success (bsc#1012628).
  - ARM: dts: imx6ul-kontron-bl-common: Fix RTS polarity for RS485
    interface (bsc#1012628).
  - arm64: dts: imx8mm-beacon: Fix HS400 USDHC clock speed
    (bsc#1012628).
  - arm64: dts: imx8mn-beacon: Fix HS400 USDHC clock speed
    (bsc#1012628).
  - arm64: dts: freescale: imx8mp-toradex-smarc: fix lvds dsi mux
    gpio (bsc#1012628).
  - arm64: dts: rockchip: Fix pinctrl node names for RK3528
    (bsc#1012628).
  - PM / devfreq: Check governor before using governor->name
    (bsc#1012628).
  - PM / devfreq: Fix a index typo in trans_stat (bsc#1012628).
  - cpufreq: intel_pstate: Always use HWP_DESIRED_PERF in passive
    mode (bsc#1012628).
  - cpufreq: Initialize cpufreq-based frequency-invariance later
    (bsc#1012628).
  - cpufreq: Init policy->rwsem before it may be possibly used
    (bsc#1012628).
  - arm64: dts: rockchip: Fix UART DMA support for RK3528
    (bsc#1012628).
  - kexec_core: Fix error code path in the KEXEC_JUMP flow
    (bsc#1012628).
  - ASoC: SDCA: Update memory allocations to zero initialise
    (bsc#1012628).
  - ASoC: SDCA: Allow read-only controls to be deferrable
    (bsc#1012628).
  - staging: greybus: gbphy: fix up const issue with the match
    callback (bsc#1012628).
  - driver core: auxiliary bus: fix OF node leak (bsc#1012628).
  - samples: mei: Fix building on musl libc (bsc#1012628).
  - soc: qcom: pmic_glink: fix OF node leak (bsc#1012628).
  - interconnect: qcom: sc8280xp: specify num_links for
    qnm_a1noc_cfg (bsc#1012628).
  - interconnect: qcom: sc8180x: specify num_nodes (bsc#1012628).
  - bus: mhi: host: pci_generic: Fix the modem name of Foxconn
    T99W640 (bsc#1012628).
  - drm/xe: Correct the rev value for the DVSEC entries
    (bsc#1012628).
  - drm/xe: Correct BMG VSEC header sizing (bsc#1012628).
  - platform/x86: oxpec: Fix turbo register for G1 AMD
    (bsc#1012628).
  - riscv: dts: sophgo: sg2044: Add missing riscv,cbop-block-size
    property (bsc#1012628).
  - staging: nvec: Fix incorrect null termination of battery
    manufacturer (bsc#1012628).
  - selftests/tracing: Fix false failure of subsystem event test
    (bsc#1012628).
  - MIPS: alchemy: gpio: use new GPIO line value setter callbacks
    for the remaining chips (bsc#1012628).
  - Revert "udmabuf: fix vmap_udmabuf error page set" (bsc#1012628).
  - udmabuf: fix vmap missed offset page (bsc#1012628).
  - drm/rockchip: cleanup fb when drm_gem_fb_afbc_init failed
    (bsc#1012628).
  - drm/sitronix: Remove broken backwards-compatibility layer
    (bsc#1012628).
  - drm/connector: hdmi: Evaluate limited range after computing
    format (bsc#1012628).
  - drm/panfrost: Fix panfrost device variable name in devfreq
    (bsc#1012628).
  - drm/panthor: Add missing explicit padding in
    drm_panthor_gpu_info (bsc#1012628).
  - wifi: rtw89: mcc: prevent shift wrapping in
    rtw89_core_mlsr_switch() (bsc#1012628).
  - wifi: rtw89: sar: drop lockdep assertion in
    rtw89_set_sar_from_acpi (bsc#1012628).
  - wifi: rtw89: sar: do not assert wiphy lock held until probing
    is done (bsc#1012628).
  - wifi: rtw89: fix EHT 20MHz TX rate for non-AP STA (bsc#1012628).
  - bpf, sockmap: Fix psock incorrectly pointing to sk
    (bsc#1012628).
  - netconsole: Only register console drivers when targets are
    configured (bsc#1012628).
  - bpf, ktls: Fix data corruption when using bpf_msg_pop_data()
    in ktls (bsc#1012628).
  - net, bpf: Fix RCU usage in task_cls_state() for BPF programs
    (bsc#1012628).
  - selftests/bpf: fix signedness bug in redir_partial()
    (bsc#1012628).
  - bpf: handle jset (if a & b ...) as a jump in CFG computation
    (bsc#1012628).
  - selftests/bpf: Fix unintentional switch case fall through
    (bsc#1012628).
  - net: ipv6: ip6mr: Fix in/out netdev to pass to the FORWARD chain
    (bsc#1012628).
  - drm/vmwgfx: Fix Host-Backed userspace on Guest-Backed kernel
    (bsc#1012628).
  - slub: Fix a documentation build error for krealloc()
    (bsc#1012628).
  - drm/amdgpu: Remove nbiov7.9 replay count reporting
    (bsc#1012628).
  - drm/amdgpu/sdma: handle paging queues in
    amdgpu_sdma_reset_engine() (bsc#1012628).
  - net: mana: Fix potential deadlocks in mana napi ops
    (bsc#1012628).
  - wifi: mac80211: Fix bssid_indicator for MBSSID in AP mode
    (bsc#1012628).
  - bpftool: Fix memory leak in dump_xx_nlmsg on realloc failure
    (bsc#1012628).
  - powerpc/pseries/dlpar: Search DRC index from ibm,drc-indexes
    for IO add (bsc#1012628).
  - wifi: ath12k: Avoid accessing uninitialized arvif->ar during
    beacon miss (bsc#1012628).
  - wifi: ath12k: update channel list in worker when wait flag is
    set (bsc#1012628).
  - wifi: ath12k: Fix double budget decrement while reaping monitor
    ring (bsc#1012628).
  - wifi: ath12k: Pass ab pointer directly to
    ath12k_dp_tx_get_encap_type() (bsc#1012628).
  - caif: reduce stack size, again (bsc#1012628).
  - net: annotate races around sk->sk_uid (bsc#1012628).
  - wifi: rtw89: avoid NULL dereference when RX problematic packet
    on unsupported 6 GHz band (bsc#1012628).
  - wifi: rtl818x: Kill URBs before clearing tx status queue
    (bsc#1012628).
  - drm/amdgpu: fix slab-use-after-free in
    amdgpu_userq_mgr_fini+0x70c (bsc#1012628).
  - wifi: iwlwifi: Fix memory leak in iwl_mvm_init() (bsc#1012628).
  - iwlwifi: Add missing check for alloc_ordered_workqueue
    (bsc#1012628).
  - drm/xe/uapi: Correct sync type definition in comments
    (bsc#1012628).
  - team: replace team lock with rtnl lock (bsc#1012628).
  - wifi: ath11k: clear initialized flag for deinit-ed srng lists
    (bsc#1012628).
  - wifi: ath12k: Clear auth flag only for actual association in
    security mode (bsc#1012628).
  - tcp: fix tcp_ofo_queue() to avoid including too much DUP SACK
    range (bsc#1012628).
  - net/mlx5: Check device memory pointer before usage
    (bsc#1012628).
  - net: dst: annotate data-races around dst->input (bsc#1012628).
  - net: dst: annotate data-races around dst->output (bsc#1012628).
  - net: dst: add four helpers to annotate data-races around
    dst->dev (bsc#1012628).
  - wifi: iwlwifi: Fix error code in iwl_op_mode_dvm_start()
    (bsc#1012628).
  - kselftest/arm64: Fix check for setting new VLs in sve-ptrace
    (bsc#1012628).
  - wifi: mt76: mt7925: fix off by one in mt7925_mcu_hw_scan()
    (bsc#1012628).
  - bpf: Ensure RCU lock is held around bpf_prog_ksym_find
    (bsc#1012628).
  - drm/msm/dpu: Fill in min_prefill_lines for SC8180X
    (bsc#1012628).
  - m68k: Don't unregister boot console needlessly (bsc#1012628).
  - refscale: Check that nreaders and loops multiplication doesn't
    overflow (bsc#1012628).
  - wifi: mt76: mt7996: Fix secondary link lookup in
    mt7996_mcu_sta_mld_setup_tlv() (bsc#1012628).
  - wifi: mt76: mt7996: Fix possible OOB access in mt7996_tx()
    (bsc#1012628).
  - wifi: mt76: mt7996: Fix valid_links bitmask in
    mt7996_mac_sta_{add,remove} (bsc#1012628).
  - drm/amdkfd: Move the process suspend and resume out of full
    access (bsc#1012628).
  - drm/amdgpu: rework queue reset scheduler interaction
    (bsc#1012628).
  - drm/amdgpu: move force completion into ring resets
    (bsc#1012628).
  - drm/amdgpu/gfx10: fix KGQ reset sequence (bsc#1012628).
  - drm/amd/pm/powerplay/hwmgr/smu_helper: fix order of mask and
    value (bsc#1012628).
  - Revert "drm/amdgpu: fix slab-use-after-free in
    amdgpu_userq_mgr_fini" (bsc#1012628).
  - drm/amdgpu: fix use-after-free in
    amdgpu_userq_suspend+0x51a/0x5a0 (bsc#1012628).
  - wifi: ath12k: Block radio bring-up in FTM mode (bsc#1012628).
  - arm64: fix unnecessary rebuilding when CONFIG_DEBUG_EFI=y
    (bsc#1012628).
  - drm/rockchip: vop2: fail cleanly if missing a primary plane
    for a video-port (bsc#1012628).
  - drm/rockchip: vop2: Fix the update of LAYER/PORT select
    registers when there are multi display output on rk3588/rk3568
    (bsc#1012628).
  - sched/psi: Optimize psi_group_change() cpu_clock() usage
    (bsc#1012628).
  - fbcon: Fix outdated registered_fb reference in comment
    (bsc#1012628).
  - netfilter: nf_tables: Drop dead code from fill_*_info routines
    (bsc#1012628).
  - netfilter: nf_tables: adjust lockdep assertions handling
    (bsc#1012628).
  - drm/panthor: Fix UAF in panthor_gem_create_with_handle()
    debugfs code (bsc#1012628).
  - wifi: ath12k: update unsupported bandwidth flags in reg rules
    (bsc#1012628).
  - wifi: ath12k: pack HTT pdev rate stats structs (bsc#1012628).
  - arch: powerpc: defconfig: Drop obsolete CONFIG_NET_CLS_TCINDEX
    (bsc#1012628).
  - um: rtc: Avoid shadowing err in uml_rtc_start() (bsc#1012628).
  - iommu/amd: Enable PASID and ATS capabilities in the correct
    order (bsc#1012628).
  - spi: spi-nxp-fspi: Check return value of devm_mutex_init()
    (bsc#1012628).
  - leds: lp8860: Check return value of devm_mutex_init()
    (bsc#1012628).
  - net/sched: Restrict conditions for adding duplicating netems
    to qdisc tree (bsc#1012628).
  - net_sched: act_ctinfo: use atomic64_t for three counters
    (bsc#1012628).
  - RDMA/mlx5: Fix UMR modifying of mkey page size (bsc#1012628).
  - xen: fix UAF in dmabuf_exp_from_pages() (bsc#1012628).
  - sched/deadline: Reset extra_bw to max_bw when clearing root
    domains (bsc#1012628).
  - iommu/vt-d: Do not wipe out the page table NID when devices
    detach (bsc#1012628).
  - iommu/vt-d: Fix missing PASID in dev TLB flush with
    cache_tag_flush_all (bsc#1012628).
  - iommu/arm-smmu: disable PRR on SM8250 (bsc#1012628).
  - xen/gntdev: remove struct gntdev_copy_batch from stack
    (bsc#1012628).
  - tcp: call tcp_measure_rcv_mss() for ooo packets (bsc#1012628).
  - wifi: rtl8xxxu: Fix RX skb size for aggregation disabled
    (bsc#1012628).
  - wifi: rtw88: Fix macid assigned to TDLS station (bsc#1012628).
  - mwl8k: Add missing check after DMA map (bsc#1012628).
  - wifi: mac80211: use RCU-safe iteration in ieee80211_csa_finish
    (bsc#1012628).
  - wifi: ath12k: Use HTT_TCL_METADATA_VER_V1 in FTM mode
    (bsc#1012628).
  - wifi: ath11k: fix sleeping-in-atomic in
    ath11k_mac_op_set_bitrate_mask() (bsc#1012628).
  - drm/amdgpu/gfx9: fix kiq locking in KCQ reset (bsc#1012628).
  - drm/amdgpu/gfx9.4.3: fix kiq locking in KCQ reset (bsc#1012628).
  - drm/amdgpu/gfx10: fix kiq locking in KCQ reset (bsc#1012628).
  - selftests/bpf: fix implementation of smp_mb() (bsc#1012628).
  - iommu/amd: Fix geometry.aperture_end for V2 tables
    (bsc#1012628).
  - rcu: Fix delayed execution of hurry callbacks (bsc#1012628).
  - wifi: mac80211: reject TDLS operations when station is not
    associated (bsc#1012628).
  - wifi: plfxlc: Fix error handling in usb driver probe
    (bsc#1012628).
  - wifi: cfg80211: Add missing lock in cfg80211_check_and_end_cac()
    (bsc#1012628).
  - wifi: mac80211: Do not schedule stopped TXQs (bsc#1012628).
  - wifi: mac80211: Don't call fq_flow_idx() for management frames
    (bsc#1012628).
  - wifi: mac80211: Check 802.11 encaps offloading in
    ieee80211_tx_h_select_key() (bsc#1012628).
  - Reapply "wifi: mac80211: Update skb's control block key in
    ieee80211_tx_dequeue()" (bsc#1012628).
  - wifi: ath12k: fix endianness handling while accessing wmi
    service bit (bsc#1012628).
  - wifi: brcmfmac: fix P2P discovery failure in P2P peer due to
    missing P2P IE (bsc#1012628).
  - PM: cpufreq: powernv/tracing: Move powernv_throttle trace event
    (bsc#1012628).
  - wifi: mac80211: Write cnt before copying in
    ieee80211_copy_rnr_beacon() (bsc#1012628).
  - wifi: nl80211: Set num_sub_specs before looping through
    sub_specs (bsc#1012628).
  - ring-buffer: Remove ring_buffer_read_prepare_sync()
    (bsc#1012628).
  - kcsan: test: Initialize dummy variable (bsc#1012628).
  - memcg_slabinfo: Fix use of PG_slab (bsc#1012628).
  - wifi: brcmfmac: cyw: Fix __counted_by to be LE variant
    (bsc#1012628).
  - wifi: mac80211: fix WARN_ON for monitor mode on some devices
    (bsc#1012628).
  - arm64/gcs: task_gcs_el0_enable() should use passed task
    (bsc#1012628).
  - wifi: iwlwifi: mld: decode EOF bit for AMPDUs (bsc#1012628).
  - iommu/vt-d: Fix UAF on sva unbind with pending IOPFs
    (bsc#1012628).
  - wifi: brcmfmac: fix EXTSAE WPA3 connection failure due to AUTH
    TX failure (bsc#1012628).
  - Bluetooth: btusb: Fix potential NULL dereference on kmalloc
    failure (bsc#1012628).
  - Bluetooth: hci_sync: fix double free in
    'hci_discovery_filter_clear()' (bsc#1012628).
  - Bluetooth: hci_devcd_dump: fix out-of-bounds via dev_coredumpv
    (bsc#1012628).
  - Bluetooth: btintel: Define a macro for Intel Reset vendor
    command (bsc#1012628).
  - Bluetooth: btintel_pcie: Make driver wait for alive interrupt
    (bsc#1012628).
  - Bluetooth: hci_event: Mask data status from LE ext adv reports
    (bsc#1012628).
  - bpf: Disable migration in nf_hook_run_bpf() (bsc#1012628).
  - bpf: Reject narrower access to pointer ctx fields (bsc#1012628).
  - tools/rv: Do not skip idle in trace (bsc#1012628).
  - selftests: drv-net: Fix remote command checking in require_cmd()
    (bsc#1012628).
  - selftests: drv-net: tso: enable test cases based on hw_features
    (bsc#1012628).
  - selftests: drv-net: tso: fix vxlan tunnel flags to get correct
    gso_type (bsc#1012628).
  - selftests: drv-net: tso: fix non-tunneled tso6 test case name
    (bsc#1012628).
  - can: peak_usb: fix USB FD devices potential malfunction
    (bsc#1012628).
  - can: tscan1: Kconfig: add COMPILE_TEST (bsc#1012628).
  - can: tscan1: CAN_TSCAN1 can depend on PC104 (bsc#1012628).
  - can: kvaser_pciefd: Store device channel index (bsc#1012628).
  - can: kvaser_usb: Assign netdev.dev_port based on device channel
    index (bsc#1012628).
  - netfilter: xt_nfacct: don't assume acct name is null-terminated
    (bsc#1012628).
  - selftests: netfilter: Ignore tainted kernels in interface
    stress test (bsc#1012628).
  - selftests: netfilter: ipvs.sh: Explicity disable rp_filter on
    interface tunl0 (bsc#1012628).
  - net/mlx5e: Clear Read-Only port buffer size in PBMC before
    update (bsc#1012628).
  - net/mlx5e: Remove skb secpath if xfrm state is not found
    (bsc#1012628).
  - net/mlx5e: Fix potential deadlock by deferring RX timeout
    recovery (bsc#1012628).
  - macsec: set IFF_UNICAST_FLT priv flag (bsc#1012628).
  - net: dsa: microchip: Fix wrong rx drop MIB counter for KSZ8863
    (bsc#1012628).
  - neighbour: Fix null-ptr-deref in neigh_flush_dev()
    (bsc#1012628).
  - stmmac: xsk: fix negative overflow of budget in zerocopy mode
    (bsc#1012628).
  - igb: xsk: solve negative overflow of nb_pkts in zerocopy mode
    (bsc#1012628).
  - selftests: rtnetlink.sh: remove esp4_offload after test
    (bsc#1012628).
  - vrf: Drop existing dst reference in vrf_ip6_input_dst
    (bsc#1012628).
  - ipv6: add a retry logic in net6_rt_notify() (bsc#1012628).
  - ipv6: prevent infinite loop in rt6_nlmsg_size() (bsc#1012628).
  - ipv6: fix possible infinite loop in fib6_info_uses_dev()
    (bsc#1012628).
  - ipv6: annotate data-races around rt->fib6_nsiblings
    (bsc#1012628).
  - bpf/preload: Don't select USERMODE_DRIVER (bsc#1012628).
  - bpf, arm64: Fix fp initialization for exception boundary
    (bsc#1012628).
  - RISC-V: KVM: Fix inclusion of Smnpm in the guest ISA bitmap
    (bsc#1012628).
  - rv: Remove trailing whitespace from tracepoint string
    (bsc#1012628).
  - rv: Use strings in da monitors tracepoints (bsc#1012628).
  - rv: Adjust monitor dependencies (bsc#1012628).
  - staging: media: atomisp: Fix stack buffer overflow in
    gmin_get_var_int() (bsc#1012628).
  - fortify: Fix incorrect reporting of read buffer size
    (bsc#1012628).
  - pinctrl: cirrus: madera-core: Use
    devm_pinctrl_register_mappings() (bsc#1012628).
  - remoteproc: qcom: pas: Conclude the rename from adsp
    (bsc#1012628).
  - PCI: rockchip-host: Fix "Unexpected Completion" log message
    (bsc#1012628).
  - clk: renesas: rzv2h: Fix missing CLK_SET_RATE_PARENT flag for
    ddiv clocks (bsc#1012628).
  - crypto: sun8i-ce - fix nents passed to dma_unmap_sg()
    (bsc#1012628).
  - crypto: qat - use unmanaged allocation for dc_data
    (bsc#1012628).
  - crypto: marvell/cesa - Fix engine load inaccuracy (bsc#1012628).
  - crypto: s390/hmac - Fix counter in export state (bsc#1012628).
  - crypto: s390/sha3 - Use cpu byte-order when exporting
    (bsc#1012628).
  - padata: Fix pd UAF once and for all (bsc#1012628).
  - crypto: ccp - Fix dereferencing uninitialized error pointer
    (bsc#1012628).
  - crypto: qat - allow enabling VFs in the absence of IOMMU
    (bsc#1012628).
  - crypto: qat - fix state restore for banks with exceptions
    (bsc#1012628).
  - mtd: fix possible integer overflow in erase_xfer()
    (bsc#1012628).
  - clk: davinci: Add NULL check in davinci_lpsc_clk_register()
    (bsc#1012628).
  - media: imx-jpeg: Account for data_offset when getting image
    address (bsc#1012628).
  - media: v4l2-ctrls: Fix H264 SEPARATE_COLOUR_PLANE check
    (bsc#1012628).
  - perf parse-events: Set default GH modifier properly
    (bsc#1012628).
  - clk: xilinx: vcu: unregister pll_post only if registered
    correctly (bsc#1012628).
  - power: supply: cpcap-charger: Fix null check for
    power_supply_get_by_name (bsc#1012628).
  - power: reset: POWER_RESET_TORADEX_EC should depend on ARCH_MXC
    (bsc#1012628).
  - power: supply: max14577: Handle NULL pdata when CONFIG_OF is
    not set (bsc#1012628).
  - power: supply: qcom_pmi8998_charger: fix wakeirq (bsc#1012628).
  - power: supply: max1720x correct capacity computation
    (bsc#1012628).
  - crypto: arm/aes-neonbs - work around gcc-15 warning
    (bsc#1012628).
  - crypto: ahash - Add support for drivers with no fallback
    (bsc#1012628).
  - crypto: ahash - Stop legacy tfms from using the set_virt
    fallback path (bsc#1012628).
  - crypto: qat - restore ASYM service support for GEN6 devices
    (bsc#1012628).
  - PCI: endpoint: pci-epf-vntb: Return -ENOENT if
    pci_epc_get_next_free_bar() fails (bsc#1012628).
  - pinctrl: sunxi: Fix memory leak on krealloc failure
    (bsc#1012628).
  - pinctrl: berlin: fix memory leak in berlin_pinctrl_build_state()
    (bsc#1012628).
  - pinctrl: canaan: k230: add NULL check in DT parse (bsc#1012628).
  - pinctrl: canaan: k230: Fix order of DT parse and pinctrl
    register (bsc#1012628).
  - PCI: Adjust the position of reading the Link Control 2 register
    (bsc#1012628).
  - PCI: Rename PCIE_RESET_CONFIG_DEVICE_WAIT_MS to
    PCIE_RESET_CONFIG_WAIT_MS (bsc#1012628).
  - PCI: dw-rockchip: Wait PCIE_RESET_CONFIG_WAIT_MS after link-up
    IRQ (bsc#1012628).
  - PCI: qcom: Wait PCIE_RESET_CONFIG_WAIT_MS after link-up IRQ
    (bsc#1012628).
  - soundwire: Correct some property names (bsc#1012628).
  - dmaengine: mmp: Fix again Wvoid-pointer-to-enum-cast warning
    (bsc#1012628).
  - soundwire: debugfs: move debug statement outside of error
    handling (bsc#1012628).
  - phy: qualcomm: phy-qcom-eusb2-repeater: Don't zero-out registers
    (bsc#1012628).
  - fanotify: sanitize handle_type values when reporting fid
    (bsc#1012628).
  - clk: clk-axi-clkgen: fix fpfd_max frequency for zynq
    (bsc#1012628).
  - RDMA/ipoib: Use parent rdma device net namespace (bsc#1012628).
  - RDMA/uverbs: Check CAP_NET_RAW in user namespace for flow create
    (bsc#1012628).
  - RDMA/mlx5: Check CAP_NET_RAW in user namespace for flow create
    (bsc#1012628).
  - RDMA/mlx5: Check CAP_NET_RAW in user namespace for anchor create
    (bsc#1012628).
  - RDMA/uverbs: Check CAP_NET_RAW in user namespace for QP create
    (bsc#1012628).
  - RDMA/uverbs: Check CAP_NET_RAW in user namespace for RAW QP
    create (bsc#1012628).
  - leds: tps6131x: Add V4L2_FLASH_LED_CLASS dependency
    (bsc#1012628).
  - RDMA/mlx5: Check CAP_NET_RAW in user namespace for devx create
    (bsc#1012628).
  - RDMA/nldev: Check CAP_NET_RAW in user namespace for QP modify
    (bsc#1012628).
  - RDMA/counter: Check CAP_NET_RAW check in user namespace for
    RDMA counters (bsc#1012628).
  - Fix dma_unmap_sg() nents value (bsc#1012628).
  - leds: pca955x: Avoid potential overflow when filling
    default_label (take 2) (bsc#1012628).
  - gitignore: allow .pylintrc to be tracked (bsc#1012628).
  - perf tools: Fix use-after-free in help_unknown_cmd()
    (bsc#1012628).
  - perf dso: Add missed dso__put to dso__load_kcore (bsc#1012628).
  - mtd: spi-nor: spansion: Fixup params->set_4byte_addr_mode for
    SEMPER (bsc#1012628).
  - perf sched: Make sure it frees the usage string (bsc#1012628).
  - perf sched: Free thread->priv using priv_destructor
    (bsc#1012628).
  - perf sched: Fix memory leaks in 'perf sched map' (bsc#1012628).
  - perf sched: Fix thread leaks in 'perf sched timehist'
    (bsc#1012628).
  - perf sched: Fix memory leaks for evsel->priv in timehist
    (bsc#1012628).
  - perf sched: Use RC_CHK_EQUAL() to compare pointers
    (bsc#1012628).
  - perf sched: Fix memory leaks in 'perf sched latency'
    (bsc#1012628).
  - clk: spacemit: mark K1 pll1_d8 as critical (bsc#1012628).
  - RDMA/hns: Fix double destruction of rsv_qp (bsc#1012628).
  - RDMA/hns: Fix HW configurations not cleared in error flow
    (bsc#1012628).
  - crypto: ccp - Fix locking on alloc failure handling
    (bsc#1012628).
  - crypto: inside-secure - Fix `dma_unmap_sg()` nents value
    (bsc#1012628).
  - crypto: ccp - Fix crash when rebind ccp device for ccp.ko
    (bsc#1012628).
  - RDMA/hns: Get message length of ack_req from FW (bsc#1012628).
  - RDMA/hns: Fix accessing uninitialized resources (bsc#1012628).
  - RDMA/hns: Drop GFP_NOWARN (bsc#1012628).
  - RDMA/hns: Fix -Wframe-larger-than issue (bsc#1012628).
  - tracing: Use queue_rcu_work() to free filters (bsc#1012628).
  - kernel: trace: preemptirq_delay_test: use offstack cpu mask
    (bsc#1012628).
  - RDMA/uverbs: Add empty rdma_uattrs_has_raw_cap() declaration
    (bsc#1012628).
  - proc: use the same treatment to check proc_lseek as ones for
    proc_read_iter et.al (bsc#1012628).
  - cxl/core: Introduce a new helper cxl_resource_contains_addr()
    (bsc#1012628).
  - cxl/edac: Fix wrong dpa checking for PPR operation
    (bsc#1012628).
  - pinmux: fix race causing mux_owner NULL with active mux_usecount
    (bsc#1012628).
  - perf tests bp_account: Fix leaked file descriptor (bsc#1012628).
  - perf hwmon_pmu: Avoid shortening hwmon PMU name (bsc#1012628).
  - perf python: Fix thread check in pyrf_evsel__read (bsc#1012628).
  - perf python: Correct pyrf_evsel__read for tool PMUs
    (bsc#1012628).
  - RDMA/mana_ib: Fix DSCP value in modify QP (bsc#1012628).
  - clk: thead: th1520-ap: Correctly refer the parent of osc_12m
    (bsc#1012628).
  - ext4: fix insufficient credits calculation in
    ext4_meta_trans_blocks() (bsc#1012628).
  - clk: sunxi-ng: v3s: Fix de clock definition (bsc#1012628).
  - scsi: ibmvscsi_tgt: Fix dma_unmap_sg() nents value
    (bsc#1012628).
  - scsi: core: Fix kernel doc for scsi_track_queue_full()
    (bsc#1012628).
  - scsi: elx: efct: Fix dma_unmap_sg() nents value (bsc#1012628).
  - scsi: mvsas: Fix dma_unmap_sg() nents value (bsc#1012628).
  - scsi: isci: Fix dma_unmap_sg() nents value (bsc#1012628).
  - PCI: Fix driver_managed_dma check (bsc#1012628).
  - watchdog: ziirave_wdt: check record length in
    ziirave_firm_verify() (bsc#1012628).
  - selftests/cgroup: fix cpu.max tests (bsc#1012628).
  - ext4: fix inode use after free in ext4_end_io_rsv_work()
    (bsc#1012628).
  - ext4: Make sure BH_New bit is cleared in ->write_end handler
    (bsc#1012628).
  - clk: at91: sam9x7: update pll clk ranges (bsc#1012628).
  - hwrng: mtk - handle devm_pm_runtime_enable errors (bsc#1012628).
  - crypto: keembay - Fix dma_unmap_sg() nents value (bsc#1012628).
  - crypto: img-hash - Fix dma_unmap_sg() nents value (bsc#1012628).
  - crypto: qat - disable ZUC-256 capability for QAT GEN5
    (bsc#1012628).
  - crypto: qat - fix virtual channel configuration for GEN6 devices
    (bsc#1012628).
  - crypto: krb5 - Fix memory leak in krb5_test_one_prf()
    (bsc#1012628).
  - cgroup: Add compatibility option for content of /proc/cgroups
    (bsc#1012628).
  - soundwire: stream: restore params when prepare ports fail
    (bsc#1012628).
  - PCI: endpoint: pci-epf-vntb: Fix the incorrect usage of __iomem
    attribute (bsc#1012628).
  - clk: imx95-blk-ctl: Fix synchronous abort (bsc#1012628).
  - phy: qcom: phy-qcom-snps-eusb2: Add missing write from init
    sequence (bsc#1012628).
  - remoteproc: xlnx: Disable unsupported features (bsc#1012628).
  - fs/orangefs: Allow 2 more characters in do_c_string()
    (bsc#1012628).
  - clk: thead: th1520-ap: Describe mux clocks with clk_mux
    (bsc#1012628).
  - tools subcmd: Tighten the filename size in
    check_if_command_finished (bsc#1012628).
  - perf pmu: Switch FILENAME_MAX to NAME_MAX (bsc#1012628).
  - dmaengine: mv_xor: Fix missing check after DMA map and missing
    unmap (bsc#1012628).
  - dmaengine: nbpfaxi: Add missing check after DMA map
    (bsc#1012628).
  - mfd: tps65219: Update TPS65214 MFD cell's GPIO compatible string
    (bsc#1012628).
  - ASoC: SDCA: Fix some holes in the regmap readable/writeable
    helpers (bsc#1012628).
  - ASoC: fsl_xcvr: get channel status data when PHY is not exists
    (bsc#1012628).
  - ASoC: fsl_xcvr: get channel status data with firmware exists
    (bsc#1012628).
  - clk: spacemit: ccu_pll: fix error return value in recalc_rate
    callback (bsc#1012628).
  - sh: Do not use hyphen in exported variable name (bsc#1012628).
  - perf tools: Remove libtraceevent in .gitignore (bsc#1012628).
  - clk: clocking-wizard: Fix the round rate handling for versal
    (bsc#1012628).
  - crypto: qat - fix DMA direction for compression on GEN2 devices
    (bsc#1012628).
  - crypto: qat - fix seq_file position update in adf_ring_next()
    (bsc#1012628).
  - fbdev: imxfb: Check fb_add_videomode to prevent null-ptr-deref
    (bsc#1012628).
  - smb: client: allow parsing zero-length AV pairs (bsc#1012628).
  - drm/xe/configfs: Fix pci_dev reference leak (bsc#1012628).
  - jfs: fix metapage reference count leak in dbAllocCtl
    (bsc#1012628).
  - mtd: rawnand: atmel: Fix dma_mapping_error() address
    (bsc#1012628).
  - mtd: rawnand: rockchip: Add missing check after DMA map
    (bsc#1012628).
  - mtd: rawnand: atmel: set pmecc data setup time (bsc#1012628).
  - drm/xe/vf: Disable CSC support on VF (bsc#1012628).
  - selftests: ALSA: fix memory leak in utimer test (bsc#1012628).
  - ALSA: usb: scarlett2: Fix missing NULL check (bsc#1012628).
  - perf record: Cache build-ID of hit DSOs only (bsc#1012628).
  - bpf: Add cookie object to bpf maps (bsc#1012628).
  - bpf: Move bpf map owner out of common struct (bsc#1012628).
  - bpf: Move cgroup iterator helpers to bpf.h (bsc#1012628).
  - bpf: Fix oob access in cgroup local storage (bsc#1012628).
  - vdpa/mlx5: Fix needs_teardown flag calculation (bsc#1012628).
  - vhost-scsi: Fix log flooding with target does not exist errors
    (bsc#1012628).
  - vhost-scsi: Fix check for inline_sg_cnt exceeding preallocated
    limit (bsc#1012628).
  - vdpa/mlx5: Fix release of uninitialized resources on error path
    (bsc#1012628).
  - vdpa: Fix IDR memory leak in VDUSE module exit (bsc#1012628).
  - vhost: Reintroduce kthread API and add mode selection
    (bsc#1012628).
  - bpf: Check flow_dissector ctx accesses are aligned
    (bsc#1012628).
  - bpf: Check netfilter ctx accesses are aligned (bsc#1012628).
  - apparmor: ensure WB_HISTORY_SIZE value is a power of 2
    (bsc#1012628).
  - apparmor: fix loop detection used in conflicting attachment
    resolution (bsc#1012628).
  - dm-flakey: Fix corrupt_bio_byte setup checks (bsc#1012628).
  - uprobes: revert ref_ctr_offset in uprobe_unregister error path
    (bsc#1012628).
  - scripts: gdb: move MNT_* constants to gdb-parsed (bsc#1012628).
  - squashfs: use folios in squashfs_bio_read_cached()
    (bsc#1012628).
  - squashfs: fix incorrect argument to sizeof in kmalloc_array call
    (bsc#1012628).
  - apparmor: Fix unaligned memory accesses in KUnit test
    (bsc#1012628).
  - i3c: fix module_i3c_i2c_driver() with I3C=n (bsc#1012628).
  - i3c: master: svc: Fix npcm845 FIFO_EMPTY quirk (bsc#1012628).
  - module: Restore the moduleparam prefix length check
    (bsc#1012628).
  - ucount: fix atomic_long_inc_below() argument type (bsc#1012628).
  - rtc: ds1307: fix incorrect maximum clock rate handling
    (bsc#1012628).
  - rtc: hym8563: fix incorrect maximum clock rate handling
    (bsc#1012628).
  - rtc: nct3018y: fix incorrect maximum clock rate handling
    (bsc#1012628).
  - rtc: pcf85063: fix incorrect maximum clock rate handling
    (bsc#1012628).
  - rtc: pcf8563: fix incorrect maximum clock rate handling
    (bsc#1012628).
  - rtc: rv3028: fix incorrect maximum clock rate handling
    (bsc#1012628).
  - f2fs: turn off one_time when forcibly set to foreground GC
    (bsc#1012628).
  - f2fs: fix bio memleak when committing super block (bsc#1012628).
  - f2fs: fix to avoid invalid wait context issue (bsc#1012628).
  - f2fs: compress: change the first parameter of
    page_array_{alloc,free} to sbi (bsc#1012628).
  - f2fs: compress: fix UAF of f2fs_inode_info in f2fs_free_dic
    (bsc#1012628).
  - f2fs: fix KMSAN uninit-value in extent_info usage (bsc#1012628).
  - f2fs: fix to check upper boundary for value of
    gc_boost_zoned_gc_percent (bsc#1012628).
  - f2fs: fix to check upper boundary for gc_valid_thresh_ratio
    (bsc#1012628).
  - f2fs: fix to check upper boundary for gc_no_zoned_gc_percent
    (bsc#1012628).
  - f2fs: doc: fix wrong quota mount option description
    (bsc#1012628).
  - f2fs: fix to avoid UAF in f2fs_sync_inode_meta() (bsc#1012628).
  - f2fs: fix to avoid panic in f2fs_evict_inode (bsc#1012628).
  - f2fs: fix to avoid out-of-boundary access in devs.path
    (bsc#1012628).
  - f2fs: vm_unmap_ram() may be called from an invalid context
    (bsc#1012628).
  - f2fs: fix to update upper_p in __get_secs_required() correctly
    (bsc#1012628).
  - f2fs: fix to calculate dirty data during
    has_not_enough_free_secs() (bsc#1012628).
  - f2fs: fix to trigger foreground gc during f2fs_map_blocks()
    in lfs mode (bsc#1012628).
  - exfat: fdatasync flag should be same like generic_write_sync()
    (bsc#1012628).
  - i2c: muxes: mule: Fix an error handling path in
    mule_i2c_mux_probe() (bsc#1012628).
  - vfio: Fix unbalanced vfio_df_close call in no-iommu mode
    (bsc#1012628).
  - vfio: Prevent open_count decrement to negative (bsc#1012628).
  - vfio/pds: Fix missing detach_ioas op (bsc#1012628).
  - vfio/pci: Separate SR-IOV VF dev_set (bsc#1012628).
  - scsi: mpt3sas: Fix a fw_event memory leak (bsc#1012628).
  - scsi: Revert "scsi: iscsi: Fix HW conn removal use after free"
    (bsc#1012628).
  - scsi: ufs: core: Use link recovery when h8 exit fails during
    runtime resume (bsc#1012628).
  - scsi: sd: Make sd shutdown issue START STOP UNIT appropriately
    (bsc#1012628).
  - kconfig: qconf: fix ConfigList::updateListAllforAll()
    (bsc#1012628).
  - vfio/pci: Do vf_token checks for VFIO_DEVICE_BIND_IOMMUFD
    (bsc#1012628).
  - sched/psi: Fix psi_seq initialization (bsc#1012628).
  - padata: Remove comment for reorder_work (bsc#1012628).
  - PCI: pnv_php: Clean up allocated IRQs on unplug (bsc#1012628).
  - PCI: pnv_php: Work around switches with broken presence
    detection (bsc#1012628).
  - powerpc/eeh: Export eeh_unfreeze_pe() (bsc#1012628).
  - powerpc/eeh: Make EEH driver device hotplug safe (bsc#1012628).
  - PCI: pnv_php: Fix surprise plug detection and recovery
    (bsc#1012628).
  - tools/power turbostat: regression fix: --show C1E%
    (bsc#1012628).
  - pNFS/flexfiles: don't attempt pnfs on fatal DS errors
    (bsc#1012628).
  - NFS: Fix wakeup of __nfs_lookup_revalidate() in
    unblock_revalidate() (bsc#1012628).
  - NFS: Fix filehandle bounds checking in nfs_fh_to_dentry()
    (bsc#1012628).
  - NFSv4.2: another fix for listxattr (bsc#1012628).
  - NFS: Fixup allocation flags for nfsiod's __GFP_NORETRY
    (bsc#1012628).
  - ARM: s3c/gpio: complete the conversion to new GPIO value setters
    (bsc#1012628).
  - md/md-cluster: handle REMOVE message earlier (bsc#1012628).
  - kcm: Fix splice support (bsc#1012628).
  - netpoll: prevent hanging NAPI when netcons gets enabled
    (bsc#1012628).
  - phy: mscc: Fix parsing of unicast frames (bsc#1012628).
  - net: ipa: add IPA v5.1 and v5.5 to ipa_version_string()
    (bsc#1012628).
  - net: mdio_bus: Use devm for getting reset GPIO (bsc#1012628).
  - pptp: ensure minimal skb length in pptp_xmit() (bsc#1012628).
  - nvmet: initialize discovery subsys after debugfs is initialized
    (bsc#1012628).
  - s390/ap: Unmask SLCF bit in card and queue ap functions sysfs
    (bsc#1012628).
  - s390/mm: Set high_memory at the end of the identity mapping
    (bsc#1012628).
  - netlink: specs: ethtool: fix module EEPROM input/output
    arguments (bsc#1012628).
  - block: Fix default IO priority if there is no IO context
    (bsc#1012628).
  - block: ensure discard_granularity is zero when discard is not
    supported (bsc#1012628).
  - ASoC: tas2781: Fix the wrong step for TLV on tas2781
    (bsc#1012628).
  - net: airoha: Fix PPE table access in
    airoha_ppe_debugfs_foe_show() (bsc#1012628).
  - net/mlx5: Correctly set gso_segs when LRO is used (bsc#1012628).
  - selftests: avoid using ifconfig (bsc#1012628).
  - ipv6: reject malicious packets in ipv6_gso_segment()
    (bsc#1012628).
  - net: mdio: mdio-bcm-unimac: Correct rate fallback logic
    (bsc#1012628).
  - net: drop UFO packets in udp_rcv_segment() (bsc#1012628).
  - net/sched: taprio: enforce minimum value for picos_per_byte
    (bsc#1012628).
  - md: make rdev_addable usable for rcu mode (bsc#1012628).
  - sunrpc: fix client side handling of tls alerts (bsc#1012628).
  - drm/xe/pf: Disable PF restart worker on device removal
    (bsc#1012628).
  - x86/irq: Plug vector setup race (bsc#1012628).
  - eth: fbnic: unlink NAPIs from queues on error to open
    (bsc#1012628).
  - ipa: fix compile-testing with qcom-mdt=m (bsc#1012628).
  - net: devmem: fix DMA direction on unmapping (bsc#1012628).
  - net: airoha: npu: Add missing MODULE_FIRMWARE macros
    (bsc#1012628).
  - benet: fix BUG when creating VFs (bsc#1012628).
  - Revert "net: mdio_bus: Use devm for getting reset GPIO"
    (bsc#1012628).
  - net/sched: mqprio: fix stack out-of-bounds write in tc entry
    parsing (bsc#1012628).
  - s390/mm: Allocate page table with PAGE_SIZE granularity
    (bsc#1012628).
  - eth: fbnic: remove the debugging trick of super high page bias
    (bsc#1012628).
  - eth: fbnic: Fix tx_dropped reporting (bsc#1012628).
  - eth: fbnic: Lock the tx_dropped update (bsc#1012628).
  - NFS/localio: nfs_close_local_fh() fix check for file closed
    (bsc#1012628).
  - NFS/localio: nfs_uuid_put() fix races with
    nfs_open/close_local_fh() (bsc#1012628).
  - NFS/localio: nfs_uuid_put() fix the wake up after unlinking
    the file (bsc#1012628).
  - net: ti: icssg-prueth: Fix skb handling for XDP_PASS
    (bsc#1012628).
  - irqchip: Build IMX_MU_MSI only on ARM (bsc#1012628).
  - ASoC: SOF: Intel: hda-sdw-bpt: fix SND_SOF_SOF_HDA_SDW_BPT
    dependencies (bsc#1012628).
  - ALSA: hda/ca0132: Fix missing error handling in
    ca0132_alt_select_out() (bsc#1012628).
  - s390/boot: Fix startup debugging log (bsc#1012628).
  - smb: server: remove separate empty_recvmsg_queue (bsc#1012628).
  - smb: server: make sure we call ib_dma_unmap_single() only if
    we called ib_dma_map_single already (bsc#1012628).
  - smb: server: let recv_done() consistently call
    put_recvmsg/smb_direct_disconnect_rdma_connection (bsc#1012628).
  - smb: server: let recv_done() avoid touching data_transfer
    after cleanup/move (bsc#1012628).
  - smb: client: remove separate empty_packet_queue (bsc#1012628).
  - smb: client: make sure we call ib_dma_unmap_single() only if
    we called ib_dma_map_single already (bsc#1012628).
  - smb: client: let recv_done() cleanup before notifying the
    callers (bsc#1012628).
  - smb: client: let recv_done() avoid touching data_transfer
    after cleanup/move (bsc#1012628).
  - nvmet: exit debugfs after discovery subsystem exits
    (bsc#1012628).
  - pptp: fix pptp_xmit() error path (bsc#1012628).
  - smb: client: return an error if rdma_connect does not return
    within 5 seconds (bsc#1012628).
  - tools/power turbostat: Fix bogus SysWatt for forked program
    (bsc#1012628).
  - tools/power turbostat: Fix DMR support (bsc#1012628).
  - nfsd: don't set the ctime on delegated atime updates
    (bsc#1012628).
  - nfsd: avoid ref leak in nfsd_open_local_fh() (bsc#1012628).
  - sunrpc: fix handling of server side tls alerts (bsc#1012628).
  - perf/core: Preserve AUX buffer allocation failure result
    (bsc#1012628).
  - perf/core: Don't leak AUX buffer refcount on allocation failure
    (bsc#1012628).
  - perf/core: Exit early on perf_mmap() fail (bsc#1012628).
  - perf/core: Handle buffer mapping fail correctly in perf_mmap()
    (bsc#1012628).
  - perf/core: Prevent VMA split of buffer mappings (bsc#1012628).
  - selftests/perf_events: Add a mmap() correctness test
    (bsc#1012628).
  - net/packet: fix a race in packet_set_ring() and
    packet_notifier() (bsc#1012628).
  - vsock: Do not allow binding to VMADDR_PORT_ANY (bsc#1012628).
  - ksmbd: fix null pointer dereference error in
    generate_encryptionkey (bsc#1012628).
  - ksmbd: fix Preauh_HashValue race condition (bsc#1012628).
  - ksmbd: fix corrupted mtime and ctime in smb2_open (bsc#1012628).
  - smb: client: fix netns refcount leak after net_passive changes
    (bsc#1012628).
  - smb: client: set symlink type as native for POSIX mounts
    (bsc#1012628).
  - smb: client: default to nonativesocket under POSIX mounts
    (bsc#1012628).
  - ksmbd: limit repeated connections from clients with the same IP
    (bsc#1012628).
  - smb: server: Fix extension string in ksmbd_extract_shortname()
    (bsc#1012628).
  - USB: serial: option: add Foxconn T99W709 (bsc#1012628).
  - Bluetooth: btusb: Add USB ID 3625:010b for TP-LINK Archer
    TX10UB Nano (bsc#1012628).
  - net: usbnet: Avoid potential RCU stall on LINK_CHANGE event
    (bsc#1012628).
  - net: usbnet: Fix the wrong netif_carrier_on() call
    (bsc#1012628).
  - x86/sev: Evict cache lines during SNP memory validation
    (bsc#1012628).
  - ALSA: intel_hdmi: Fix off-by-one error in
    __hdmi_lpe_audio_probe() (bsc#1012628).
  - ALSA: scarlett2: Add retry on -EPROTO from scarlett2_usb_tx()
    (bsc#1012628).
  - ALSA: hda/realtek - Fix mute LED for HP Victus 16-r1xxx
    (bsc#1012628).
  - ALSA: hda/realtek - Fix mute LED for HP Victus 16-s0xxx
    (bsc#1012628).
  - ALSA: hda/realtek - Fix mute LED for HP Victus 16-d1xxx (MB
    8A26) (bsc#1012628).
  - platform/x86/intel/pmt: fix a crashlog NULL pointer access
    (bsc#1012628).
  - x86/fpu: Delay instruction pointer fixup until after warning
    (bsc#1012628).
  - KVM: x86: Convert vcpu_run()'s immediate exit param into a
    generic bitmap (bsc#1012628).
  - KVM: x86: Drop kvm_x86_ops.set_dr6() in favor of a new KVM_RUN
    flag (bsc#1012628).
  - KVM: VMX: Allow guest to set DEBUGCTL.RTM_DEBUG if RTM is
    supported (bsc#1012628).
  - KVM: arm64: Check for SYSREGS_ON_CPU before accessing the CPU
    state (bsc#1012628).
  - KVM: arm64: Filter out HCR_EL2 bits when running in hypervisor
    context (bsc#1012628).
  - zloop: fix KASAN use-after-free of tag set (bsc#1012628).
  - s390/mm: Remove possible false-positive warning in
    pte_free_defer() (bsc#1012628).
  - MIPS: mm: tlb-r4k: Uniquify TLB entries on init (bsc#1012628).
  - mm/hmm: move pmd_to_hmm_pfn_flags() to the respective #ifdeffery
    (bsc#1012628).
  - mm: swap: correctly use maxpages in swapon syscall to avoid
    potential deadloop (bsc#1012628).
  - mm: swap: fix potential buffer overflow in setup_clusters()
    (bsc#1012628).
  - mm: swap: move nr_swap_pages counter decrement from
    folio_alloc_swap() to swap_range_alloc() (bsc#1012628).
  - mm: shmem: fix the shmem large folio allocation for the i915
    driver (bsc#1012628).
  - usb: gadget: uvc: Initialize frame-based format color matching
    descriptor (bsc#1012628).
  - perf/arm-ni: Set initial IRQ affinity (bsc#1012628).
  - media: ti: j721e-csi2rx: fix list_del corruption (bsc#1012628).
  - HID: apple: validate feature-report field count to prevent
    NULL pointer dereference (bsc#1012628).
  - USB: gadget: f_hid: Fix memory leak in hidg_bind error path
    (bsc#1012628).
  - HID: core: Harden s32ton() against conversion to 0 bits
    (bsc#1012628).
  - HID: magicmouse: avoid setting up battery timer when not needed
    (bsc#1012628).
  - HID: apple: avoid setting up battery timer for devices without
    battery (bsc#1012628).
  - usb: gadget : fix use-after-free in composite_dev_cleanup()
    (bsc#1012628).
  - wifi: ath12k: install pairwise key first (bsc#1012628).
  - mm: fix a UAF when vma->mm is freed after vma->vm_refcnt got
    dropped (bsc#1012628).
  - Update config files.
  - Rename to
    patches.kernel.org/6.16.1-522-spi-cs42l43-Property-entry-should-be-a-null-te.patch.
  - commit 2e1765c
* Fri Aug 15 2025 jslaby@suse.cz
  - Update config files. Enable FIPS (bsc#1247998)
    CRYPTO_MANAGER_DISABLE_TESTS (we had "is not set") became
    CRYPTO_SELFTESTS (we still have "is not set"), but the logic was
    inverted: CRYPTO_MANAGER_DISABLE_TESTS <=> !CRYPTO_SELFTESTS.
    Set CRYPTO_SELFTESTS=y and restore the otehr values to pre-6.16-rc1.
  - commit fd401db
* Thu Aug 14 2025 ddiss@suse.de
  - bcachefs: print message at mount time regarding imminent removal (boo#1248109).
  - bcachefs: print message at mount time regarding imminent removal
    (boo#1248109).
  - commit 65e74d4
* Thu Aug 14 2025 msuchanek@suse.de
  - Move pesign-obs-integration requirement from kernel-syms to kernel devel
    subpackage (bsc#1248108).
  - commit e707e41
* Wed Aug 13 2025 msuchanek@suse.de
  - Update config files (bsc#1247996)
    CONFIG_CRYPTO_BENCHMARK=m
  - commit a339b63
* Wed Aug 13 2025 jslaby@suse.cz
  - Refresh
    patches.suse/spi-cs42l43-Property-entry-should-be-a-null-terminat.patch.
    Update upstream status and move to sorted section.
  - commit 4b2d952
* Tue Aug 12 2025 tzimmermann@suse.com
  - Remove patches.suse/Add-parameter-to-disable-simple-framebuffer-devices.patch (bsc#1206264)
    The proprietary Nvidia driver now supports simpledrm in all provided
    packages, G04 and later. Remove the workarounds from our kernel.
  - commit 6fd6203
* Tue Aug 12 2025 tzimmermann@suse.com
  - Remove patches.suse/drivers-firmware-skip-simpledrm-if-nvidia-drm.modese.patch (bsc#1206264)
    The proprietary Nvidia driver now supports simpledrm in all provided
    packages, G04 and later. Remove the workarounds from our kernel.
  - commit 71d99aa
* Mon Aug 11 2025 jslaby@suse.cz
  - Revert "usb: hub: Don't try to recover devices lost during
    warm reset." (bsc#1247895).
  - commit ce3fbe8
* Sun Aug 10 2025 pfalcato@suse.de
  - update to 6.17-rc1
  - drop mainlined patches
    - patches.suse/MAINTAINERS-add-Raspberry-Pi-RP1-section.patch
    - patches.suse/arm64-dts-bcm2712-Add-external-clock-for-RP1-chipset-on-Rpi5.patch
    - patches.suse/arm64-dts-broadcom-Add-board-DTS-for-Rpi5-which-includes-RP1-node.patch
    - patches.suse/arm64-dts-broadcom-Add-overlay-for-RP1-device.patch
    - patches.suse/arm64-dts-rp1-Add-support-for-RaspberryPi-s-RP1-device.patch
    - patches.suse/btrfs-fix-log-tree-replay-failure-due-to-file-with-0-.patch
    - patches.suse/clk-rp1-Add-support-for-clocks-provided-by-RP1.patch
    - patches.suse/dt-bindings-clock-Add-RaspberryPi-RP1-clock-bindings.patch
    - patches.suse/dt-bindings-misc-Add-device-specific-bindings-for-RaspberryPi-RP1.patch
    - patches.suse/dt-bindings-pinctrl-Add-RaspberryPi-RP1-gpio-pinctrl-pinmux-bindings.patch
    - patches.suse/misc-rp1-RaspberryPi-RP1-misc-driver.patch
    - patches.suse/pinctrl-rp1-Implement-RaspberryPi-RP1-gpio-support.patch
    - patches.suse/pinctrl-rp1-Implement-RaspberryPi-RP1-pinmux-pinconf-support.patch
    - patches.suse/spi-cs42l43-Property-entry-should-be-a-null-terminat.patch
  - refresh patches
    - patches.suse/add-suse-supported-flag.patch
    - patches.suse/vfs-add-super_operations-get_inode_dev
  - disable ARM architectures (needs update)
  - new config options
    - General setup
    - Timers subsystem
    - CONFIG_POSIX_AUX_CLOCKS=y
    - Configure standard kernel features (expert users)
    - CONFIG_IO_URING_MOCK_FILE=n
    - Virtualization
    - CONFIG_KVM_IOAPIC=y
    - Memory Management options
    - CONFIG_PAGE_BLOCK_MAX_ORDER=10
    - Data Access Monitoring
    - CONFIG_DAMON_STAT=n
    - CONFIG_DAMON_STAT_ENABLED_DEFAULT=n
    - Networking support
    - Networking options
    - Network packet filtering framework (Netfilter)
    - Core Netfilter Configuration
    - CONFIG_NFT_EXTHDR_DCCP=n
    - CONFIG_NETFILTER_XTABLES_LEGACY=y
    - QoS and/or fair queueing
    - CONFIG_NET_SCH_DUALPI2=m
    - Device Drivers
    - PCI support
    - PCI Endpoint
    - CONFIG_PCI_ENDPOINT_MSI_DOORBELL=y
    - Firmware Drivers
    - EFI (Extensible Firmware Interface) Support
    - CONFIG_OVMF_DEBUG_LOG=y
    - CONFIG_EFI_SBAT_FILE=""
    - Memory Technology Device (MTD) support
    - Self-contained MTD device drivers
    - CONFIG_MTD_INTEL_DG=m
    - Network device support
    - Ethernet driver support
    - CONFIG_BNGE=m
    - CONFIG_TXGBEVF=m
    - CONFIG_NGBEVF=m
    - Wireless LAN
    - Realtek 802.11ax wireless chips support
    - CONFIG_RTW89_8851BU=m
    - CONFIG_RTW89_8852BU=m
    - Input device support
    - Miscellaneous devices
    - CONFIG_RMI4_F1A=y
    - CONFIG_RMI4_F21=y
    - Hardware I/O ports
    - DPLL device support
    - CONFIG_ZL3073X_I2C=m
    - CONFIG_ZL3073X_SPI=m
    - GPIO Support
    - CONFIG_GPIO_SYSFS_LEGACY=y
    - Hardware Monitoring support
    - PMBus support
    - CONFIG_SENSORS_ADP1050_REGULATOR=y
    - Multimedia support
    - Media ancillary drivers
    - CONFIG_VIDEO_CAMERA_LENS=y
    - Video serializers and deserializers
    - CONFIG_VIDEO_MAX96717=m
    - Graphics support
    - Direct Rendering Manager (XFree86 4.1.0 and higher DRI support)
    - ARM devices
    - drm/i915 Profile Guided Optimisation
    - CONFIG_DRM_XE_PAGEMAP=y
    - CONFIG_TRACE_GPU_MEM=y
    - Sound card support
    - Advanced Linux Sound Architecture
    - HD-Audio
    - CONFIG_SND_HDA_CODEC_CM9825=n
    - Realtek HD-audio codec support
    - CONFIG_SND_HDA_CODEC_ALC260=m
    - CONFIG_SND_HDA_CODEC_ALC262=m
    - CONFIG_SND_HDA_CODEC_ALC268=m
    - CONFIG_SND_HDA_CODEC_ALC269=m
    - CONFIG_SND_HDA_CODEC_ALC662=m
    - CONFIG_SND_HDA_CODEC_ALC680=m
    - CONFIG_SND_HDA_CODEC_ALC861=m
    - CONFIG_SND_HDA_CODEC_ALC861VD=m
    - CONFIG_SND_HDA_CODEC_ALC880=m
    - CONFIG_SND_HDA_CODEC_ALC882=m
    - Cirrus Logic HD-audio codec support
    - CONFIG_SND_HDA_CODEC_CS420X=m
    - CONFIG_SND_HDA_CODEC_CS421X=m
    - HD-audio HDMI codec support
    - CONFIG_SND_HDA_CODEC_HDMI_INTEL=m
    - CONFIG_SND_HDA_CODEC_HDMI_ATI=m
    - CONFIG_SND_HDA_CODEC_HDMI_NVIDIA=m
    - CONFIG_SND_HDA_CODEC_HDMI_NVIDIA_MCP=m
    - CONFIG_SND_HDA_CODEC_HDMI_TEGRA=m
    - ALSA for SoC audio support
    - Intel
    - Intel AVS Machine drivers
    - CONFIG_SND_SOC_INTEL_AVS_MACH_RT5640=m
    - SoundWire (SDCA)
    - CONFIG_SND_SOC_SDCA_HID=y
    - CONFIG_SND_SOC_SDCA_IRQ=y
    - CODEC drivers
    - CONFIG_SND_SOC_RTQ9124=m
    - VHOST drivers
    - CONFIG_VHOST_ENABLE_FORK_OWNER_CONTROL=y
    - Staging drivers
    - Media staging drivers
    - CONFIG_VIDEO_INTEL_IPU7=m
    - X86 Platform Specific Device Drivers
    - CONFIG_ACPI_WMI_LEGACY_DEVICE_NAMES=y
    - CONFIG_AMD_HFI=y
    - CONFIG_LENOVO_WMI_GAMEZONE=m
    - CONFIG_LENOVO_WMI_TUNING=m
    - Industrial I/O support
    - Analog to digital converters
    - CONFIG_AD4080=n
    - CONFIG_AD4170_4=n
    - Chemical Sensors
    - CONFIG_IIO_CROS_EC_ACTIVITY=m
    - Proximity and distance sensors
    - CONFIG_D3323AA=n
    - Kernel hacking
    - Tracers
    - CONFIG_TRACEFS_AUTOMOUNT_DEPRECATED=y
    - CONFIG_EPROBE_EVENTS=y
    - Kernel Testing and Coverage
    - Runtime Testing
    - CONFIG_TEST_KEXEC_HANDOVER=n
    - ppc64le
    - CONFIG_SENSORS_MC33XS2410=m
    - CONFIG_PWM_ARGON_FAN_HAT=m
    - CONFIG_KEYBOARD_ADP5585=m
    - CONFIG_PAGE_BLOCK_MAX_ORDER=8
    - riscv64
    - CONFIG_SENSORS_MC33XS2410=m
    - CONFIG_PWM_ARGON_FAN_HAT=m
    - CONFIG_KEYBOARD_ADP5585=m
    - CONFIG_ARCH_ANDES=y
    - CONFIG_PCIE_SOPHGO_DW=y
    - CONFIG_RENESAS_I3C=m
    - CONFIG_SPI_RZV2H_RSPI=m
    - CONFIG_POWER_SEQUENCING_TH1520_GPU=m
    - CONFIG_REGULATOR_RASPBERRYPI_TOUCHSCREEN_V2=m
    - CONFIG_DRM_PANEL_HIMAX_HX83112B=n
    - CONFIG_DRM_PANEL_RENESAS_R61307=n
    - CONFIG_DRM_PANEL_RENESAS_R69328=n
    - CONFIG_AT_XDMAC=m
    - CONFIG_SOPHGO_CV1800B_DMAMUX=m
    - CONFIG_SUN55I_PCK600=y
    - CONFIG_ARM_HISI_UNCORE_DEVFREQ=n
    - CONFIG_PWM_PXA=m
    - CONFIG_ACLINT_SSWI=y
    - CONFIG_RESET_SPACEMIT=m
    - s390x
    - CONFIG_ZONE_DEVICE=y
    - CONFIG_CRYPTO_PHMAC_S390=m
  - commit 234ddfe
* Thu Aug 07 2025 jdelvare@suse.de
  - Enable CONFIG_CMA_SYSFS
    This is a generally useful feature for anyone using CMA or
    investigating CMA issues, with a small and simple code base and no
    runtime overhead.
  - commit e137475
* Thu Aug 07 2025 jdelvare@suse.de
  - Update config files.
    Set CONFIG_CMA_AREAS values to their new upstream default.
  - commit e9e75eb
* Thu Aug 07 2025 jslaby@suse.cz
  - crypto: hkdf - skip TVs with unapproved salt lengths in FIPS
    mode (bsc#1241200 bsc#1246134 bsc#1245608).
  - commit 1ae9298
* Thu Aug 07 2025 jslaby@suse.cz
  - btrfs: fix log tree replay failure due to file with 0 links
    and extents (bsc#1247726).
  - commit f83c8f3
* Wed Aug 06 2025 jslaby@suse.cz
  - Delete patches.rpmify/libbpf-do-not-compile-with-Werror.patch.
    Gcc 15 was fixed in sr#1297061 (bsc#1245584).
  - commit 5e7fcd4
* Mon Aug 04 2025 mkubecek@suse.cz
  - series.conf: refresh
  - update upstream references and resort
    - patches.suse/dt-bindings-pinctrl-Add-RaspberryPi-RP1-gpio-pinctrl-pinmux-bindings.patch
    - patches.suse/dt-bindings-misc-Add-device-specific-bindings-for-RaspberryPi-RP1.patch
    - patches.suse/arm64-dts-rp1-Add-support-for-RaspberryPi-s-RP1-device.patch
    - patches.suse/arm64-dts-bcm2712-Add-external-clock-for-RP1-chipset-on-Rpi5.patch
    - patches.suse/arm64-dts-broadcom-Add-board-DTS-for-Rpi5-which-includes-RP1-node.patch
    - patches.suse/arm64-dts-broadcom-Add-overlay-for-RP1-device.patch
    - patches.suse/MAINTAINERS-add-Raspberry-Pi-RP1-section.patch
    - patches.suse/dt-bindings-clock-Add-RaspberryPi-RP1-clock-bindings.patch
    - patches.suse/clk-rp1-Add-support-for-clocks-provided-by-RP1.patch
    - patches.suse/pinctrl-rp1-Implement-RaspberryPi-RP1-gpio-support.patch
    - patches.suse/misc-rp1-RaspberryPi-RP1-misc-driver.patch
    - patches.suse/pinctrl-rp1-Implement-RaspberryPi-RP1-pinmux-pinconf-support.patch
  - commit 7de39ef
* Mon Aug 04 2025 msuchanek@suse.de
  - kernel-syms.spec: Drop old rpm release number hack (bsc#1247172).
  - commit b4fa2d1
* Mon Aug 04 2025 mkubecek@suse.cz
  - config: update arm config files
  - DRM_MSM_VALIDATE_XML=n (arm64 and armv7hl)
  - commit 254d580
* Fri Aug 01 2025 tiwai@suse.de
  - Update config files: CONFIG_IIO_SYSFS_TRIGGER=m for x86 and arm (bsc#1245167)
  - commit 4f3037c
* Fri Aug 01 2025 tiwai@suse.de
  - spi: cs42l43: Property entry should be a null-terminated array
    (bsc#1246979).
  - commit 98dd62a
* Wed Jul 30 2025 andrea.porta@suse.com
  - config: arm64: default: Added RP1 related CONFIG options
    RaspberryPi5 relies on RP1 southbridge to work. Enable new
    options to make it work (jsc#PED-7144):
  - CONFIG_MISC_RP1
  - CONFIG_PINCTRL_RP1
  - CONFIG_PCI_DYNAMIC_OF_NODES
  - CONFIG_COMMON_CLK_RP1
  - commit c1174ff
* Tue Jul 29 2025 andrea.porta@suse.com
  - clk: rp1: Implement remaining clock tree (jsc#PED-7144).
  - dt-bindings: clock: rp1: Add missing MIPI DSI defines
    (jsc#PED-7144).
  - pinctrl: rp1: Implement RaspberryPi RP1 pinmux/pinconf support
    (jsc#PED-7144).
  - MAINTAINERS: add Raspberry Pi RP1 section (jsc#PED-7144).
  - misc: rp1: RaspberryPi RP1 misc driver (jsc#PED-7144).
  - pinctrl: rp1: Implement RaspberryPi RP1 gpio support
    (jsc#PED-7144).
  - clk: rp1: Add support for clocks provided by RP1 (jsc#PED-7144).
  - dt-bindings: clock: Add RaspberryPi RP1 clock bindings
    (jsc#PED-7144).
  - arm64: dts: broadcom: Add overlay for RP1 device (jsc#PED-7144).
  - arm64: dts: broadcom: Add board DTS for Rpi5 which includes
    RP1 node (jsc#PED-7144).
  - arm64: dts: bcm2712: Add external clock for RP1 chipset on Rpi5
    (jsc#PED-7144).
  - arm64: dts: rp1: Add support for RaspberryPi's RP1 device
    (jsc#PED-7144).
  - dt-bindings: misc: Add device specific bindings for RaspberryPi
    RP1 (jsc#PED-7144).
  - dt-bindings: pinctrl: Add RaspberryPi RP1 gpio/pinctrl/pinmux
    bindings (jsc#PED-7144).
  - commit 2e6c9cf
* Mon Jul 28 2025 jslaby@suse.cz
  - Update to 6.16 final
    - refresh configs
  - commit 15477ca
* Mon Jul 28 2025 mkubecek@suse.cz
  - update to 6.16 final
  - refresh configs (headers only)
  - commit 05371c8
* Thu Jul 24 2025 tiwai@suse.de
  - rpm/kernel-subpackage-spec: Skip brp-strip-debug to avoid file truncation (bsc#1246879)
    Put the same workaround to avoid file truncation of vmlinux and co in
    kernel-default-base package, too.
  - commit 2329734
* Thu Jul 24 2025 jslaby@suse.cz
  - Refresh
    patches.suse/0001-net-introduce-OpenVPN-Data-Channel-Offload-ovpn.patch.
  - Refresh patches.suse/0002-ovpn-add-basic-netlink-support.patch.
  - Refresh
    patches.suse/0003-ovpn-add-basic-interface-creation-destruction-manage.patch.
  - Refresh
    patches.suse/0004-ovpn-keep-carrier-always-on-for-MP-interfaces.patch.
  - Refresh
    patches.suse/0005-ovpn-introduce-the-ovpn_peer-object.patch.
  - Refresh
    patches.suse/0006-ovpn-introduce-the-ovpn_socket-object.patch.
  - Refresh
    patches.suse/0007-ovpn-implement-basic-TX-path-UDP.patch.
  - Refresh
    patches.suse/0008-ovpn-implement-basic-RX-path-UDP.patch.
  - Refresh
    patches.suse/0009-ovpn-implement-packet-processing.patch.
  - Refresh
    patches.suse/0010-ovpn-store-tunnel-and-transport-statistics.patch.
  - Refresh patches.suse/0011-ovpn-implement-TCP-transport.patch.
  - Refresh
    patches.suse/0012-skb-implement-skb_send_sock_locked_with_flags.patch.
  - Refresh
    patches.suse/0013-ovpn-add-support-for-MSG_NOSIGNAL-in-tcp_sendmsg.patch.
  - Refresh
    patches.suse/0014-ovpn-implement-multi-peer-support.patch.
  - Refresh
    patches.suse/0015-ovpn-implement-peer-lookup-logic.patch.
  - Refresh
    patches.suse/0016-ovpn-implement-keepalive-mechanism.patch.
  - Refresh
    patches.suse/0017-ovpn-add-support-for-updating-local-or-remote-UDP-en.patch.
  - Refresh
    patches.suse/0018-ovpn-implement-peer-add-get-dump-delete-via-netlink.patch.
  - Refresh
    patches.suse/0019-ovpn-implement-key-add-get-del-swap-via-netlink.patch.
  - Refresh
    patches.suse/0020-ovpn-kill-key-and-notify-userspace-in-case-of-IV-exh.patch.
  - Refresh
    patches.suse/0021-ovpn-notify-userspace-when-a-peer-is-deleted.patch.
  - Refresh patches.suse/0022-ovpn-add-basic-ethtool-support.patch.
  - Refresh
    patches.suse/0023-testing-selftests-add-test-tool-and-scripts-for-ovpn.patch.
    Update upstream status and move to sorted section.
  - commit 12a71c4
* Thu Jul 24 2025 jslaby@suse.cz
  - Linux 6.15.8 (bsc#1012628).
  - KVM: x86/xen: Fix cleanup logic in emulation of Xen schedop
    poll hypercalls (bsc#1012628).
  - smb: client: let smbd_post_send_iter() respect the peers
    max_send_size and transmit all data (bsc#1012628).
  - drm/xe: Move page fault init after topology init (bsc#1012628).
  - drm/xe/mocs: Initialize MOCS index early (bsc#1012628).
  - sched/ext: Prevent update_locked_rq() calls with NULL rq
    (bsc#1012628).
  - sched,freezer: Remove unnecessary warning in __thaw_task
    (bsc#1012628).
  - cifs: Fix reading into an ITER_FOLIOQ from the smbdirect code
    (bsc#1012628).
  - cifs: Fix the smbd_response slab to allow usercopy
    (bsc#1012628).
  - smb: client: make use of common smbdirect_socket_parameters
    (bsc#1012628).
  - smb: smbdirect: introduce smbdirect_socket_parameters
    (bsc#1012628).
  - smb: client: make use of common smbdirect_socket (bsc#1012628).
  - smb: smbdirect: add smbdirect_socket.h (bsc#1012628).
  - smb: smbdirect: add smbdirect.h with public structures
    (bsc#1012628).
  - smb: client: make use of common smbdirect_pdu.h (bsc#1012628).
  - smb: smbdirect: add smbdirect_pdu.h with protocol definitions
    (bsc#1012628).
  - rust: use `#[used(compiler)]` to fix build and `modpost`
    with Rust >= 1.89.0 (bsc#1012628).
  - net: libwx: fix multicast packets received count (bsc#1012628).
  - usb: dwc3: qcom: Don't leave BCR asserted (bsc#1012628).
  - usb: hub: Don't try to recover devices lost during warm reset
    (bsc#1012628).
  - usb: hub: Fix flushing of delayed work used for post resume
    purposes (bsc#1012628).
  - usb: hub: Fix flushing and scheduling of delayed work that
    tunes runtime pm (bsc#1012628).
  - usb: hub: fix detection of high tier USB3 devices behind
    suspended hubs (bsc#1012628).
  - sched: Change nr_uninterruptible type to unsigned long
    (bsc#1012628).
  - efivarfs: Fix memory leak of efivarfs_fs_info in fs_context
    error paths (bsc#1012628).
  - libbpf: Fix handling of BPF arena relocations (bsc#1012628).
  - drm/mediatek: only announce AFBC if really supported
    (bsc#1012628).
  - drm/mediatek: Add wait_event_timeout when disabling plane
    (bsc#1012628).
  - Revert "cgroup_freezer: cgroup_freezing: Check if not frozen"
    (bsc#1012628).
  - rxrpc: Fix to use conn aborts for conn-wide failures
    (bsc#1012628).
  - rxrpc: Fix transmission of an abort in response to an abort
    (bsc#1012628).
  - rxrpc: Fix notification vs call-release vs recvmsg
    (bsc#1012628).
  - rxrpc: Fix recv-recv race of completed call (bsc#1012628).
  - rxrpc: Fix irq-disabled in local_bh_enable() (bsc#1012628).
  - net/sched: Return NULL when htb_lookup_leaf encounters an
    empty rbtree (bsc#1012628).
  - net: bridge: Do not offload IGMP/MLD messages (bsc#1012628).
  - net: vlan: fix VLAN 0 refcount imbalance of toggling filtering
    during runtime (bsc#1012628).
  - tls: always refresh the queue when reading sock (bsc#1012628).
  - virtio-net: fix recursived rtnl_lock() during probe()
    (bsc#1012628).
  - hv_netvsc: Set VF priv_flags to IFF_NO_ADDRCONF before open
    to prevent IPv6 addrconf (bsc#1012628).
  - Bluetooth: L2CAP: Fix attempting to adjust outgoing MTU
    (bsc#1012628).
  - drm/xe/pf: Resend PF provisioning after GT reset (bsc#1012628).
  - drm/xe/pf: Prepare to stop SR-IOV support prior GT reset
    (bsc#1012628).
  - drm/xe: Dont skip TLB invalidations on VF (bsc#1012628).
  - netfilter: nf_conntrack: fix crash due to removal of
    uninitialised entry (bsc#1012628).
  - net: fix segmentation after TCP/UDP fraglist GRO (bsc#1012628).
  - ipv6: mcast: Delay put pmc->idev in mld_del_delrec()
    (bsc#1012628).
  - net: airoha: fix potential use-after-free in airoha_npu_get()
    (bsc#1012628).
  - net/mlx5: Correctly set gso_size when LRO is used (bsc#1012628).
  - Bluetooth: btusb: QCA: Fix downloading wrong NVM for WCN6855
    GF variant without board ID (bsc#1012628).
  - Bluetooth: hci_dev: replace 'quirks' integer by 'quirk_flags'
    bitmap (bsc#1012628).
  - Bluetooth: hci_core: add missing braces when using macro
    parameters (bsc#1012628).
  - Bluetooth: hci_core: fix typos in macros (bsc#1012628).
  - Bluetooth: SMP: Fix using HCI_ERROR_REMOTE_USER_TERM on timeout
    (bsc#1012628).
  - Bluetooth: SMP: If an unallowed command is received consider
    it a failure (bsc#1012628).
  - Bluetooth: hci_sync: fix connectable extended advertising when
    using static random address (bsc#1012628).
  - Bluetooth: Fix null-ptr-deref in l2cap_sock_resume_cb()
    (bsc#1012628).
  - riscv: traps_misaligned: properly sign extend value in
    misaligned load handler (bsc#1012628).
  - riscv: Enable interrupt during exception handling (bsc#1012628).
  - loop: use kiocb helpers to fix lockdep warning (bsc#1012628).
  - usb: net: sierra: check for no status endpoint (bsc#1012628).
  - ice: check correct pointer in fwlog debugfs (bsc#1012628).
  - ice: add NULL check in eswitch lag check (bsc#1012628).
  - hwmon: (corsair-cpro) Validate the size of the received input
    buffer (bsc#1012628).
  - selftests: net: increase inter-packet timeout in udpgro.sh
    (bsc#1012628).
  - can: tcan4x5x: fix reset gpio usage during probe (bsc#1012628).
  - wifi: cfg80211: remove scan request n_channels counted_by
    (bsc#1012628).
  - nvmet-tcp: fix callback lock for TLS handshake (bsc#1012628).
  - nvme: fix misaccounting of nvme-mpath inflight I/O
    (bsc#1012628).
  - nvme: revert the cross-controller atomic write size validation
    (bsc#1012628).
  - net: phy: Don't register LEDs for genphy (bsc#1012628).
  - smc: Fix various oops due to inet_sock type confusion
    (bsc#1012628).
  - nvme: fix endianness of command word prints in
    nvme_log_err_passthru() (bsc#1012628).
  - nvme: fix inconsistent RCU list manipulation in
    nvme_ns_add_to_ctrl_list() (bsc#1012628).
  - fix a leak in fcntl_dirnotify() (bsc#1012628).
  - smb: client: fix use-after-free in cifs_oplock_break
    (bsc#1012628).
  - rpl: Fix use-after-free in rpl_do_srh_inline() (bsc#1012628).
  - net/sched: sch_qfq: Fix race condition on qfq_aggregate
    (bsc#1012628).
  - block: fix kobject leak in blk_unregister_queue (bsc#1012628).
  - net: emaclite: Fix missing pointer increment in aligned_read()
    (bsc#1012628).
  - ALSA: compress_offload: tighten ioctl command number checks
    (bsc#1012628).
  - cachefiles: Fix the incorrect return value in
    __cachefiles_write() (bsc#1012628).
  - wifi: iwlwifi: mask reserved bits in chan_state_active_bitmap
    (bsc#1012628).
  - selftests/sched_ext: Fix exit selftest hang on UP (bsc#1012628).
  - bpf: Reject %p% format string in bprintf-like helpers
    (bsc#1012628).
  - arm64: dts: imx95: Correct the DMA interrupter number of
    pcie0_ep (bsc#1012628).
  - arm64: dts: imx95-15x15-evk: fix the overshoot issue of NETC
    (bsc#1012628).
  - arm64: dts: imx95-19x19-evk: fix the overshoot issue of NETC
    (bsc#1012628).
  - soundwire: amd: fix for clearing command status register
    (bsc#1012628).
  - phy: use per-PHY lockdep keys (bsc#1012628).
  - soundwire: amd: fix for handling slave alerts after link is down
    (bsc#1012628).
  - arm64: dts: rockchip: Add cd-gpios for sdcard detect on Cool
    Pi 4B (bsc#1012628).
  - arm64: dts: rockchip: Add cd-gpios for sdcard detect on Cool
    Pi CM5 (bsc#1012628).
  - comedi: Fix initialization of data for instructions that write
    to subdevice (bsc#1012628).
  - comedi: Fix use of uninitialized data in insn_rw_emulate_bits()
    (bsc#1012628).
  - comedi: Fix some signed shift left operations (bsc#1012628).
  - comedi: Fail COMEDI_INSNLIST ioctl if n_insns is too large
    (bsc#1012628).
  - comedi: comedi_test: Fix possible deletion of uninitialized
    timers (bsc#1012628).
  - comedi: das6402: Fix bit shift out of bounds (bsc#1012628).
  - comedi: das16m1: Fix bit shift out of bounds (bsc#1012628).
  - comedi: aio_iiro_16: Fix bit shift out of bounds (bsc#1012628).
  - comedi: pcl812: Fix bit shift out of bounds (bsc#1012628).
  - iio: common: st_sensors: Fix use of uninitialize device structs
    (bsc#1012628).
  - iio: backend: fix out-of-bound write (bsc#1012628).
  - iio: adc: stm32-adc: Fix race in installing chained IRQ handler
    (bsc#1012628).
  - iio: adc: max1363: Reorder mode_list[] entries (bsc#1012628).
  - iio: adc: max1363: Fix MAX1363_4X_CHANS/MAX1363_8X_CHANS[]
    (bsc#1012628).
  - iio: adc: axp20x_adc: Add missing sentinel to AXP717 ADC
    channel maps (bsc#1012628).
  - iio: adc: adi-axi-adc: fix ad7606_bus_reg_read() (bsc#1012628).
  - iio: adc: ad7380: fix adi,gain-milli property parsing
    (bsc#1012628).
  - iio: accel: fxls8962af: Fix use after free in
    fxls8962af_fifo_flush (bsc#1012628).
  - xfs: don't allocate the xfs_extent_busy structure for zoned RTGs
    (bsc#1012628).
  - soundwire: Revert "soundwire: qcom: Add set_channel_map api
    support" (bsc#1012628).
  - soc: aspeed: lpc-snoop: Don't disable channels that aren't
    enabled (bsc#1012628).
  - soc: aspeed: lpc-snoop: Cleanup resources in stack-order
    (bsc#1012628).
  - smb: client: fix use-after-free in crypt_message when using
    async crypto (bsc#1012628).
  - s390/bpf: Fix bpf_arch_text_poke() with new_addr == NULL again
    (bsc#1012628).
  - pmdomain: governor: Consider CPU latency tolerance from
    pm_domain_cpu_gov (bsc#1012628).
  - net: libwx: properly reset Rx ring descriptor (bsc#1012628).
  - net: libwx: fix the using of Rx buffer DMA (bsc#1012628).
  - net: libwx: remove duplicate page_pool_put_full_page()
    (bsc#1012628).
  - net: stmmac: intel: populate entire system_counterval_t in
    get_time_fn() callback (bsc#1012628).
  - mmc: sdhci_am654: Workaround for Errata i2312 (bsc#1012628).
  - mmc: sdhci-pci: Quirk for broken command queuing on Intel
    GLK-based Positivo models (bsc#1012628).
  - mmc: bcm2835: Fix dma_unmap_sg() nents value (bsc#1012628).
  - memstick: core: Zero initialize id_reg in
    h_memstick_read_dev_id() (bsc#1012628).
  - isofs: Verify inode mode when loading from disk (bsc#1012628).
  - dmaengine: nbpfaxi: Fix memory corruption in probe()
    (bsc#1012628).
  - dmaengine: mediatek: Fix a flag reuse error in
    mtk_cqdma_tx_status() (bsc#1012628).
  - cpuidle: psci: Fix cpuhotplug routine with PREEMPT_RT=y
    (bsc#1012628).
  - Bluetooth: btintel: Check if controller is ISO capable on
    btintel_classify_pkt_type (bsc#1012628).
  - af_packet: fix soft lockup issue caused by tpacket_snd()
    (bsc#1012628).
  - af_packet: fix the SO_SNDTIMEO constraint not effective on
    tpacked_snd() (bsc#1012628).
  - arm64: dts: rockchip: use cs-gpios for spi1 on ringneck
    (bsc#1012628).
  - arm64: dts: rockchip: list all CPU supplies on ArmSoM Sige5
    (bsc#1012628).
  - arm64: dts: imx8mp-venice-gw73xx: fix TPM SPI frequency
    (bsc#1012628).
  - arm64: dts: imx8mp-venice-gw72xx: fix TPM SPI frequency
    (bsc#1012628).
  - arm64: dts: imx8mp-venice-gw71xx: fix TPM SPI frequency
    (bsc#1012628).
  - arm64: dts: freescale: imx8mm-verdin: Keep LDO5 always on
    (bsc#1012628).
  - arm64: dts: add big-endian property back into watchdog node
    (bsc#1012628).
  - arm64: dts: imx8mp-venice-gw74xx: fix TPM SPI frequency
    (bsc#1012628).
  - net/mlx5: Update the list of the PCI supported devices
    (bsc#1012628).
  - phonet/pep: Move call to pn_skb_get_dst_sockaddr() earlier in
    pep_sock_accept() (bsc#1012628).
  - mptcp: reset fallback status gracefully at disconnect() time
    (bsc#1012628).
  - mptcp: plug races between subflow fail and subflow creation
    (bsc#1012628).
  - mptcp: make fallback action and fallback decision atomic
    (bsc#1012628).
  - Fix SMB311 posix special file creation to servers which do
    not advertise reparse support (bsc#1012628).
  - io_uring/poll: fix POLLERR handling (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for ASUS ROG Strix G712LWS
    (bsc#1012628).
  - ALSA: hda/realtek - Fix mute LED for HP Victus 16-r0xxx
    (bsc#1012628).
  - netfs: Fix race between cache write completion and ALL_QUEUED
    being set (bsc#1012628).
  - netfs: Fix copy-to-cache so that it performs collection with
    ceph+fscache (bsc#1012628).
  - drm/amd/display: Free memory allocation (bsc#1012628).
  - drm/amd/display: Disable CRTC degamma LUT for DCN401
    (bsc#1012628).
  - drm/amdgpu: Increase reset counter only on success
    (bsc#1012628).
  - drm/panfrost: Fix scheduler workqueue bug (bsc#1012628).
  - drm/amdgpu/gfx8: reset compute ring wptr on the GPU on resume
    (bsc#1012628).
  - objtool/rust: add one more `noreturn` Rust function for Rust
    1.89.0 (bsc#1012628).
  - rust: init: Fix generics in *_init! macros (bsc#1012628).
  - tracing/osnoise: Fix crash in timerlat_dump_stack()
    (bsc#1012628).
  - tracing: Add down_write(trace_event_sem) when adding trace event
    (bsc#1012628).
  - tracing/probes: Avoid using params uninitialized in
    parse_btf_arg() (bsc#1012628).
  - HID: core: do not bypass hid_hw_raw_request (bsc#1012628).
  - HID: core: ensure __hid_request reserves the report ID as the
    first byte (bsc#1012628).
  - HID: core: ensure the allocated report buffer can contain the
    reserved report ID (bsc#1012628).
  - dm-bufio: fix sched in atomic context (bsc#1012628).
  - tools/hv: fcopy: Fix irregularities with size of ring buffer
    (bsc#1012628).
  - spi: Add check for 8-bit transfer with 8 IO mode support
    (bsc#1012628).
  - pch_uart: Fix dma_sync_sg_for_device() nents value
    (bsc#1012628).
  - Input: xpad - set correct controller type for Acer NGR200
    (bsc#1012628).
  - nvmem: layouts: u-boot-env: remove crc32 endianness conversion
    (bsc#1012628).
  - nvmem: imx-ocotp: fix MAC address byte length (bsc#1012628).
  - Revert "staging: vchiq_arm: Create keep-alive thread during
    probe" (bsc#1012628).
  - Revert "staging: vchiq_arm: Improve initial VCHIQ connect"
    (bsc#1012628).
  - thunderbolt: Fix bit masking in tb_dp_port_set_hops()
    (bsc#1012628).
  - thunderbolt: Fix wake on connect at runtime (bsc#1012628).
  - i2c: omap: Fix an error handling path in omap_i2c_probe()
    (bsc#1012628).
  - i2c: omap: Handle omap_i2c_init() errors in omap_i2c_probe()
    (bsc#1012628).
  - i2c: stm32f7: unmap DMA mapped buffer (bsc#1012628).
  - i2c: stm32: fix the device used for the DMA map (bsc#1012628).
  - usb: gadget: configfs: Fix OOB read on empty string write
    (bsc#1012628).
  - usb: dwc2: gadget: Fix enter to hibernation for UTMI+ PHY
    (bsc#1012628).
  - usb: musb: fix gadget state on disconnect (bsc#1012628).
  - USB: serial: ftdi_sio: add support for NDI EMGUIDE GEMINI
    (bsc#1012628).
  - USB: serial: option: add Foxconn T99W640 (bsc#1012628).
  - USB: serial: option: add Telit Cinterion FE910C04 (ECM)
    composition (bsc#1012628).
  - phy: tegra: xusb: Disable periodic tracking on Tegra234
    (bsc#1012628).
  - phy: tegra: xusb: Decouple CYA_TRK_CODE_UPDATE_ON_IDLE from
    trk_hw_mode (bsc#1012628).
  - phy: tegra: xusb: Fix unbalanced regulator disable in UTMI
    PHY mode (bsc#1012628).
  - commit e03d052
* Thu Jul 24 2025 jslaby@suse.cz
  - Refresh patches.suse/vfs-add-super_operations-get_inode_dev.
  - Delete
    patches.suse/fs-proc-Use-inode_get_dev-for-device-numbers-in-procm.patch.
    Squash the latter to the former. This is what's happenning in SL-16.0.
    And it makes sense that the former is complete and bisectable.
  - commit f5b85ff
* Wed Jul 23 2025 petr.pavlu@suse.com
  - rpm/kernel-binary.spec.in: Ignore return code from ksymtypes compare
    When using suse-kabi-tools, the RPM build invokes 'ksymvers compare' to
    compare the resulting symbol CRCs with the reference data. If the values
    differ, it then invokes 'ksymtypes compare' to provide a detailed report
    explaining why the symbols differ. The build expects the latter
    'ksymtypes compare' command to always return zero, even if the two
    compared kABI corpuses are different.
    This is currently the case for 'ksymtypes compare'. However, I plan to
    update the command to return a non-zero code when the comparison detects
    any differences. This should ensure consistent behavior with 'ksymvers
    compare'.
    Since the build uses 'ksymtypes compare' only for more detailed
    diagnostics, ignore its return code.
  - commit 5ac1381
* Tue Jul 22 2025 svarbanov@suse.de
  - config: update to 6.16-rc7 and re-enable armv6hl
    New config options inherited from armv7.
  - commit b2d464a
* Tue Jul 22 2025 svarbanov@suse.de
  - config: update to 6.16-rc7 and re-enable armv7hl
    New config options inherited from arm64.
  - commit fe01689
* Tue Jul 22 2025 svarbanov@suse.de
  - config: update to 6.16-rc7 and re-enable arm64
    New config options:
    +CONFIG_ARCH_HAS_PREEMPT_LAZY=y
    +CONFIG_FUTEX_MPOL=y
    +CONFIG_KEXEC_HANDOVER=y
    +CONFIG_CRASH_DM_CRYPT=y
    +CONFIG_CRASH_DM_CRYPT_CONFIGS=y
    +CONFIG_AMPERE_ERRATUM_AC04_CPU_23=y
    +CONFIG_ARCH_SUPPORTS_KEXEC_HANDOVER=y
    +CONFIG_ARM64_SME=y
    +CONFIG_MEMBLOCK_KHO_SCRATCH=y
    +CONFIG_PAGE_BLOCK_ORDER=10
    +CONFIG_NET_CRC32C=y
    +CONFIG_NET_SCH_BPF=y
    +CONFIG_RXGK=y
    +CONFIG_PCI_HOST_COMMON=y
    +CONFIG_HAVE_PWRCTRL=y
    +CONFIG_PCI_PWRCTRL=m
    +CONFIG_PCI_PWRCTRL_PWRSEQ=m
    +CONFIG_PCI_PWRCTRL_SLOT=m
    +CONFIG_CXL_EDAC_MEM_FEATURES=y
    +CONFIG_ARM_SCMI_QUIRKS=y
    +CONFIG_IMX_SCMI_CPU_EXT=m
    +CONFIG_IMX_SCMI_LMM_EXT=m
    +CONFIG_TURRIS_MOX_RWTM_KEYCTL=y
    +CONFIG_IMX_SCMI_CPU_DRV=m
    +CONFIG_IMX_SCMI_LMM_DRV=m
    +CONFIG_BLK_DEV_ZONED_LOOP=m
    +CONFIG_TI_FPC202=m
    +CONFIG_AMD_SBRMI_I2C=m
    +CONFIG_OVPN=m
    +CONFIG_NXP_NETC_LIB=m
    +CONFIG_NXP_NTMP=y
    +CONFIG_HINIC3=m
    +CONFIG_DWMAC_RENESAS_GBETH=m
    +CONFIG_AS21XXX_PHY=m
    +CONFIG_MAXLINEAR_86110_PHY=m
    +CONFIG_MEDIATEK_2P5GE_PHY=m
    +CONFIG_MTK_NET_PHYLIB=m
    +CONFIG_I2C_DESIGNWARE_AMDISP=m
    +CONFIG_SPMI_APPLE=m
    +CONFIG_PINCTRL_MT6893=y
    +CONFIG_PINCTRL_MT8196=y
    +CONFIG_GPIO_BLZP1600=m
    +CONFIG_GPIO_MAX77759=m
    +CONFIG_POWER_RESET_TORADEX_EC=m
    +CONFIG_BATTERY_CHAGALL=m
    +CONFIG_BATTERY_HUAWEI_GAOKUN=m
    +CONFIG_CHARGER_MAX8971=m
    +CONFIG_SENSORS_KBATT=m
    +CONFIG_SENSORS_KFAN=m
    +CONFIG_SENSORS_LT3074=m
    +CONFIG_SENSORS_LT3074_REGULATOR=m
    +CONFIG_AIROHA_THERMAL=m
    +CONFIG_S32G_WDT=m
    +CONFIG_MFD_MAX77759=m
    +CONFIG_MFD_SEC_CORE=m
    +CONFIG_MFD_SEC_I2C=m
    +CONFIG_REGULATOR_ADP5055=m
    +CONFIG_REGULATOR_S2MPA01=m
    +CONFIG_REGULATOR_S2MPS11=m
    +CONFIG_REGULATOR_S5M8767=m
    +CONFIG_VIDEO_C3_ISP=m
    +CONFIG_VIDEO_C3_MIPI_ADAPTER=m
    +CONFIG_VIDEO_C3_MIPI_CSI2=m
    +CONFIG_VIDEO_OV02E10=m
    +CONFIG_VIDEO_OV02C10=m
    +CONFIG_VIDEO_VD55G1=m
    +CONFIG_VIDEO_VD56G3=m
    +CONFIG_DRM_SYSFB_HELPER=y
    +CONFIG_DRM_SIMPLEDRM=y
    +CONFIG_DRM_XE_GPUSVM=y
    +CONFIG_DRM_RZG2L_USE_MIPI_DSI=y
    +CONFIG_DRM_RZG2L_MIPI_DSI=m
    +CONFIG_DRM_PANEL_BOE_TD4320=m
    +CONFIG_DRM_PANEL_HIMAX_HX8279=m
    +CONFIG_DRM_PANEL_NOVATEK_NT37801=m
    +CONFIG_DRM_PANEL_VISIONOX_G2647FB105=m
    +CONFIG_DRM_ST7571_I2C=m
    +CONFIG_DRM_ST7586=m
    +CONFIG_DRM_ST7735R=m
    +CONFIG_SND_HDA_ACPI=m
    +CONFIG_SND_HDA_SCODEC_TAS2781=m
    +CONFIG_SND_USB_AUDIO_QMI=m
    +CONFIG_SND_SOC_USB=m
    +CONFIG_SND_SOC_QCOM_OFFLOAD_UTILS=m
    +CONFIG_SND_SOC_QDSP6_USB=m
    +CONFIG_SND_SOC_MSIOF=m
    +CONFIG_SND_SOC_ROCKCHIP_SAI=m
    +CONFIG_SND_SOC_CS48L32=m
    +CONFIG_SND_SOC_ES8375=m
    +CONFIG_SND_SOC_ES8389=m
    +CONFIG_SND_SOC_RT9123=m
    +CONFIG_SND_SOC_RT9123P=m
    +CONFIG_SND_SOC_TAS2781_COMLIB_I2C=m
    +CONFIG_USB_XHCI_SIDEBAND=y
    +CONFIG_UCSI_HUAWEI_GAOKUN=m
    +CONFIG_LEDS_TPS6131X=m
    +CONFIG_RTC_DRV_S5M=m
    +CONFIG_RTC_DRV_S32G=m
    +CONFIG_ARM_DMA350=m
    +CONFIG_TSM_GUEST=y
    +CONFIG_TSM_REPORTS=m
    +CONFIG_VIRTIO_RTC=m
    +CONFIG_VIRTIO_RTC_PTP=y
    +CONFIG_VIRTIO_RTC_ARM=y
    +CONFIG_VIRTIO_RTC_CLASS=y
    +CONFIG_CROS_EC_PROTO=m
    +CONFIG_TURRIS_SIGNING_KEY=m
    +CONFIG_MLXREG_DPU=m
    +CONFIG_COMMON_CLK_S2MPS11=m
    +CONFIG_CLK_R9A09G056=y
    +CONFIG_NXP_STM_TIMER=y
    +CONFIG_ROCKCHIP_IOMMU=y
    +CONFIG_SUN50I_IOMMU=y
    +CONFIG_TEGRA_IOMMU_SMMU=y
    +CONFIG_EXYNOS_IOMMU=y
    +CONFIG_APPLE_DART=m
    +CONFIG_MTK_IOMMU=y
    +CONFIG_ARCH_R9A09G056=y
    +CONFIG_SYS_R9A09G056=y
    +CONFIG_SUN50I_H6_PRCM_PPU=m
    +CONFIG_STM32_OMM=m
    +CONFIG_IIO_ADC_HELPER=m
    +CONFIG_ROHM_BD79124=m
    +CONFIG_TI_ADC12138=m
    +CONFIG_TI_ADS124S08=m
    +CONFIG_TI_ADS1298=m
    +CONFIG_TI_ADS131E08=m
    +CONFIG_MHZ19B=m
    +CONFIG_SEN0322=m
    +CONFIG_AD3530R=m
    +CONFIG_PWM_BCM_IPROC=m
    +CONFIG_PWM_MC33XS2410=m
    +CONFIG_PWM_MEDIATEK=m
    +CONFIG_PWM_RENESAS_RCAR=m
    +CONFIG_PWM_RENESAS_RZG2L_GPT=m
    +CONFIG_RESET_RZV2H_USB2PHY=m
    +CONFIG_PHY_SNPS_EUSB2=m
    +CONFIG_NVMEM_APPLE_SPMI=m
    +CONFIG_NVMEM_MAX77759=m
    +CONFIG_EROFS_FS_ZIP_ACCEL=y
    +CONFIG_CRYPTO_ARCH_HAVE_LIB_SHA256=y
    +CONFIG_CRYPTO_ARCH_HAVE_LIB_SHA256_SIMD=y
    +CONFIG_CRYPTO_LIB_SHA256_GENERIC=y
    +CONFIG_CRYPTO_LIB_SM3=m
    +CONFIG_CRYPTO_CHACHA20_NEON=y
    +CONFIG_CRYPTO_POLY1305_NEON=y
    +CONFIG_CRYPTO_SHA256_ARM64=y
  - commit 121a2e3
* Mon Jul 21 2025 pfalcato@suse.de
  - Update to 6.16-rc7
    - refresh configs
  - commit 5cd4cec
* Fri Jul 18 2025 jslaby@suse.cz
  - fs/proc: Use inode_get_dev() for device numbers in procmap_query
    (bsc#1246332).
  - commit 3e63d43
* Fri Jul 18 2025 jslaby@suse.cz
  - Linux 6.15.7 (bsc#1012628).
  - eventpoll: don't decrement ep refcount while still holding
    the ep mutex (bsc#1012628).
  - drm/exynos: exynos7_drm_decon: add vblank check in IRQ handling
    (bsc#1012628).
  - ASoC: fsl_asrc: use internal measured ratio for non-ideal
    ratio mode (bsc#1012628).
  - ASoC: Intel: SND_SOC_INTEL_SOF_BOARD_HELPERS select
    SND_SOC_ACPI_INTEL_MATCH (bsc#1012628).
  - ASoC: soc-acpi: add get_function_tplg_files ops (bsc#1012628).
  - ASoC: Intel: add sof_sdw_get_tplg_files ops (bsc#1012628).
  - ASoC: Intel: soc-acpi-intel-arl-match: set
    get_function_tplg_files ops (bsc#1012628).
  - ASoC: Intel: soc-acpi: arl: Correct order of cs42l43 matches
    (bsc#1012628).
  - perf/core: Fix the WARN_ON_ONCE is out of lock protected region
    (bsc#1012628).
  - EDAC: Initialize EDAC features sysfs attributes (bsc#1012628).
  - irqchip/irq-msi-lib: Select CONFIG_GENERIC_MSI_IRQ
    (bsc#1012628).
  - sched/core: Fix migrate_swap() vs. hotplug (bsc#1012628).
  - objtool: Add missing endian conversion to read_annotate()
    (bsc#1012628).
  - perf: Revert to requiring CAP_SYS_ADMIN for uprobes
    (bsc#1012628).
  - ASoC: cs35l56: probe() should fail if the device ID is not
    recognized (bsc#1012628).
  - Bluetooth: hci_sync: Fix not disabling advertising instance
    (bsc#1012628).
  - Bluetooth: hci_core: Remove check of BDADDR_ANY in
    hci_conn_hash_lookup_big_state (bsc#1012628).
  - Bluetooth: hci_sync: Fix attempting to send HCI_Disconnect to
    BIS handle (bsc#1012628).
  - Bluetooth: hci_event: Fix not marking Broadcast Sink BIS as
    connected (bsc#1012628).
  - pinctrl: amd: Clear GPIO debounce for suspend (bsc#1012628).
  - fix proc_sys_compare() handling of in-lookup dentries
    (bsc#1012628).
  - sched/deadline: Fix dl_server runtime calculation formula
    (bsc#1012628).
  - bnxt_en: eliminate the compile warning in bnxt_request_irq
    due to CONFIG_RFS_ACCEL (bsc#1012628).
  - arm64: poe: Handle spurious Overlay faults (bsc#1012628).
  - arm64/mm: Drop wrong writes into TCR2_EL1 (bsc#1012628).
  - net: phy: qcom: move the WoL function to shared library
    (bsc#1012628).
  - net: phy: qcom: qca808x: Fix WoL issue by utilizing
    at8031_set_wol() (bsc#1012628).
  - netlink: Fix wraparounds of sk->sk_rmem_alloc (bsc#1012628).
  - vsock: fix `vsock_proto` declaration (bsc#1012628).
  - tipc: Fix use-after-free in tipc_conn_close() (bsc#1012628).
  - tcp: Correct signedness in skb remaining space calculation
    (bsc#1012628).
  - vsock: Fix transport_{g2h,h2g} TOCTOU (bsc#1012628).
  - vsock: Fix transport_* TOCTOU (bsc#1012628).
  - vsock: Fix IOCTL_VM_SOCKETS_GET_LOCAL_CID to check also
    `transport_local` (bsc#1012628).
  - net: airoha: Fix an error handling path in airoha_probe()
    (bsc#1012628).
  - module: Fix memory deallocation on error path in move_module()
    (bsc#1012628).
  - net: stmmac: Fix interrupt handling for level-triggered mode
    in DWC_XGMAC2 (bsc#1012628).
  - net: phy: smsc: Fix Auto-MDIX configuration when disabled by
    strap (bsc#1012628).
  - net: phy: smsc: Force predictable MDI-X state on LAN87xx
    (bsc#1012628).
  - net: phy: smsc: Fix link failure in forced mode with Auto-MDIX
    (bsc#1012628).
  - atm: clip: Fix potential null-ptr-deref in to_atmarpd()
    (bsc#1012628).
  - atm: clip: Fix memory leak of struct clip_vcc (bsc#1012628).
  - atm: clip: Fix infinite recursive call of clip_push()
    (bsc#1012628).
  - atm: clip: Fix NULL pointer dereference in vcc_sendmsg()
    (bsc#1012628).
  - net: ethernet: ti: am65-cpsw-nuss: Fix skb size by accounting
    for skb_shared_info (bsc#1012628).
  - net/sched: Abort __tc_modify_qdisc if parent class does not
    exist (bsc#1012628).
  - rxrpc: Fix bug due to prealloc collision (bsc#1012628).
  - crypto: s390/sha - Fix uninitialized variable in SHA-1 and SHA-2
    (bsc#1012628).
  - rxrpc: Fix oops due to non-existence of prealloc backlog struct
    (bsc#1012628).
  - ipmi:msghandler: Fix potential memory corruption in
    ipmi_create_user() (bsc#1012628).
  - x86/mce/amd: Add default names for MCA banks and blocks
    (bsc#1012628).
  - x86/mce/amd: Fix threshold limit reset (bsc#1012628).
  - x86/mce: Don't remove sysfs if thresholding sysfs init fails
    (bsc#1012628).
  - x86/mce: Ensure user polling settings are honored when
    restarting timer (bsc#1012628).
  - x86/mce: Make sure CMCI banks are cleared during shutdown on
    Intel (bsc#1012628).
  - KVM: x86/xen: Allow 'out of range' event channel ports in IRQ
    routing table (bsc#1012628).
  - KVM: x86/hyper-v: Skip non-canonical addresses during PV TLB
    flush (bsc#1012628).
  - KVM: SVM: Add missing member in SNP_LAUNCH_START command
    structure (bsc#1012628).
  - KVM: SVM: Reject SEV{-ES} intra host migration if vCPU creation
    is in-flight (bsc#1012628).
  - KVM: Allow CPU to reschedule while setting per-page memory
    attributes (bsc#1012628).
  - sched: Fix preemption string of preempt_dynamic_none
    (bsc#1012628).
  - ALSA: ad1816a: Fix potential NULL pointer deref in
    snd_card_ad1816a_pnp() (bsc#1012628).
  - ALSA: hda/realtek - Add mute LED support for HP Victus 15-fb2xxx
    (bsc#1012628).
  - arm64: Filter out SME hwcaps when FEAT_SME isn't implemented
    (bsc#1012628).
  - ASoC: fsl_sai: Force a software reset when starting in consumer
    mode (bsc#1012628).
  - io_uring/msg_ring: ensure io_kiocb freeing is deferred for RCU
    (bsc#1012628).
  - gre: Fix IPv6 multicast route creation (bsc#1012628).
  - net: ethernet: rtsn: Fix a null pointer dereference in
    rtsn_probe() (bsc#1012628).
  - gpiolib: fix performance regression when using
    gpio_chip_get_multiple() (bsc#1012628).
  - md/md-bitmap: fix GPF in bitmap_get_stats() (bsc#1012628).
  - pinctrl: nuvoton: Fix boot on ma35dx platforms (bsc#1012628).
  - pinctrl: qcom: msm: mark certain pins as invalid for interrupts
    (bsc#1012628).
  - pwm: Fix invalid state detection (bsc#1012628).
  - pwm: mediatek: Ensure to disable clocks in error path
    (bsc#1012628).
  - wifi: prevent A-MSDU attacks in mesh networks (bsc#1012628).
  - wifi: mwifiex: discard erroneous disassoc frames on STA
    interface (bsc#1012628).
  - wifi: mt76: mt7921: prevent decap offload config before STA
    initialization (bsc#1012628).
  - wifi: mt76: mt7925: prevent NULL pointer dereference in
    mt7925_sta_set_decap_offload() (bsc#1012628).
  - wifi: mt76: mt7925: fix the wrong config for tx interrupt
    (bsc#1012628).
  - wifi: mt76: mt7925: fix invalid array index in ssid assignment
    during hw scan (bsc#1012628).
  - drm/imagination: Fix kernel crash when hard resetting the GPU
    (bsc#1012628).
  - drm/amdkfd: Don't call mmput from MMU notifier callback
    (bsc#1012628).
  - drm/amdgpu: Include sdma_4_4_4.bin (bsc#1012628).
  - drm/amdkfd: add hqd_sdma_get_doorbell callbacks for gfx7/8
    (bsc#1012628).
  - drm/gem: Acquire references on GEM handles for framebuffers
    (bsc#1012628).
  - drm/sched: Increment job count before swapping tail spsc queue
    (bsc#1012628).
  - drm/ttm: fix error handling in ttm_buffer_object_transfer
    (bsc#1012628).
  - drm/gem: Fix race in drm_gem_handle_create_tail() (bsc#1012628).
  - drm/xe/bmg: fix compressed VRAM handling (bsc#1012628).
  - Revert "drm/xe/xe2: Enable Indirect Ring State support for Xe2"
    (bsc#1012628).
  - drm/nouveau: Do not fail module init on debugfs errors
    (bsc#1012628).
  - usb: gadget: u_serial: Fix race condition in TTY wakeup
    (bsc#1012628).
  - Revert "usb: gadget: u_serial: Add null pointer check in
    gs_start_io" (bsc#1012628).
  - drm/framebuffer: Acquire internal references on GEM handles
    (bsc#1012628).
  - drm/xe: Allocate PF queue size on pow2 boundary (bsc#1012628).
  - Revert "PCI/ACPI: Fix allocated memory release on error in
    pci_acpi_scan_root()" (bsc#1012628).
  - kallsyms: fix build without execinfo (bsc#1012628).
  - kasan: remove kasan_find_vm_area() to prevent possible deadlock
    (bsc#1012628).
  - maple_tree: fix mt_destroy_walk() on root leaf node
    (bsc#1012628).
  - mm: fix the inaccurate memory statistics issue for users
    (bsc#1012628).
  - scripts/gdb: fix interrupts display after MCP on x86
    (bsc#1012628).
  - scripts/gdb: de-reference per-CPU MCE interrupts (bsc#1012628).
  - scripts/gdb: fix interrupts.py after maple tree conversion
    (bsc#1012628).
  - scripts: gdb: vfs: support external dentry names (bsc#1012628).
  - mm/vmalloc: leave lazy MMU mode on PTE mapping error
    (bsc#1012628).
  - mm/rmap: fix potential out-of-bounds page table access during
    batched unmap (bsc#1012628).
  - mm/damon/core: handle damon_call_control as normal under kdmond
    deactivation (bsc#1012628).
  - mm/damon: fix divide by zero in damon_get_intervals_score()
    (bsc#1012628).
  - samples/damon: fix damon sample prcl for start failure
    (bsc#1012628).
  - samples/damon: fix damon sample wsse for start failure
    (bsc#1012628).
  - lib/alloc_tag: do not acquire non-existent lock in
    alloc_tag_top_users() (bsc#1012628).
  - clk: imx: Fix an out-of-bounds access in
    dispmix_csr_clk_dev_data (bsc#1012628).
  - x86/rdrand: Disable RDSEED on AMD Cyan Skillfish (bsc#1012628).
  - x86/mm: Disable hugetlb page table sharing on 32-bit
    (bsc#1012628).
  - x86/CPU/AMD: Disable INVLPGB on Zen2 (bsc#1012628).
  - dt-bindings: clock: mediatek: Add #reset-cells property for
    MT8188 (bsc#1012628).
  - clk: scmi: Handle case where child clocks are initialized
    before their parents (bsc#1012628).
  - smb: server: make use of rdma_destroy_qp() (bsc#1012628).
  - ksmbd: fix a mount write count leak in
    ksmbd_vfs_kern_path_locked() (bsc#1012628).
  - erofs: fix to add missing tracepoint in erofs_read_folio()
    (bsc#1012628).
  - erofs: address D-cache aliasing (bsc#1012628).
  - erofs: fix large fragment handling (bsc#1012628).
  - ASoC: Intel: sof-function-topology-lib: Print out the
    unsupported dmic count (bsc#1012628).
  - netlink: Fix rmem check in netlink_broadcast_deliver()
    (bsc#1012628).
  - netlink: make sure we allow at least one dump skb (bsc#1012628).
  - wifi: cfg80211: fix S1G beacon head validation in nl80211
    (bsc#1012628).
  - wifi: zd1211rw: Fix potential NULL pointer dereference in
    zd_mac_tx_to_dev() (bsc#1012628).
  - drm/tegra: nvdec: Fix dma_alloc_coherent error check
    (bsc#1012628).
  - md/raid1: Fix stack memory use after return in raid1_reshape
    (bsc#1012628).
  - raid10: cleanup memleak at raid10_make_request (bsc#1012628).
  - md/raid1,raid10: strip REQ_NOWAIT from member bios
    (bsc#1012628).
  - wifi: mac80211: correctly identify S1G short beacon
    (bsc#1012628).
  - wifi: mac80211: fix non-transmitted BSSID profile search
    (bsc#1012628).
  - wifi: mac80211: reject VHT opmode for unsupported channel widths
    (bsc#1012628).
  - wifi: rt2x00: fix remove callback type mismatch (bsc#1012628).
  - io_uring/zcrx: fix pp destruction warnings (bsc#1012628).
  - drm/nouveau/gsp: fix potential leak of memory used during acpi
    init (bsc#1012628).
  - wifi: mt76: Assume __mt76_connac_mcu_alloc_sta_req runs in
    atomic context (bsc#1012628).
  - wifi: mt76: Move RCU section in mt7996_mcu_set_fixed_field()
    (bsc#1012628).
  - wifi: mt76: Move RCU section in mt7996_mcu_add_rate_ctrl_fixed()
    (bsc#1012628).
  - wifi: mt76: Move RCU section in mt7996_mcu_add_rate_ctrl()
    (bsc#1012628).
  - wifi: mt76: Remove RCU section in mt7996_mac_sta_rc_work()
    (bsc#1012628).
  - wifi: mt76: mt7925: Fix null-ptr-deref in mt7925_thermal_init()
    (bsc#1012628).
  - nbd: fix uaf in nbd_genl_connect() error path (bsc#1012628).
  - block: reject bs > ps block devices when THP is disabled
    (bsc#1012628).
  - drm/xe/pf: Clear all LMTT pages on alloc (bsc#1012628).
  - erofs: refine readahead tracepoint (bsc#1012628).
  - erofs: fix to add missing tracepoint in erofs_readahead()
    (bsc#1012628).
  - wifi: mac80211: add the virtual monitor after reconfig complete
    (bsc#1012628).
  - netfilter: flowtable: account for Ethernet header in
    nf_flow_pppoe_proto() (bsc#1012628).
  - net: appletalk: Fix device refcount leak in atrtr_create()
    (bsc#1012628).
  - ibmvnic: Fix hardcoded NUM_RX_STATS/NUM_TX_STATS with dynamic
    sizeof (bsc#1012628).
  - net: phy: microchip: Use genphy_soft_reset() to purge stale
    LPA bits (bsc#1012628).
  - net: phy: microchip: limit 100M workaround to link-down events
    on LAN88xx (bsc#1012628).
  - selftests: net: lib: fix shift count out of range (bsc#1012628).
  - drm/xe/pm: Restore display pm if there is error after display
    suspend (bsc#1012628).
  - drm/xe/pm: Correct comment of xe_pm_set_vram_threshold()
    (bsc#1012628).
  - can: m_can: m_can_handle_lost_msg(): downgrade msg lost in rx
    message to debug level (bsc#1012628).
  - net/mlx5: Reset bw_share field when changing a node's parent
    (bsc#1012628).
  - net/mlx5e: Fix race between DIM disable and net_dim()
    (bsc#1012628).
  - net/mlx5e: Add new prio for promiscuous mode (bsc#1012628).
  - net: ll_temac: Fix missing tx_pending check in
    ethtools_set_ringparam() (bsc#1012628).
  - bnxt_en: Fix DCB ETS validation (bsc#1012628).
  - bnxt_en: Flush FW trace before copying to the coredump
    (bsc#1012628).
  - bnxt_en: Set DMA unmap len correctly for XDP_REDIRECT
    (bsc#1012628).
  - ublk: sanity check add_dev input for underflow (bsc#1012628).
  - atm: idt77252: Add missing `dma_map_error()` (bsc#1012628).
  - um: vector: Reduce stack usage in vector_eth_configure()
    (bsc#1012628).
  - ASoC: SOF: Intel: hda: Use devm_kstrdup() to avoid memleak
    (bsc#1012628).
  - ASoC: rt721-sdca: fix boost gain calculation error
    (bsc#1012628).
  - ALSA: hda/realtek: Add mic-mute LED setup for ASUS UM5606
    (bsc#1012628).
  - ALSA: hda/realtek: fix mute/micmute LEDs for HP EliteBook 6 G1a
    (bsc#1012628).
  - io_uring: make fallocate be hashed work (bsc#1012628).
  - ASoC: amd: yc: add quirk for Acer Nitro ANV15-41 internal mic
    (bsc#1012628).
  - ALSA: hda/realtek - Enable mute LED on HP Pavilion Laptop
    15-eg100 (bsc#1012628).
  - ALSA: hda/realtek: Add quirks for some Clevo laptops
    (bsc#1012628).
  - net: usb: qmi_wwan: add SIMCom 8230C composition (bsc#1012628).
  - driver: bluetooth: hci_qca:fix unable to load the BT driver
    (bsc#1012628).
  - HID: lenovo: Add support for ThinkPad X1 Tablet Thin Keyboard
    Gen2 (bsc#1012628).
  - net: mana: Record doorbell physical address in PF mode
    (bsc#1012628).
  - btrfs: fix assertion when building free space tree
    (bsc#1012628).
  - vt: add missing notification when switching back to text mode
    (bsc#1012628).
  - bpf: Adjust free target to avoid global starvation of LRU map
    (bsc#1012628).
  - riscv: vdso: Exclude .rodata from the PT_DYNAMIC segment
    (bsc#1012628).
  - HID: Add IGNORE quirk for SMARTLINKTECHNOLOGY (bsc#1012628).
  - HID: quirks: Add quirk for 2 Chicony Electronics HP 5MP Cameras
    (bsc#1012628).
  - HID: nintendo: avoid bluetooth suspend/resume stalls
    (bsc#1012628).
  - selftests/bpf: adapt one more case in test_lru_map to the new
    target_free (bsc#1012628).
  - net: wangxun: revert the adjustment of the IRQ vector sequence
    (bsc#1012628).
  - x86/sev: Use TSC_FACTOR for Secure TSC frequency calculation
    (bsc#1012628).
  - ksmbd: fix potential use-after-free in oplock/lease break ack
    (bsc#1012628).
  - Update config files.
  - Rename to
    patches.kernel.org/6.15.7-096-Revert-ACPI-battery-negate-current-when-discha.patch.
  - commit b69aadb
* Mon Jul 14 2025 mfranc@suse.cz
  - s390x config: set CONFIG_PCI_NR_FUNCTIONS to 512 (bsc#1246476)
  - commit 6156651
* Mon Jul 14 2025 pfalcato@suse.de
  - Update to 6.16-rc6
    - Update config files
    - CONFIG_MITIGATION_TSA=y for x86
  - commit 3743c47
* Sun Jul 13 2025 tiwai@suse.de
  - Update config files: enable cros-ec IIO modules for x86 too (bsc#1246308)
  - commit e391104
* Fri Jul 11 2025 jslaby@suse.cz
  - Linux 6.15.6 (bsc#1012628).
  - rtc: pcf2127: add missing semicolon after statement
    (bsc#1012628).
  - rtc: pcf2127: fix SPI command byte for PCF2131 (bsc#1012628).
  - rtc: cmos: use spin_lock_irqsave in cmos_interrupt
    (bsc#1012628).
  - virtio-net: xsk: rx: fix the frame's length check (bsc#1012628).
  - virtio-net: ensure the received length does not exceed allocated
    size (bsc#1012628).
  - s390/pci: Fix stale function handles in error handling
    (bsc#1012628).
  - s390/pci: Do not try re-enabling load/store if device is
    disabled (bsc#1012628).
  - dt-bindings: net: sophgo,sg2044-dwmac: Drop status from the
    example (bsc#1012628).
  - net: txgbe: request MISC IRQ in ndo_open (bsc#1012628).
  - vsock/vmci: Clear the vmci transport packet properly when
    initializing it (bsc#1012628).
  - iommufd/selftest: Add missing close(mfd) in memfd_mmap()
    (bsc#1012628).
  - iommufd/selftest: Add asserts testing global mfd (bsc#1012628).
  - net: libwx: fix the incorrect display of the queue number
    (bsc#1012628).
  - mmc: sdhci: Add a helper function for dump register in dynamic
    debug mode (bsc#1012628).
  - xfs: actually use the xfs_growfs_check_rtgeom tracepoint
    (bsc#1012628).
  - Revert "mmc: sdhci: Disable SD card clock before changing
    parameters" (bsc#1012628).
  - mmc: sdhci-uhs2: Adjust some error messages and register dump
    for SD UHS-II card (bsc#1012628).
  - mmc: core: sd: Apply BROKEN_SD_DISCARD quirk earlier
    (bsc#1012628).
  - mmc: core: Adjust some error messages for SD UHS-II cards
    (bsc#1012628).
  - Bluetooth: HCI: Set extended advertising data synchronously
    (bsc#1012628).
  - Bluetooth: hci_sync: revert some mesh modifications
    (bsc#1012628).
  - Bluetooth: MGMT: set_mesh: update LE scan interval and window
    (bsc#1012628).
  - Bluetooth: MGMT: mesh_send: check instances prior disabling
    advertising (bsc#1012628).
  - iommufd/selftest: Fix iommufd_dirty_tracking with large hugepage
    sizes (bsc#1012628).
  - regulator: gpio: Fix the out-of-bounds access to drvdata::gpiods
    (bsc#1012628).
  - Input: cs40l50-vibra - fix potential NULL dereference in
    cs40l50_upload_owt() (bsc#1012628).
  - anon_inode: rework assertions (bsc#1012628).
  - usb: typec: altmodes/displayport: do not index invalid
    pin_assignments (bsc#1012628).
  - mtk-sd: Fix a pagefault in dma_unmap_sg() for not prepared data
    (bsc#1012628).
  - mtk-sd: Prevent memory corruption from DMA map failure
    (bsc#1012628).
  - mtk-sd: reset host->mrq on prepare_data() error (bsc#1012628).
  - drm/v3d: Disable interrupts before resetting the GPU
    (bsc#1012628).
  - firmware: arm_ffa: Fix memory leak by freeing notifier callback
    node (bsc#1012628).
  - firmware: arm_ffa: Move memory allocation outside the mutex
    locking (bsc#1012628).
  - firmware: arm_ffa: Replace mutex with rwlock to avoid sleep
    in atomic context (bsc#1012628).
  - firmware: arm_ffa: Fix the missing entry in struct
    ffa_indirect_msg_hdr (bsc#1012628).
  - firmware: exynos-acpm: fix timeouts on xfers handling
    (bsc#1012628).
  - RDMA/mlx5: reduce stack usage in mlx5_ib_ufile_hw_cleanup
    (bsc#1012628).
  - arm64: dts: apple: t8103: Fix PCIe BCM4377 nodename
    (bsc#1012628).
  - arm64: dts: apple: Drop {address,size}-cells from SPI NOR
    (bsc#1012628).
  - arm64: dts: apple: Move touchbar mipi {address,size}-cells
    from dtsi to dts (bsc#1012628).
  - platform/mellanox: mlxbf-tmfifo: fix vring_desc.len assignment
    (bsc#1012628).
  - RDMA/mlx5: Fix unsafe xarray access in implicit ODP handling
    (bsc#1012628).
  - RDMA/mlx5: Initialize obj_event->obj_sub_list before xa_insert
    (bsc#1012628).
  - module: Provide EXPORT_SYMBOL_GPL_FOR_MODULES() helper
    (bsc#1012628).
  - fs: export anon_inode_make_secure_inode() and fix secretmem
    LSM bypass (bsc#1012628).
  - nfs: Clean up /proc/net/rpc/nfs when nfs_fs_proc_net_init()
    fails (bsc#1012628).
  - NFSv4/pNFS: Fix a race to wake on NFS_LAYOUT_DRAIN
    (bsc#1012628).
  - scsi: qla2xxx: Fix DMA mapping test in
    qla24xx_get_port_database() (bsc#1012628).
  - scsi: qla4xxx: Fix missing DMA mapping error in
    qla4xxx_alloc_pdu() (bsc#1012628).
  - scsi: sd: Fix VPD page 0xb7 length check (bsc#1012628).
  - scsi: core: Enforce unlimited max_segment_size when
    virt_boundary_mask is set (bsc#1012628).
  - scsi: ufs: core: Fix spelling of a sysfs attribute name
    (bsc#1012628).
  - IB/mlx5: Fix potential deadlock in MR deregistration
    (bsc#1012628).
  - RDMA/mlx5: Fix HW counters query for non-representor devices
    (bsc#1012628).
  - RDMA/mlx5: Fix CC counters query for MPV (bsc#1012628).
  - RDMA/mlx5: Fix vport loopback for MPV device (bsc#1012628).
  - platform/mellanox: mlxbf-pmc: Fix duplicate event ID for
    CACHE_DATA1 (bsc#1012628).
  - platform/mellanox: nvsw-sn2201: Fix bus number in adapter
    error message (bsc#1012628).
  - platform/x86: wmi: Fix WMI event enablement (bsc#1012628).
  - flexfiles/pNFS: update stats on NFS4ERR_DELAY for v4.1 DSes
    (bsc#1012628).
  - NFSv4/flexfiles: Fix handling of NFS level errors in I/O
    (bsc#1012628).
  - Bluetooth: Prevent unintended pause by checking if advertising
    is active (bsc#1012628).
  - btrfs: fix failure to rebuild free space tree using multiple
    transactions (bsc#1012628).
  - btrfs: fix missing error handling when searching for inode
    refs during log replay (bsc#1012628).
  - btrfs: fix iteration of extrefs during log replay (bsc#1012628).
  - btrfs: fix inode lookup error handling during log replay
    (bsc#1012628).
  - btrfs: record new subvolume in parent dir earlier to avoid
    dir logging races (bsc#1012628).
  - btrfs: propagate last_unlink_trans earlier when doing a rmdir
    (bsc#1012628).
  - btrfs: use btrfs_record_snapshot_destroy() during rmdir
    (bsc#1012628).
  - ethernet: atl1: Add missing DMA mapping error checks and count
    errors (bsc#1012628).
  - dpaa2-eth: fix xdp_rxq_info leak (bsc#1012628).
  - drm/exynos: fimd: Guard display clock control with runtime PM
    calls (bsc#1012628).
  - spi: spi-qpic-snand: reallocate BAM transactions (bsc#1012628).
  - spi: spi-fsl-dspi: Clear completion counter before initiating
    transfer (bsc#1012628).
  - drm/i915/selftests: Change mock_request() to return error
    pointers (bsc#1012628).
  - nvme: Fix incorrect cdw15 value in passthru error logging
    (bsc#1012628).
  - nvmet: fix memory leak of bio integrity (bsc#1012628).
  - nvme-pci: refresh visible attrs after being checked
    (bsc#1012628).
  - platform/x86: dell-wmi-sysman: Fix WMI data block retrieval
    in sysfs callbacks (bsc#1012628).
  - platform/x86: hp-bioscfg: Fix class device unregistration
    (bsc#1012628).
  - platform/x86: think-lmi: Fix class device unregistration
    (bsc#1012628).
  - platform/x86: dell-wmi-sysman: Fix class device unregistration
    (bsc#1012628).
  - platform/mellanox: mlxreg-lc: Fix logic error in power state
    check (bsc#1012628).
  - drm/bridge: panel: move prepare_prev_first handling to
    drm_panel_bridge_add_typed (bsc#1012628).
  - drm/bridge: aux-hpd-bridge: fix assignment of the of_node
    (bsc#1012628).
  - smb: client: fix warning when reconnecting channel
    (bsc#1012628).
  - net: usb: lan78xx: fix WARN in __netif_napi_del_locked on
    disconnect (bsc#1012628).
  - drm/vmwgfx: Fix guests running with TDX/SEV (bsc#1012628).
  - drm/i915/gt: Fix timeline left held on VMA alloc error
    (bsc#1012628).
  - drm/i915/gsc: mei interrupt top half should be in irq disabled
    context (bsc#1012628).
  - nvme-multipath: fix suspicious RCU usage warning (bsc#1012628).
  - idpf: return 0 size for RSS key if not supported (bsc#1012628).
  - idpf: convert control queue mutex to a spinlock (bsc#1012628).
  - igc: disable L1.2 PCI-E link substate to avoid performance issue
    (bsc#1012628).
  - drm/xe: Fix out-of-bounds field write in MI_STORE_DATA_IMM
    (bsc#1012628).
  - netfs: Fix hang due to missing case in final DIO read result
    collection (bsc#1012628).
  - netfs: Fix looping in wait functions (bsc#1012628).
  - netfs: Fix ref leak on inserted extra subreq in write retry
    (bsc#1012628).
  - smb: client: set missing retry flag in smb2_writev_callback()
    (bsc#1012628).
  - smb: client: set missing retry flag in cifs_readv_callback()
    (bsc#1012628).
  - smb: client: set missing retry flag in cifs_writev_callback()
    (bsc#1012628).
  - netfs: Fix i_size updating (bsc#1012628).
  - drm/xe/guc: Enable w/a 16026508708 (bsc#1012628).
  - drm/xe/guc_pc: Add _locked variant for min/max freq
    (bsc#1012628).
  - drm/xe: Split xe_device_td_flush() (bsc#1012628).
  - drm/xe/bmg: Update Wa_14022085890 (bsc#1012628).
  - drm/xe/bmg: Update Wa_22019338487 (bsc#1012628).
  - lib: test_objagg: Set error message in
    check_expect_hints_stats() (bsc#1012628).
  - amd-xgbe: align CL37 AN sequence as per databook (bsc#1012628).
  - enic: fix incorrect MTU comparison in enic_change_mtu()
    (bsc#1012628).
  - rose: fix dangling neighbour pointers in rose_rt_device_down()
    (bsc#1012628).
  - nui: Fix dma_mapping_error() check (bsc#1012628).
  - net/sched: Always pass notifications when child class becomes
    empty (bsc#1012628).
  - amd-xgbe: do not double read link status (bsc#1012628).
  - net: ipv4: fix stat increase when udp early demux drops the
    packet (bsc#1012628).
  - smb: client: fix race condition in negotiate timeout by using
    more precise timing (bsc#1012628).
  - smb: client: fix native SMB symlink traversal (bsc#1012628).
  - netfs: Fix double put of request (bsc#1012628).
  - drm/xe: Allow dropping kunit dependency as built-in
    (bsc#1012628).
  - x86/platform/amd: move final timeout check to after final sleep
    (bsc#1012628).
  - drm/msm: Fix a fence leak in submit error path (bsc#1012628).
  - drm/msm: Fix another leak in the submit error path
    (bsc#1012628).
  - ALSA: sb: Don't allow changing the DMA mode during operations
    (bsc#1012628).
  - ALSA: sb: Force to disable DMAs once when DMA mode is changed
    (bsc#1012628).
  - ata: libata-acpi: Do not assume 40 wire cable if no devices
    are enabled (bsc#1012628).
  - ata: pata_cs5536: fix build on 32-bit UML (bsc#1012628).
  - ASoC: amd: yc: Add quirk for MSI Bravo 17 D7VF internal mic
    (bsc#1012628).
  - platform/x86/amd/pmc: Add PCSpecialist Lafite Pro V 14M to
    8042 quirks list (bsc#1012628).
  - genirq/irq_sim: Initialize work context pointers properly
    (bsc#1012628).
  - powerpc: Fix struct termio related ioctl macros (bsc#1012628).
  - ASoC: amd: yc: update quirk data for HP Victus (bsc#1012628).
  - regulator: fan53555: add enable_time support and soft-start
    times (bsc#1012628).
  - scsi: target: Fix NULL pointer dereference in
    core_scsi3_decode_spec_i_port() (bsc#1012628).
  - aoe: defer rexmit timer downdev work to workqueue (bsc#1012628).
  - wifi: mac80211: drop invalid source address OCB frames
    (bsc#1012628).
  - wifi: ath6kl: remove WARN on bad firmware input (bsc#1012628).
  - ACPICA: Refuse to evaluate a method if arguments are missing
    (bsc#1012628).
  - mtd: spinand: fix memory leak of ECC engine conf (bsc#1012628).
  - rcu: Return early if callback is not specified (bsc#1012628).
  - usb: xhci: Skip xhci_reset in xhci_resume if xhci is being
    removed (bsc#1012628).
  - Revert "usb: xhci: Implement xhci_handshake_check_state()
    helper" (bsc#1012628).
  - usb: xhci: quirk for data loss in ISOC transfers (bsc#1012628).
  - xhci: dbctty: disable ECHO flag by default (bsc#1012628).
  - xhci: dbc: Flush queued requests before stopping dbc
    (bsc#1012628).
  - xhci: Disable stream for xHC controller with XHCI_BROKEN_STREAMS
    (bsc#1012628).
  - HID: appletb-kbd: fix memory corruption of input_handler_list
    (bsc#1012628).
  - Input: xpad - support Acer NGR 200 Controller (bsc#1012628).
  - Input: iqs7222 - explicitly define number of external channels
    (bsc#1012628).
  - usb: cdnsp: do not disable slot for disabled slot (bsc#1012628).
  - usb: cdnsp: Fix issue with CV Bad Descriptor test (bsc#1012628).
  - usb: dwc3: Abort suspend on soft disconnect failure
    (bsc#1012628).
  - usb: dwc3: gadget: Fix TRB reclaim logic for short transfers
    and ZLPs (bsc#1012628).
  - usb: chipidea: udc: disconnect/reconnect from host when do
    suspend/resume (bsc#1012628).
  - usb: acpi: fix device link removal (bsc#1012628).
  - smb: client: fix readdir returning wrong type with POSIX
    extensions (bsc#1012628).
  - cifs: all initializations for tcon should happen in
    tcon_info_alloc (bsc#1012628).
  - dma-buf: fix timeout handling in dma_resv_wait_timeout v2
    (bsc#1012628).
  - HID: appletb-kbd: fix slab use-after-free bug in
    appletb_kbd_probe (bsc#1012628).
  - dt-bindings: i2c: realtek,rtl9301: Fix missing 'reg' constraint
    (bsc#1012628).
  - i2c/designware: Fix an initialization issue (bsc#1012628).
  - Logitech C-270 even more broken (bsc#1012628).
  - optee: ffa: fix sleep in atomic context (bsc#1012628).
  - iommu/rockchip: prevent iommus dead loop when two masters
    share one IOMMU (bsc#1012628).
  - iommu/vt-d: Assign devtlb cache tag on ATS enablement
    (bsc#1012628).
  - powercap: intel_rapl: Do not change CLAMPING bit if ENABLE
    bit cannot be changed (bsc#1012628).
  - riscv: cpu_ops_sbi: Use static array for boot_data
    (bsc#1012628).
  - platform/x86: think-lmi: Create ksets consecutively
    (bsc#1012628).
  - platform/x86: think-lmi: Fix kobject cleanup (bsc#1012628).
  - platform/x86: think-lmi: Fix sysfs group cleanup (bsc#1012628).
  - mm/vmalloc: fix data race in show_numa_info() (bsc#1012628).
  - x86/bugs: Rename MDS machinery to something more generic
    (bsc#1012628).
  - x86/bugs: Add a Transient Scheduler Attacks mitigation
    (bsc#1012628).
  - KVM: x86: Sort CPUID_8000_0021_EAX leaf bits properly
    (bsc#1012628).
  - KVM: SVM: Advertise TSA CPUID bits to guests (bsc#1012628).
  - x86/microcode/AMD: Add TSA microcode SHAs (bsc#1012628).
  - x86/process: Move the buffer clearing before MONITOR
    (bsc#1012628).
  - Update config files.
  - Rename to
    patches.kernel.org/6.15.6-171-usb-typec-displayport-Fix-potential-deadlock.patch.
  - commit a69e09e
* Wed Jul 09 2025 tiwai@suse.de
  - rpm/mkspec: Fix missing kernel-syms-rt creation (bsc#1244337)
  - commit 630f139
* Mon Jul 07 2025 pfalcato@suse.de
  - update to 6.16-rc5
    - refresh configs
    - update config on ppc64 and rv64
    - CONFIG_I2C_AMD_ASF=m
    - CONFIG_I2C_SMBUS=m
    - CONFIG_I2C_PIIX4=m
  - commit d6fb784
* Sun Jul 06 2025 jslaby@suse.cz
  - usb: typec: displayport: Fix potential deadlock (git-fixes).
  - commit 478c062
* Sun Jul 06 2025 jslaby@suse.cz
  - Linux 6.15.5 (bsc#1012628).
  - cifs: Correctly set SMB1 SessionKey field in Session Setup
    Request (bsc#1012628).
  - cifs: Fix cifs_query_path_info() for Windows NT servers
    (bsc#1012628).
  - cifs: Fix encoding of SMB1 Session Setup NTLMSSP Request in
    non-UNICODE mode (bsc#1012628).
  - NFSv4: Always set NLINK even if the server doesn't support it
    (bsc#1012628).
  - NFSv4.2: fix listxattr to return selinux security label
    (bsc#1012628).
  - NFSv4.2: fix setattr caching of TIME_[MODIFY|ACCESS]_SET when
    timestamps are delegated (bsc#1012628).
  - mailbox: Not protect module_put with spin_lock_irqsave
    (bsc#1012628).
  - mfd: max77541: Fix wakeup source leaks on device unbind
    (bsc#1012628).
  - mfd: max14577: Fix wakeup source leaks on device unbind
    (bsc#1012628).
  - mfd: max77705: Fix wakeup source leaks on device unbind
    (bsc#1012628).
  - mfd: 88pm886: Fix wakeup source leaks on device unbind
    (bsc#1012628).
  - mfd: sprd-sc27xx: Fix wakeup source leaks on device unbind
    (bsc#1012628).
  - sunrpc: don't immediately retransmit on seqno miss
    (bsc#1012628).
  - hwmon: (isl28022) Fix current reading calculation (bsc#1012628).
  - dm vdo indexer: don't read request structure after enqueuing
    (bsc#1012628).
  - leds: multicolor: Fix intensity setting while SW blinking
    (bsc#1012628).
  - fuse: fix race between concurrent setattrs from multiple nodes
    (bsc#1012628).
  - cxl/region: Add a dev_err() on missing target list entries
    (bsc#1012628).
  - cxl: core/region - ignore interleave granularity when ways=1
    (bsc#1012628).
  - NFSv4: xattr handlers should check for absent nfs filehandles
    (bsc#1012628).
  - hwmon: (pmbus/max34440) Fix support for max34451 (bsc#1012628).
  - ksmbd: allow a filename to contain special characters on
    SMB3.1.1 posix extension (bsc#1012628).
  - ksmbd: provide zero as a unique ID to the Mac client
    (bsc#1012628).
  - rust: module: place cleanup_module() in .exit.text section
    (bsc#1012628).
  - rust: arm: fix unknown (to Clang) argument '-mno-fdpic'
    (bsc#1012628).
  - dmaengine: idxd: Check availability of workqueue allocated by
    idxd wq driver before using (bsc#1012628).
  - dmaengine: xilinx_dma: Set dma_device directions (bsc#1012628).
  - PCI: dwc: Make link training more robust by setting
    PORT_LOGIC_LINK_WIDTH to one lane (bsc#1012628).
  - PCI: apple: Fix missing OF node reference in
    apple_pcie_setup_port (bsc#1012628).
  - PCI: imx6: Add workaround for errata ERR051624 (bsc#1012628).
  - wifi: iwlwifi: mld: Move regulatory domain initialization
    (bsc#1012628).
  - nvme-tcp: fix I/O stalls on congested sockets (bsc#1012628).
  - nvme-tcp: sanitize request list handling (bsc#1012628).
  - md/md-bitmap: fix dm-raid max_write_behind setting
    (bsc#1012628).
  - amd/amdkfd: fix a kfd_process ref leak (bsc#1012628).
  - drm/amdgpu/vcn5.0.1: read back register after written
    (bsc#1012628).
  - drm/amdgpu/vcn4: read back register after written (bsc#1012628).
  - drm/amdgpu/vcn3: read back register after written (bsc#1012628).
  - drm/amdgpu/vcn2.5: read back register after written
    (bsc#1012628).
  - bcache: fix NULL pointer in cache_set_flush() (bsc#1012628).
  - drm/amdgpu: seq64 memory unmap uses uninterruptible lock
    (bsc#1012628).
  - drm/scheduler: signal scheduled fence when kill job
    (bsc#1012628).
  - iio: pressure: zpa2326: Use aligned_s64 for the timestamp
    (bsc#1012628).
  - bus: mhi: host: pci_generic: Add Telit FN920C04 modem support
    (bsc#1012628).
  - um: Add cmpxchg8b_emu and checksum functions to asm-prototypes.h
    (bsc#1012628).
  - um: use proper care when taking mmap lock during segfault
    (bsc#1012628).
  - 8250: microchip: pci1xxxx: Add PCIe Hot reset disable support
    for Rev C0 and later devices (bsc#1012628).
  - coresight: Only check bottom two claim bits (bsc#1012628).
  - usb: dwc2: also exit clock_gating when stopping udc while
    suspended (bsc#1012628).
  - iio: adc: ad_sigma_delta: Fix use of uninitialized status_pos
    (bsc#1012628).
  - iio: dac: adi-axi-dac: add cntrl chan check (bsc#1012628).
  - iio: light: al3000a: Fix an error handling path in
    al3000a_probe() (bsc#1012628).
  - iio: adc: ad7606_spi: check error in ad7606B_sw_mode_config()
    (bsc#1012628).
  - iio: hid-sensor-prox: Add support for 16-bit report size
    (bsc#1012628).
  - misc: tps6594-pfsm: Add NULL pointer check in
    tps6594_pfsm_probe() (bsc#1012628).
  - usb: potential integer overflow in usbg_make_tpg()
    (bsc#1012628).
  - tty: serial: uartlite: register uart driver in init
    (bsc#1012628).
  - usb: common: usb-conn-gpio: use a unique name for usb connector
    device (bsc#1012628).
  - usb: Add checks for snprintf() calls in usb_alloc_dev()
    (bsc#1012628).
  - usb: cdc-wdm: avoid setting WDM_READ for ZLP-s (bsc#1012628).
  - usb: gadget: f_hid: wake up readers on disable/unbind
    (bsc#1012628).
  - usb: typec: displayport: Receive DP Status Update NAK request
    exit dp altmode (bsc#1012628).
  - usb: typec: tcpci: Fix wakeup source leaks on device unbind
    (bsc#1012628).
  - usb: typec: tipd: Fix wakeup source leaks on device unbind
    (bsc#1012628).
  - usb: typec: mux: do not return on EOPNOTSUPP in {mux,
    switch}_set (bsc#1012628).
  - riscv: add a data fence for CMODX in the kernel mode
    (bsc#1012628).
  - s390/mm: Fix in_atomic() handling in do_secure_storage_access()
    (bsc#1012628).
  - riscv: misaligned: declare misaligned_access_speed under
    CONFIG_RISCV_MISALIGNED (bsc#1012628).
  - ALSA: hda: Ignore unsol events for cards being shut down
    (bsc#1012628).
  - ALSA: hda: Add new pci id for AMD GPU display HD audio
    controller (bsc#1012628).
  - ALSA: usb-audio: Add a quirk for Lenovo Thinkpad Thunderbolt
    3 dock (bsc#1012628).
  - ASoC: rt1320: fix speaker noise when volume bar is 100%
    (bsc#1012628).
  - ceph: fix possible integer overflow in ceph_zero_objects()
    (bsc#1012628).
  - scsi: ufs: core: Don't perform UFS clkscaling during host
    async scan (bsc#1012628).
  - riscv: save the SR_SUM status over switches (bsc#1012628).
  - ovl: Check for NULL d_inode() in ovl_dentry_upper()
    (bsc#1012628).
  - btrfs: fix race between async reclaim worker and close_ctree()
    (bsc#1012628).
  - btrfs: handle csum tree error with rescue=ibadroots correctly
    (bsc#1012628).
  - drm/i915/gem: Allow EXEC_CAPTURE on recoverable contexts on DG1
    (bsc#1012628).
  - Revert "drm/i915/gem: Allow EXEC_CAPTURE on recoverable contexts
    on DG1" (bsc#1012628).
  - btrfs: use unsigned types for constants defined as bit shifts
    (bsc#1012628).
  - btrfs: fix qgroup reservation leak on failure to allocate
    ordered extent (bsc#1012628).
  - media: uvcvideo: Keep streaming state in the file handle
    (bsc#1012628).
  - media: uvcvideo: Create uvc_pm_(get|put) functions
    (bsc#1012628).
  - media: uvcvideo: Increase/decrease the PM counter per IOCTL
    (bsc#1012628).
  - media: uvcvideo: Rollback non processed entities on error
    (bsc#1012628).
  - ASoC: codec: wcd9335: Convert to GPIO descriptors (bsc#1012628).
  - ASoC: codecs: wcd9335: Fix missing free of regulator supplies
    (bsc#1012628).
  - f2fs: don't over-report free space or inodes in statvfs
    (bsc#1012628).
  - io_uring/zcrx: move io_zcrx_iov_page (bsc#1012628).
  - io_uring/zcrx: improve area validation (bsc#1012628).
  - io_uring/zcrx: split out memory holders from area (bsc#1012628).
  - io_uring/zcrx: fix area release on registration failure
    (bsc#1012628).
  - drm/i915/display: Add check for alloc_ordered_workqueue()
    and alloc_workqueue() (bsc#1012628).
  - af_unix: Don't leave consecutive consumed OOB skbs
    (bsc#1012628).
  - i2c: omap: Fix an error handling path in omap_i2c_probe()
    (bsc#1012628).
  - i2c: imx: fix emulated smbus block read (bsc#1012628).
  - i2c: tiny-usb: disable zero-length read messages (bsc#1012628).
  - i2c: robotfuzz-osif: disable zero-length read messages
    (bsc#1012628).
  - LoongArch: KVM: Avoid overflow with array index (bsc#1012628).
  - LoongArch: KVM: Check validity of "num_cpu" from user space
    (bsc#1012628).
  - LoongArch: KVM: Disable updating of "num_cpu" and "feature"
    (bsc#1012628).
  - LoongArch: KVM: Add address alignment check for IOCSR emulation
    (bsc#1012628).
  - LoongArch: KVM: Fix interrupt route update with EIOINTC
    (bsc#1012628).
  - LoongArch: KVM: Check interrupt route from physical CPU
    (bsc#1012628).
  - fuse: fix runtime warning on truncate_folio_batch_exceptionals()
    (bsc#1012628).
  - scripts/gdb: fix dentry_name() lookup (bsc#1012628).
  - ata: ahci: Use correct DMI identifier for ASUSPRO-D840SA LPM
    quirk (bsc#1012628).
  - smb: client: remove \t from TP_printk statements (bsc#1012628).
  - mm/damon/sysfs-schemes: free old
    damon_sysfs_scheme_filter->memcg_path on write (bsc#1012628).
  - smb: client: fix regression with native SMB symlinks
    (bsc#1012628).
  - riscv: vector: Fix context save/restore with xtheadvector
    (bsc#1012628).
  - ASoC: amd: yc: Add DMI quirk for Lenovo IdeaPad Slim 5 15
    (bsc#1012628).
  - riscv: export boot_cpu_hartid (bsc#1012628).
  - s390/pkey: Prevent overflow in size calculation for
    memdup_user() (bsc#1012628).
  - io_uring/rsrc: fix folio unpinning (bsc#1012628).
  - io_uring/rsrc: don't rely on user vaddr alignment (bsc#1012628).
  - io_uring: don't assume uaddr alignment in io_vec_fill_bvec
    (bsc#1012628).
  - fs/proc/task_mmu: fix PAGE_IS_PFNZERO detection for the huge
    zero folio (bsc#1012628).
  - lib/group_cpus: fix NULL pointer dereference from
    group_cpus_evenly() (bsc#1012628).
  - Revert "riscv: Define TASK_SIZE_MAX for __access_ok()"
    (bsc#1012628).
  - Revert "riscv: misaligned: fix sleeping function called during
    misaligned access handling" (bsc#1012628).
  - drm/amdgpu/mes: add compatibility checks for set_hw_resource_1
    (bsc#1012628).
  - drm/xe/display: Add check for alloc_ordered_workqueue()
    (bsc#1012628).
  - drm/xe: Move DSB l2 flush to a more sensible place
    (bsc#1012628).
  - drm/xe: move DPT l2 flush to a more sensible place
    (bsc#1012628).
  - HID: Intel-thc-hid: Intel-quicki2c: Enhance QuickI2C reset flow
    (bsc#1012628).
  - HID: wacom: fix crash in wacom_aes_battery_handler()
    (bsc#1012628).
  - cxl/ras: Fix CPER handler device confusion (bsc#1012628).
  - scsi: fnic: Fix missing DMA mapping error in fnic_send_frame()
    (bsc#1012628).
  - atm: clip: prevent NULL deref in clip_push() (bsc#1012628).
  - ASoC: amd: ps: fix for soundwire failures during hibernation
    exit sequence (bsc#1012628).
  - Bluetooth: hci_core: Fix use-after-free in vhci_flush()
    (bsc#1012628).
  - ALSA: usb-audio: Fix out-of-bounds read in
    snd_usb_get_audioformat_uac3() (bsc#1012628).
  - attach_recursive_mnt(): do not lock the covering tree when
    sliding something under it (bsc#1012628).
  - libbpf: Fix null pointer dereference in btf_dump__free on
    allocation failure (bsc#1012628).
  - net: netpoll: Initialize UDP checksum field before checksumming
    (bsc#1012628).
  - ethernet: ionic: Fix DMA mapping tests (bsc#1012628).
  - wifi: mac80211: fix beacon interval calculation overflow
    (bsc#1012628).
  - af_unix: Don't set -ECONNRESET for consumed OOB skb
    (bsc#1012628).
  - wifi: mac80211: Add link iteration macro for link data
    (bsc#1012628).
  - wifi: mac80211: Create separate links for VLAN interfaces
    (bsc#1012628).
  - wifi: mac80211: finish link init before RCU publish
    (bsc#1012628).
  - userns and mnt_idmap leak in open_tree_attr(2) (bsc#1012628).
  - vsock/uapi: fix linux/vm_sockets.h userspace compilation errors
    (bsc#1012628).
  - bnxt: properly flush XDP redirect lists (bsc#1012628).
  - um: ubd: Add missing error check in start_io_thread()
    (bsc#1012628).
  - io_uring/net: mark iov as dynamically allocated even for single
    segments (bsc#1012628).
  - libbpf: Fix possible use-after-free for externs (bsc#1012628).
  - net: enetc: Correct endianness handling in _enetc_rd_reg64
    (bsc#1012628).
  - netlink: specs: tc: replace underscores with dashes in names
    (bsc#1012628).
  - atm: Release atm_dev_mutex after removing procfs in
    atm_dev_deregister() (bsc#1012628).
  - ALSA: hda/realtek: Fix built-in mic on ASUS VivoBook X507UAR
    (bsc#1012628).
  - net: selftests: fix TCP packet checksum (bsc#1012628).
  - nvme: refactor the atomic write unit detection (bsc#1012628).
  - nvme: fix atomic write size validation (bsc#1012628).
  - riscv: fix runtime constant support for nommu kernels
    (bsc#1012628).
  - drm: writeback: Fix drm_writeback_connector_cleanup signature
    (bsc#1012628).
  - drm/amd: Adjust output for discovery error handling
    (bsc#1012628).
  - drm/i915: fix build error some more (bsc#1012628).
  - drm/bridge: ti-sn65dsi86: make use of debugfs_init callback
    (bsc#1012628).
  - drm/bridge: ti-sn65dsi86: Add HPD for DisplayPort connector type
    (bsc#1012628).
  - drm/xe/guc: Explicitly exit CT safe mode on unwind
    (bsc#1012628).
  - drm/xe: Process deferred GGTT node removals on device unwind
    (bsc#1012628).
  - smb: client: fix potential deadlock when reconnecting channels
    (bsc#1012628).
  - EDAC/amd64: Fix size calculation for Non-Power-of-Two DIMMs
    (bsc#1012628).
  - x86/traps: Initialize DR6 by writing its architectural reset
    value (bsc#1012628).
  - staging: rtl8723bs: Avoid memset() in aes_cipher() and
    aes_decipher() (bsc#1012628).
  - dt-bindings: serial: 8250: Make clocks and clock-frequency
    exclusive (bsc#1012628).
  - serial: core: restore of_node information in sysfs
    (bsc#1012628).
  - serial: imx: Restore original RXTL for console to fix data loss
    (bsc#1012628).
  - Bluetooth: L2CAP: Fix L2CAP MTU negotiation (bsc#1012628).
  - dm-raid: fix variable in journal device check (bsc#1012628).
  - bcache: remove unnecessary select MIN_HEAP (bsc#1012628).
  - btrfs: fix a race between renames and directory logging
    (bsc#1012628).
  - btrfs: update superblock's device bytes_used when dropping chunk
    (bsc#1012628).
  - btrfs: fix invalid inode pointer dereferences during log replay
    (bsc#1012628).
  - Revert "bcache: update min_heap_callbacks to use default
    builtin swap" (bsc#1012628).
  - Revert "bcache: remove heap-related macros and switch to
    generic min_heap" (bsc#1012628).
  - selinux: change security_compute_sid to return the ssid or
    tsid on match (bsc#1012628).
  - spi: spi-cadence-quadspi: Fix pm runtime unbalance
    (bsc#1012628).
  - net: libwx: fix the creation of page_pool (bsc#1012628).
  - maple_tree: fix MA_STATE_PREALLOC flag in mas_preallocate()
    (bsc#1012628).
  - mm: userfaultfd: fix race of userfaultfd_move and swap cache
    (bsc#1012628).
  - mm/shmem, swap: fix softlockup with mTHP swapin (bsc#1012628).
  - mm/gup: revert "mm: gup: fix infinite loop within
    __get_longterm_locked" (bsc#1012628).
  - f2fs: fix to zero post-eof page (bsc#1012628).
  - HID: appletb-kbd: fix "appletb_backlight" backlight device
    reference counting (bsc#1012628).
  - HID: lenovo: Restrict F7/9/11 mode to compact keyboards only
    (bsc#1012628).
  - HID: wacom: fix memory leak on kobject creation failure
    (bsc#1012628).
  - HID: wacom: fix memory leak on sysfs attribute creation failure
    (bsc#1012628).
  - HID: wacom: fix kobject reference count leak (bsc#1012628).
  - scsi: megaraid_sas: Fix invalid node index (bsc#1012628).
  - scsi: ufs: core: Fix clk scaling to be conditional in reset
    and restore (bsc#1012628).
  - scsi: fnic: Fix crash in fnic_wq_cmpl_handler when FDMI times
    out (bsc#1012628).
  - scsi: fnic: Turn off FDMI ACTIVE flags on link down
    (bsc#1012628).
  - drm/ast: Fix comment on modeset lock (bsc#1012628).
  - drm/cirrus-qemu: Fix pitch programming (bsc#1012628).
  - drm/etnaviv: Protect the scheduler's pending list with its lock
    (bsc#1012628).
  - drm/panel: simple: Tianma TM070JDHG34-00: add delays
    (bsc#1012628).
  - drm/simpledrm: Do not upcast in release helpers (bsc#1012628).
  - drm/tegra: Assign plane type before registration (bsc#1012628).
  - drm/tegra: Fix a possible null pointer dereference
    (bsc#1012628).
  - drm/udl: Unregister device before cleaning up on disconnect
    (bsc#1012628).
  - drm/msm/gpu: Fix crash when throttling GPU immediately during
    boot (bsc#1012628).
  - drm/amdkfd: Fix race in GWS queue scheduling (bsc#1012628).
  - drm/i915/ptl: Use everywhere the correct DDI port clock select
    mask (bsc#1012628).
  - drm/i915/dp_mst: Work around Thunderbolt sink disconnect after
    SINK_COUNT_ESI read (bsc#1012628).
  - drm/bridge: cdns-dsi: Fix the clock variable for mode_valid()
    (bsc#1012628).
  - drm/bridge: cdns-dsi: Fix phy de-init and flag it so
    (bsc#1012628).
  - drm/bridge: cdns-dsi: Fix connecting to next bridge
    (bsc#1012628).
  - drm/bridge: cdns-dsi: Check return value when getting default
    PHY config (bsc#1012628).
  - drm/bridge: cdns-dsi: Wait for Clk and Data Lanes to be ready
    (bsc#1012628).
  - drm/amd/display: Add null pointer check for
    get_first_active_display() (bsc#1012628).
  - drm/amdgpu: amdgpu_vram_mgr_new(): Clamp lpfn to total vram
    (bsc#1012628).
  - drm/amdgpu: disable workload profile switching when OD is
    enabled (bsc#1012628).
  - drm/amd/display: Correct non-OLED pre_T11_delay (bsc#1012628).
  - drm/xe/vm: move rebind_work init earlier (bsc#1012628).
  - drm/xe/sched: stop re-submitting signalled jobs (bsc#1012628).
  - drm/xe/guc_submit: add back fix (bsc#1012628).
  - drm/amd/display: Fix RMCM programming seq errors (bsc#1012628).
  - drm/amdgpu: VCN v5_0_1 to prevent FW checking RB during DPG
    pause (bsc#1012628).
  - drm/amdgpu: Add kicker device detection (bsc#1012628).
  - drm/amd/display: Check dce_hwseq before dereferencing it
    (bsc#1012628).
  - drm/xe: Fix memset on iomem (bsc#1012628).
  - drm/xe: Fix taking invalid lock on wedge (bsc#1012628).
  - drm/xe: Fix early wedge on GuC load failure (bsc#1012628).
  - drm/i915/dsi: Fix off by one in BXT_MIPI_TRANS_VTOTAL
    (bsc#1012628).
  - drm/amdgpu: Fix SDMA UTC_L1 handling during start/stop sequences
    (bsc#1012628).
  - drm/amdgpu: switch job hw_fence to amdgpu_fence (bsc#1012628).
  - drm/amdgpu: add kicker fws loading for gfx11/smu13/psp13
    (bsc#1012628).
  - drm/amd/display: Add more checks for DSC / HUBP ONO guarantees
    (bsc#1012628).
  - drm/amd/display: Add dc cap for dp tunneling (bsc#1012628).
  - drm/amd/display: Fix mpv playback corruption on weston
    (bsc#1012628).
  - arm64: dts: qcom: Commonize X1 CRD DTSI (bsc#1012628).
  - arm64: dts: qcom: x1e80100-crd: mark l12b and l15b always-on
    (bsc#1012628).
  - arm64: dts: qcom: x1e78100-t14s: mark l12b and l15b always-on
    (bsc#1012628).
  - arm64: dts: qcom: x1-crd: Fix vreg_l2j_1p2 voltage
    (bsc#1012628).
  - crypto: powerpc/poly1305 - add depends on BROKEN for now
    (bsc#1012628).
  - drm/amdgpu/mes: add missing locking in helper functions
    (bsc#1012628).
  - arm64: dts: qcom: x1e78100-t14s: fix missing HID supplies
    (bsc#1012628).
  - sched_ext: Make scx_group_set_weight() always update
    tg->scx.weight (bsc#1012628).
  - drm/amd/display: Add early 8b/10b channel equalization test
    pattern sequence (bsc#1012628).
  - drm/amd/display: Get LTTPR IEEE OUI/Device ID From Closest
    LTTPR To Host (bsc#1012628).
  - rust: completion: implement initial abstraction (bsc#1012628).
  - rust: revocable: indicate whether `data` has been revoked
    already (bsc#1012628).
  - rust: devres: fix race in Devres::drop() (bsc#1012628).
  - rust: devres: do not dereference to the internal Revocable
    (bsc#1012628).
  - x86/fpu: Refactor xfeature bitmask update code for sigframe
    XSAVE (bsc#1012628).
  - x86/pkeys: Simplify PKRU update in signal frame (bsc#1012628).
  - s390/ptrace: Fix pointer dereferencing in
    regs_get_kernel_stack_nth() (bsc#1012628).
  - io_uring/kbuf: flag partial buffer mappings (bsc#1012628).
  - riscv: uaccess: Only restore the CSR_STATUS SUM bit
    (bsc#1012628).
  - drm/amd/display: Only read ACPI backlight caps once
    (bsc#1012628).
  - drm/amd/display: Optimize custom brightness curve (bsc#1012628).
  - drm/amd/display: Export full brightness range to userspace
    (bsc#1012628).
  - drm/amd/display: Fix AMDGPU_MAX_BL_LEVEL value (bsc#1012628).
  - Rename to
    patches.kernel.org/6.15.5-123-drm-amd-display-Add-sanity-checks-for-drm_edid.patch.
  - Rename to
    patches.kernel.org/6.15.5-126-drm-i915-snps_hdmi_pll-Fix-64-bit-divisor-trun.patch.
  - Rename to
    patches.kernel.org/6.15.5-141-bridge-mcast-Fix-use-after-free-during-router-.patch.
  - Rename to
    patches.kernel.org/6.15.5-256-io_uring-gate-REQ_F_ISREG-on-S_ANON_INODE-as-w.patch.
  - Rename to
    patches.kernel.org/6.15.5-258-drm-amd-display-Add-debugging-message-for-brig.patch.
  - Rename to
    patches.kernel.org/6.15.5-259-drm-amd-display-Fix-default-DC-and-AC-levels.patch.
  - commit 2e30258
* Fri Jul 04 2025 jslaby@suse.cz
  - Revert "ACPI: battery: negate current when discharging"
    (fix-battery).
  - commit 7028bd8
* Fri Jul 04 2025 jslaby@suse.cz
  - Refresh
    patches.suse/drm-amd-display-Add-sanity-checks-for-drm_edid_raw.patch.
    Update upstream info and move to sorted section.
  - commit e19c475
* Thu Jul 03 2025 jslaby@suse.cz
  - libbpf: do not compile with -Werror (bsc#1245584).
  - commit 2572630
* Tue Jul 01 2025 mkoutny@suse.com
  - rpm: Drop support for kabi/arch/ignore-flavor (bsc#1249186)
    It's not used in any active branches and it cannot solve contemporary
    problems.
  - commit f86a16a
* Tue Jul 01 2025 msuchanek@suse.de
  - Update config files (jsc#PED-12554 jsc#PED-6996 bsc#1243677 ltc#213602
    bsc#1243678 ltc#213596)
    CONFIG_IMA_KEYRINGS_PERMIT_SIGNED_BY_BUILTIN_OR_SECONDARY=y
    CONFIG_INTEGRITY_CA_MACHINE_KEYRING_MAX=y
    CONFIG_IMA_BLACKLIST_KEYRING=y
  - commit 54fde13
* Tue Jul 01 2025 pjakobsson@suse.de
  - drm/i915/snps_hdmi_pll: Fix 64-bit divisor truncation by using
    div64_u64 (bsc#1245446).
  - commit 071950d
* Mon Jun 30 2025 msuchanek@suse.de
  - kernel-obs-qa: Do not depend on srchash when qemu emulation is used
    In this case the dependency is never fulfilled
    Fixes: 485ae1da2b88 ("kernel-obs-qa: Use srchash for dependency as well")
  - commit a840f87
* Mon Jun 30 2025 jslaby@suse.cz
  - io_uring: gate REQ_F_ISREG on !S_ANON_INODE as well
    (io_uring-regression).
  - commit 55e70a8
* Mon Jun 30 2025 pfalcato@suse.de
  - update to 6.16-rc4
    - drop mainline patch
    - patches.suse/drm-amd-display-Add-sanity-checks-for-drm_edid_raw.patch.
    - refresh configs
  - commit 6ae144a
* Fri Jun 27 2025 jslaby@suse.cz
  - Linux 6.15.4 (bsc#1012628).
  - alloc_tag: handle module codetag load errors as module load
    failures (bsc#1012628).
  - configfs: Do not override creating attribute file failure in
    populate_attrs() (bsc#1012628).
  - crypto: marvell/cesa - Do not chain submitted requests
    (bsc#1012628).
  - gfs2: move msleep to sleepable context (bsc#1012628).
  - sched/rt: Fix race in push_rt_task (bsc#1012628).
  - sched/fair: Adhere to place_entity() constraints (bsc#1012628).
  - crypto: qat - add shutdown handler to qat_c3xxx (bsc#1012628).
  - crypto: qat - add shutdown handler to qat_420xx (bsc#1012628).
  - crypto: qat - add shutdown handler to qat_4xxx (bsc#1012628).
  - crypto: qat - add shutdown handler to qat_c62x (bsc#1012628).
  - crypto: qat - add shutdown handler to qat_dh895xcc
    (bsc#1012628).
  - ASoC: qcom: sdm845: Add error handling in
    sdm845_slim_snd_hw_params() (bsc#1012628).
  - firmware: cs_dsp: Fix OOB memory read access in KUnit test
    (bsc#1012628).
  - ASoC: meson: meson-card-utils: use of_property_present()
    for DT parsing (bsc#1012628).
  - ASoC: amd: amd_sdw: Fix unlikely uninitialized variable use
    in create_sdw_dailinks() (bsc#1012628).
  - ASoC: amd: sof_amd_sdw: Fix unlikely uninitialized variable
    use in create_sdw_dailinks() (bsc#1012628).
  - io_uring: account drain memory to cgroup (bsc#1012628).
  - io_uring/kbuf: account ring io_buffer_list memory (bsc#1012628).
  - powerpc/pseries/msi: Avoid reading PCI device registers in
    reduced power states (bsc#1012628).
  - powerpc64/ftrace: fix clobbered r15 during livepatching
    (bsc#1012628).
  - powerpc/bpf: fix JIT code size calculation of bpf trampoline
    (bsc#1012628).
  - s390/pci: Fix __pcilg_mio_inuser() inline assembly
    (bsc#1012628).
  - s390/pci: Remove redundant bus removal and disable from
    zpci_release_device() (bsc#1012628).
  - s390/pci: Prevent self deletion in disable_slot() (bsc#1012628).
  - s390/pci: Allow re-add of a reserved but not yet removed device
    (bsc#1012628).
  - s390/pci: Serialize device addition and removal (bsc#1012628).
  - regulator: max20086: Fix MAX200086 chip id (bsc#1012628).
  - regulator: max20086: Change enable gpio to optional
    (bsc#1012628).
  - net/mlx5_core: Add error handling
    inmlx5_query_nic_vport_qkey_viol_cntr() (bsc#1012628).
  - net/mlx5: Add error handling in mlx5_query_nic_vport_node_guid()
    (bsc#1012628).
  - wifi: p54: prevent buffer-overflow in p54_rx_eeprom_readback()
    (bsc#1012628).
  - wifi: mt76: mt7925: fix host interrupt register initialization
    (bsc#1012628).
  - anon_inode: use a proper mode internally (bsc#1012628).
  - anon_inode: explicitly block ->setattr() (bsc#1012628).
  - anon_inode: raise SB_I_NODEV and SB_I_NOEXEC (bsc#1012628).
  - fs: add S_ANON_INODE (bsc#1012628).
  - wifi: ath11k: fix rx completion meta data corruption
    (bsc#1012628).
  - wifi: rtw88: usb: Upload the firmware in bigger chunks
    (bsc#1012628).
  - wifi: ath11k: fix ring-buffer corruption (bsc#1012628).
  - NFSD: unregister filesystem in case genl_register_family()
    fails (bsc#1012628).
  - NFSD: fix race between nfsd registration and exports_proc
    (bsc#1012628).
  - NFSD: Implement FATTR4_CLONE_BLKSIZE attribute (bsc#1012628).
  - nfsd: fix access checking for NLM under XPRTSEC policies
    (bsc#1012628).
  - nfsd: nfsd4_spo_must_allow() must check this is a v4 compound
    request (bsc#1012628).
  - nfsd: Initialize ssc before laundromat_work to prevent NULL
    dereference (bsc#1012628).
  - SUNRPC: Prevent hang on NFS mount with xprtsec=[m]tls
    (bsc#1012628).
  - NFS: always probe for LOCALIO support asynchronously
    (bsc#1012628).
  - NFSv4: Don't check for OPEN feature support in v4.1
    (bsc#1012628).
  - fs/nfs/read: fix double-unlock bug in nfs_return_empty_folio()
    (bsc#1012628).
  - wifi: ath12k: fix ring-buffer corruption (bsc#1012628).
  - jbd2: fix data-race and null-ptr-deref in
    jbd2_journal_dirty_metadata() (bsc#1012628).
  - svcrdma: Unregister the device if svc_rdma_accept() fails
    (bsc#1012628).
  - wifi: rtw88: usb: Reduce control message timeout to 500 ms
    (bsc#1012628).
  - wifi: rtlwifi: disable ASPM for RTL8723BE with subsystem ID
    11ad:1723 (bsc#1012628).
  - jfs: validate AG parameters in dbMount() to prevent crashes
    (bsc#1012628).
  - media: ov8856: suppress probe deferral errors (bsc#1012628).
  - media: ov5675: suppress probe deferral errors (bsc#1012628).
  - media: i2c: change lt6911uxe irq_gpio name to "hpd"
    (bsc#1012628).
  - media: imx335: Use correct register width for HNUM
    (bsc#1012628).
  - media: nxp: imx8-isi: better handle the m2m usage_count
    (bsc#1012628).
  - media: i2c: ds90ub913: Fix returned fmt from .set_fmt()
    (bsc#1012628).
  - media: ccs-pll: Start VT pre-PLL multiplier search from correct
    value (bsc#1012628).
  - media: ov2740: Move pm-runtime cleanup on probe-errors to
    proper place (bsc#1012628).
  - media: ccs-pll: Start OP pre-PLL multiplier search from correct
    value (bsc#1012628).
  - media: ccs-pll: Correct the upper limit of maximum
    op_pre_pll_clk_div (bsc#1012628).
  - media: ccs-pll: Check for too high VT PLL multiplier in dual
    PLL case (bsc#1012628).
  - media: cxusb: no longer judge rbuf when the write fails
    (bsc#1012628).
  - media: davinci: vpif: Fix memory leak in probe error path
    (bsc#1012628).
  - media: gspca: Add error handling for stv06xx_read_sensor()
    (bsc#1012628).
  - media: i2c: imx335: Fix frame size enumeration (bsc#1012628).
  - media: imagination: fix a potential memory leak in e5010_probe()
    (bsc#1012628).
  - media: intel/ipu6: Fix dma mask for non-secure mode
    (bsc#1012628).
  - media: ipu6: Remove workaround for Meteor Lake ES2
    (bsc#1012628).
  - media: iris: fix error code in iris_load_fw_to_memory()
    (bsc#1012628).
  - media: mediatek: vcodec: Correct vsi_core framebuffer size
    (bsc#1012628).
  - media: omap3isp: use sgtable-based scatterlist wrappers
    (bsc#1012628).
  - media: ov08x40: Extend sleep after reset to 5 ms (bsc#1012628).
  - media: qcom: camss: csid: suppress CSID log spam (bsc#1012628).
  - media: qcom: camss: vfe: suppress VFE version log spam
    (bsc#1012628).
  - media: rcar-vin: Fix RAW10 (bsc#1012628).
  - media: v4l2-dev: fix error handling in __video_register_device()
    (bsc#1012628).
  - media: venus: Fix probe error handling (bsc#1012628).
  - media: videobuf2: use sgtable-based scatterlist wrappers
    (bsc#1012628).
  - media: vidtv: Terminating the subsequent process of
    initialization failure (bsc#1012628).
  - media: vivid: Change the siize of the composing (bsc#1012628).
  - media: imx-jpeg: Drop the first error frames (bsc#1012628).
  - media: imx-jpeg: Move mxc_jpeg_free_slot_data() ahead
    (bsc#1012628).
  - media: imx-jpeg: Reset slot data pointers when freed
    (bsc#1012628).
  - media: imx-jpeg: Cleanup after an allocation error
    (bsc#1012628).
  - media: uvcvideo: Return the number of processed controls
    (bsc#1012628).
  - media: uvcvideo: Send control events for partial succeeds
    (bsc#1012628).
  - media: uvcvideo: Fix deferred probing error (bsc#1012628).
  - arm64/mm: Close theoretical race where stale TLB entry remains
    valid (bsc#1012628).
  - ARM: 9447/1: arm/memremap: fix arch_memremap_can_ram_remap()
    (bsc#1012628).
  - ARM: omap: pmic-cpcap: do not mess around without CPCAP or OMAP4
    (bsc#1012628).
  - ASoC: codecs: wcd9375: Fix double free of regulator supplies
    (bsc#1012628).
  - ASoC: codecs: wcd937x: Drop unused buck_supply (bsc#1012628).
  - block: use plug request list tail for one-shot backmerge attempt
    (bsc#1012628).
  - block: Clear BIO_EMULATES_ZONE_APPEND flag on BIO completion
    (bsc#1012628).
  - bus: mhi: ep: Update read pointer only after buffer is written
    (bsc#1012628).
  - bus: mhi: host: Fix conflict between power_up and SYSERR
    (bsc#1012628).
  - can: kvaser_pciefd: refine error prone echo_skb_max handling
    logic (bsc#1012628).
  - can: tcan4x5x: fix power regulator retrieval during probe
    (bsc#1012628).
  - ceph: avoid kernel BUG for encrypted inode with unaligned file
    size (bsc#1012628).
  - ceph: set superblock s_magic for IMA fsmagic matching
    (bsc#1012628).
  - cgroup,freezer: fix incomplete freezing when attaching tasks
    (bsc#1012628).
  - bus: firewall: Fix missing static inline annotations for stubs
    (bsc#1012628).
  - ata: pata_via: Force PIO for ATAPI devices on VT6415/VT6330
    (bsc#1012628).
  - ata: ahci: Disallow LPM for ASUSPRO-D840SA motherboard
    (bsc#1012628).
  - ata: ahci: Disallow LPM for Asus B550-F motherboard
    (bsc#1012628).
  - bus: fsl-mc: do not add a device-link for the UAPI used DPMCP
    device (bsc#1012628).
  - bus: fsl-mc: fix GET/SET_TAILDROP command ids (bsc#1012628).
  - ext4: inline: fix len overflow in ext4_prepare_inline_data
    (bsc#1012628).
  - ext4: fix calculation of credits for extent tree modification
    (bsc#1012628).
  - ext4: fix out of bounds punch offset (bsc#1012628).
  - ext4: fix incorrect punch max_end (bsc#1012628).
  - ext4: factor out ext4_get_maxbytes() (bsc#1012628).
  - ext4: ensure i_size is smaller than maxbytes (bsc#1012628).
  - ext4: only dirty folios when data journaling regular files
    (bsc#1012628).
  - Input: gpio-keys - fix a sleep while atomic with PREEMPT_RT
    (bsc#1012628).
  - Input: ims-pcu - check record size in ims_pcu_flash_firmware()
    (bsc#1012628).
  - Input: gpio-keys - fix possible concurrent access in
    gpio_keys_irq_timer() (bsc#1012628).
  - f2fs: fix to do sanity check on ino and xnid (bsc#1012628).
  - f2fs: prevent kernel warning due to negative i_nlink from
    corrupted image (bsc#1012628).
  - f2fs: fix to do sanity check on sit_bitmap_size (bsc#1012628).
  - f2fs: fix to return correct error number in
    f2fs_sync_node_pages() (bsc#1012628).
  - hwmon: (ftsteutates) Fix TOCTOU race in fts_read()
    (bsc#1012628).
  - NFC: nci: uart: Set tty->disc_data only in success path
    (bsc#1012628).
  - net/sched: fix use-after-free in taprio_dev_notifier
    (bsc#1012628).
  - net: ftgmac100: select FIXED_PHY (bsc#1012628).
  - iommu/vt-d: Restore context entry setup order for aliased
    devices (bsc#1012628).
  - fbdev: Fix do_register_framebuffer to prevent null-ptr-deref
    in fb_videomode_to_var (bsc#1012628).
  - EDAC/altera: Use correct write width with the INTTEST register
    (bsc#1012628).
  - fbdev: Fix fb_set_var to prevent null-ptr-deref in
    fb_videomode_to_var (bsc#1012628).
  - parisc/unaligned: Fix hex output to show 8 hex chars
    (bsc#1012628).
  - vgacon: Add check for vc_origin address range in vgacon_scroll()
    (bsc#1012628).
  - parisc: fix building with gcc-15 (bsc#1012628).
  - clk: meson-g12a: add missing fclk_div2 to spicc (bsc#1012628).
  - ipc: fix to protect IPCS lookups using RCU (bsc#1012628).
  - watchdog: fix watchdog may detect false positive of softlockup
    (bsc#1012628).
  - RDMA/iwcm: Fix use-after-free of work objects after cm_id
    destruction (bsc#1012628).
  - mm: fix ratelimit_pages update error in dirty_ratio_handler()
    (bsc#1012628).
  - soc: qcom: pmic_glink_altmode: fix spurious DP hotplug events
    (bsc#1012628).
  - configfs-tsm-report: Fix NULL dereference of tsm_ops
    (bsc#1012628).
  - firmware: ti_sci: Convert CPU latency constraint from us to ms
    (bsc#1012628).
  - firmware: arm_scmi: Ensure that the message-id supports
    fastchannel (bsc#1012628).
  - mtd: rawnand: sunxi: Add randomizer configuration in
    sunxi_nfc_hw_ecc_write_chunk (bsc#1012628).
  - mtd: nand: sunxi: Add randomizer configuration before randomizer
    enable (bsc#1012628).
  - KVM: SVM: Clear current_vmcb during vCPU free for all *possible*
    CPUs (bsc#1012628).
  - KVM: VMX: Flush shadow VMCS on emergency reboot (bsc#1012628).
  - dm-mirror: fix a tiny race condition (bsc#1012628).
  - dm-verity: fix a memory leak if some arguments are specified
    multiple times (bsc#1012628).
  - mtd: rawnand: qcom: Pass 18 bit offset from NANDc base to BAM
    base (bsc#1012628).
  - mtd: rawnand: qcom: Fix last codeword read in
    qcom_param_page_type_exec() (bsc#1012628).
  - mtd: rawnand: qcom: Fix read len for onfi param page
    (bsc#1012628).
  - ftrace: Fix UAF when lookup kallsym after ftrace disabled
    (bsc#1012628).
  - dm: lock limits when reading them (bsc#1012628).
  - dm-table: Set BLK_FEAT_ATOMIC_WRITES for target queue limits
    (bsc#1012628).
  - phy: fsl-imx8mq-usb: fix phy_tx_vboost_level_from_property()
    (bsc#1012628).
  - net: ch9200: fix uninitialised access during mii_nway_restart
    (bsc#1012628).
  - KVM: s390: rename PROT_NONE to PROT_TYPE_DUMMY (bsc#1012628).
  - sysfb: Fix screen_info type check for VGA (bsc#1012628).
  - nvme-tcp: remove tag set when second admin queue config fails
    (bsc#1012628).
  - pwm: axi-pwmgen: fix missing separate external clock
    (bsc#1012628).
  - staging: iio: ad5933: Correct settling cycles encoding per
    datasheet (bsc#1012628).
  - mips: Add -std= flag specified in KBUILD_CFLAGS to vdso CFLAGS
    (bsc#1012628).
  - ovl: Fix nested backing file paths (bsc#1012628).
  - regulator: max14577: Add error check for max14577_read_reg()
    (bsc#1012628).
  - remoteproc: core: Cleanup acquired resources when
    rproc_handle_resources() fails in rproc_attach() (bsc#1012628).
  - remoteproc: core: Release rproc->clean_table after
    rproc_attach() fails (bsc#1012628).
  - remoteproc: k3-m4: Don't assert reset in detach routine
    (bsc#1012628).
  - cifs: reset connections for all channels when reconnect
    requested (bsc#1012628).
  - cifs: update dstaddr whenever channel iface is updated
    (bsc#1012628).
  - cifs: dns resolution is needed only for primary channel
    (bsc#1012628).
  - smb: client: add NULL check in automount_fullpath (bsc#1012628).
  - Drivers: hv: Allocate interrupt and monitor pages aligned to
    system page boundary (bsc#1012628).
  - uio_hv_generic: Use correct size for interrupt and monitor pages
    (bsc#1012628).
  - uio_hv_generic: Align ring size to system page (bsc#1012628).
  - PCI: cadence-ep: Correct PBA offset in .set_msix() callback
    (bsc#1012628).
  - PCI: dwc: ep: Correct PBA offset in .set_msix() callback
    (bsc#1012628).
  - PCI: Add ACS quirk for Loongson PCIe (bsc#1012628).
  - PCI: Fix lock symmetry in pci_slot_unlock() (bsc#1012628).
  - PCI: dw-rockchip: Remove PCIE_L0S_ENTRY check from
    rockchip_pcie_link_up() (bsc#1012628).
  - PCI: apple: Set only available ports up (bsc#1012628).
  - PCI: dw-rockchip: Fix PHY function call sequence in
    rockchip_pcie_phy_deinit() (bsc#1012628).
  - hv_netvsc: fix potential deadlock in netvsc_vf_setxdp()
    (bsc#1012628).
  - iio: accel: fxls8962af: Fix temperature scan element sign
    (bsc#1012628).
  - iio: accel: fxls8962af: Fix temperature calculation
    (bsc#1012628).
  - accel/ivpu: Improve buffer object logging (bsc#1012628).
  - accel/ivpu: Use firmware names from upstream repo (bsc#1012628).
  - accel/ivpu: Trigger device recovery on engine reset/resume
    failure (bsc#1012628).
  - accel/ivpu: Use dma_resv_lock() instead of a custom mutex
    (bsc#1012628).
  - accel/ivpu: Fix warning in ivpu_gem_bo_free() (bsc#1012628).
  - io_uring/net: only consider msg_inq if larger than 1
    (bsc#1012628).
  - dummycon: Trigger redraw when switching consoles with deferred
    takeover (bsc#1012628).
  - mm: fix uprobe pte be overwritten when expanding vma
    (bsc#1012628).
  - mm/hugetlb: unshare page tables during VMA split, not before
    (bsc#1012628).
  - mm/hugetlb: fix huge_pmd_unshare() vs GUP-fast race
    (bsc#1012628).
  - iio: imu: inv_icm42600: Fix temperature calculation
    (bsc#1012628).
  - iio: adc: ad7944: mask high bits on direct read (bsc#1012628).
  - iio: adc: ti-ads1298: Kconfig: add kfifo dependency to fix
    module build (bsc#1012628).
  - iio: adc: ad7606_spi: fix reg write value mask (bsc#1012628).
  - iio: adc: ad7173: fix compiling without gpiolib (bsc#1012628).
  - iio: adc: ad7606: fix raw read for 18-bit chips (bsc#1012628).
  - ACPICA: fix acpi operand cache leak in dswstate.c (bsc#1012628).
  - ASoC: amd: yc: Add quirk for Lenovo Yoga Pro 7 14ASP9
    (bsc#1012628).
  - clocksource: Fix the CPUs' choice in the watchdog per CPU
    verification (bsc#1012628).
  - power: supply: gpio-charger: Fix wakeup source leaks on device
    unbind (bsc#1012628).
  - power: supply: collie: Fix wakeup source leaks on device unbind
    (bsc#1012628).
  - mmc: Add quirk to disable DDR50 tuning (bsc#1012628).
  - ACPICA: Avoid sequence overread in call to strncmp()
    (bsc#1012628).
  - EDAC/igen6: Skip absent memory controllers (bsc#1012628).
  - ASoC: tas2770: Power cycle amp on ISENSE/VSENSE change
    (bsc#1012628).
  - ASoC: intel/sdw_utils: Assign initial value in
    asoc_sdw_rt_amp_spk_rtd_init() (bsc#1012628).
  - ACPI: bus: Bail out if acpi_kobj registration fails
    (bsc#1012628).
  - ALSA: hda/realtek: Add support for Acer Helios Laptops using
    CS35L41 HDA (bsc#1012628).
  - ACPI: Add missing prototype for non CONFIG_SUSPEND/CONFIG_X86
    case (bsc#1012628).
  - ACPICA: fix acpi parse and parseext cache leaks (bsc#1012628).
  - ACPICA: Apply pack(1) to union aml_resource (bsc#1012628).
  - ALSA: hda: cs35l41: Fix swapped l/r audio channels for Acer
    Helios laptops (bsc#1012628).
  - power: supply: bq27xxx: Retrieve again when busy (bsc#1012628).
  - ASoC: simple-card-utils: fixup dlc->xxx handling for error case
    (bsc#1012628).
  - pmdomain: core: Reset genpd->states to avoid freeing invalid
    data (bsc#1012628).
  - ACPICA: utilities: Fix overflow check in vsnprintf()
    (bsc#1012628).
  - platform-msi: Add msi_remove_device_irq_domain() in
    platform_device_msi_free_irqs_all() (bsc#1012628).
  - ASoC: tegra210_ahub: Add check to of_device_get_match_data()
    (bsc#1012628).
  - Make 'cc-option' work correctly for the -Wno-xyzzy pattern
    (bsc#1012628).
  - gpiolib: of: Add polarity quirk for s5m8767 (bsc#1012628).
  - PM: runtime: fix denying of auto suspend in
    pm_suspend_timer_fn() (bsc#1012628).
  - power: supply: max17040: adjust thermal channel scaling
    (bsc#1012628).
  - ACPI: battery: negate current when discharging (bsc#1012628).
  - drm/amd/display: disable DPP RCG before DPP CLK enable
    (bsc#1012628).
  - drm/bridge: select DRM_KMS_HELPER for AUX_BRIDGE (bsc#1012628).
  - drm/amdgpu/gfx6: fix CSIB handling (bsc#1012628).
  - media: imx-jpeg: Check decoding is ongoing for motion-jpeg
    (bsc#1012628).
  - drm/rockchip: inno-hdmi: Fix video timing HSYNC/VSYNC polarity
    setting for rk3036 (bsc#1012628).
  - drm/dp: add option to disable zero sized address only
    transactions (bsc#1012628).
  - sunrpc: update nextcheck time when adding new cache entries
    (bsc#1012628).
  - drm/amdgpu: Fix API status offset for MES queue reset
    (bsc#1012628).
  - drm/amd/display: DCN32 null data check (bsc#1012628).
  - drm/xe: Fix CFI violation when accessing sysfs files
    (bsc#1012628).
  - drm/bridge: analogix_dp: Add irq flag IRQF_NO_AUTOEN instead
    of calling disable_irq() (bsc#1012628).
  - workqueue: Fix race condition in wq->stats incrementation
    (bsc#1012628).
  - drm/panel/sharp-ls043t1le01: Use _multi variants (bsc#1012628).
  - exfat: fix double free in delayed_free (bsc#1012628).
  - drm/bridge: anx7625: enable HPD interrupts (bsc#1012628).
  - drm/panthor: Don't update MMU_INT_MASK in
    panthor_mmu_irq_handler() (bsc#1012628).
  - drm/bridge: anx7625: change the gpiod_set_value API
    (bsc#1012628).
  - exfat: do not clear volume dirty flag during sync (bsc#1012628).
  - drm/amdkfd: Drop workaround for GC v9.4.3 revID 0 (bsc#1012628).
  - drm/amdgpu/gfx11: fix CSIB handling (bsc#1012628).
  - media: nuvoton: npcm-video: Fix stuck due to no video signal
    error (bsc#1012628).
  - drm/nouveau: fix hibernate on disabled GPU (bsc#1012628).
  - media: i2c: imx334: Enable runtime PM before sub-device
    registration (bsc#1012628).
  - drm/amd/display: Avoid divide by zero by initializing dummy
    pitch to 1 (bsc#1012628).
  - drm/nouveau/gsp: fix rm shutdown wait condition (bsc#1012628).
  - drm/msm/hdmi: add runtime PM calls to DDC transfer function
    (bsc#1012628).
  - media: uapi: v4l: Fix V4L2_TYPE_IS_OUTPUT condition
    (bsc#1012628).
  - drm/amd/display: Add NULL pointer checks in
    dm_force_atomic_commit() (bsc#1012628).
  - media: verisilicon: Enable wide 4K in AV1 decoder (bsc#1012628).
  - drm/amd/display: Skip to enable dsc if it has been off
    (bsc#1012628).
  - drm/amdgpu: Add basic validation for RAS header (bsc#1012628).
  - dlm: use SHUT_RDWR for SCTP shutdown (bsc#1012628).
  - drm/msm/a6xx: Increase HFI response timeout (bsc#1012628).
  - drm/amd/display: Do Not Consider DSC if Valid Config Not Found
    (bsc#1012628).
  - media: i2c: imx334: Fix runtime PM handling in remove function
    (bsc#1012628).
  - drm/amdgpu/gfx10: fix CSIB handling (bsc#1012628).
  - drm: panel-orientation-quirks: Add ZOTAC Gaming Zone
    (bsc#1012628).
  - media: ccs-pll: Better validate VT PLL branch (bsc#1012628).
  - media: uapi: v4l: Change V4L2_TYPE_IS_CAPTURE condition
    (bsc#1012628).
  - drm/amd/display: fix zero value for APU watermark_c
    (bsc#1012628).
  - drm/ttm/tests: fix incorrect assert in ttm_bo_unreserve_bulk()
    (bsc#1012628).
  - drm/amdgpu/gfx7: fix CSIB handling (bsc#1012628).
  - drm/xe: Use copy_from_user() instead of __copy_from_user()
    (bsc#1012628).
  - ext4: ext4: unify EXT4_EX_NOCACHE|NOFAIL flags in
    ext4_ext_remove_space() (bsc#1012628).
  - jfs: fix array-index-out-of-bounds read in add_missing_indices
    (bsc#1012628).
  - media: ti: cal: Fix wrong goto on error path (bsc#1012628).
  - drm/xe/vf: Fix guc_info debugfs for VFs (bsc#1012628).
  - drm/amd/display: Update IPS sequential_ono requirement checks
    (bsc#1012628).
  - drm/amd/display: Correct SSC enable detection for DCN351
    (bsc#1012628).
  - drm/amd/display: Fix Vertical Interrupt definitions for dcn32,
    dcn401 (bsc#1012628).
  - media: cec: extron-da-hd-4k-plus: Fix Wformat-truncation
    (bsc#1012628).
  - media: rkvdec: Initialize the m2m context before the controls
    (bsc#1012628).
  - drm/amdgpu: fix MES GFX mask (bsc#1012628).
  - drm/amdgpu: Disallow partition query during reset (bsc#1012628).
  - sunrpc: fix race in cache cleanup causing stale nextcheck time
    (bsc#1012628).
  - ext4: prevent stale extent cache entries caused by concurrent
    get es_cache (bsc#1012628).
  - drm/amdgpu/gfx8: fix CSIB handling (bsc#1012628).
  - drm/amd/display: disable EASF narrow filter sharpening
    (bsc#1012628).
  - drm/amdgpu/gfx9: fix CSIB handling (bsc#1012628).
  - drm/amd/display: Fix VUpdate offset calculations for dcn401
    (bsc#1012628).
  - jfs: Fix null-ptr-deref in jfs_ioc_trim (bsc#1012628).
  - drm/amd/pm: Reset SMU v13.0.x custom settings (bsc#1012628).
  - drm/amd/display: Correct prefetch calculation (bsc#1012628).
  - drm/amd/display: Restructure DMI quirks (bsc#1012628).
  - media: renesas: vsp1: Fix media bus code setup on RWPF source
    pad (bsc#1012628).
  - drm/msm/dpu: don't select single flush for active CTL blocks
    (bsc#1012628).
  - drm/amdkfd: Set SDMA_RLCx_IB_CNTL/SWITCH_INSIDE_IB
    (bsc#1012628).
  - media: tc358743: ignore video while HPD is low (bsc#1012628).
  - media: platform: exynos4-is: Add hardware sync wait to
    fimc_is_hw_change_mode() (bsc#1012628).
  - media: i2c: imx334: update mode_3840x2160_regs array
    (bsc#1012628).
  - nios2: force update_mmu_cache on spurious
    tlb-permission--related pagefaults (bsc#1012628).
  - media: rcar-vin: Fix stride setting for RAW8 formats
    (bsc#1012628).
  - drm/rockchip: vop2: Make overlay layer select register
    configuration take effect by vsync (bsc#1012628).
  - drm/amdgpu: Add indirect L1_TLB_CNTL reg programming for VFs
    (bsc#1012628).
  - drm/xe/uc: Remove static from loop variable (bsc#1012628).
  - media: qcom: venus: Fix uninitialized variable warning
    (bsc#1012628).
  - drm/panel: simple: Add POWERTIP PH128800T004-ZZA01 panel entry
    (bsc#1012628).
  - net: macb: Check return value of dma_set_mask_and_coherent()
    (bsc#1012628).
  - net: lan743x: Modify the EEPROM and OTP size for PCI1xxxx
    devices (bsc#1012628).
  - tipc: use kfree_sensitive() for aead cleanup (bsc#1012628).
  - f2fs: use vmalloc instead of kvmalloc in .init_{,de}compress_ctx
    (bsc#1012628).
  - bpf: Check rcu_read_lock_trace_held() in
    bpf_map_lookup_percpu_elem() (bsc#1012628).
  - Bluetooth: btusb: Add new VID/PID 13d3/3584 for MT7922
    (bsc#1012628).
  - i2c: designware: Invoke runtime suspend on quick slave
    re-registration (bsc#1012628).
  - wifi: mt76: mt7996: drop fragments with multicast or broadcast
    RA (bsc#1012628).
  - emulex/benet: correct command version selection in
    be_cmd_get_stats() (bsc#1012628).
  - Bluetooth: btusb: Add new VID/PID 13d3/3630 for MT7925
    (bsc#1012628).
  - Bluetooth: btusb: Add RTL8851BE device 0x0bda:0xb850
    (bsc#1012628).
  - Bluetooth: btmrvl_sdio: Fix wakeup source leaks on device unbind
    (bsc#1012628).
  - Bluetooth: btmtksdio: Fix wakeup source leaks on device unbind
    (bsc#1012628).
  - wifi: mt76: mt7996: fix uninitialized symbol warning
    (bsc#1012628).
  - wifi: mt76: mt76x2: Add support for LiteOn WN4516R,WN4519R
    (bsc#1012628).
  - wifi: mt76: mt7921: add 160 MHz AP for mt7922 device
    (bsc#1012628).
  - wifi: mt76: mt7925: introduce thermal protection (bsc#1012628).
  - wifi: mac80211: validate SCAN_FLAG_AP in scan request during
    MLO (bsc#1012628).
  - sctp: Do not wake readers in __sctp_write_space() (bsc#1012628).
  - libbpf/btf: Fix string handling to support multi-split BTF
    (bsc#1012628).
  - cpufreq: scmi: Skip SCMI devices that aren't used by the CPUs
    (bsc#1012628).
  - i2c: tegra: check msg length in SMBUS block read (bsc#1012628).
  - i2c: pasemi: Enable the unjam machine (bsc#1012628).
  - i2c: npcm: Add clock toggle recovery (bsc#1012628).
  - clk: qcom: gcc-x1e80100: Set FORCE MEM CORE for UFS clocks
    (bsc#1012628).
  - clk: qcom: gcc: Set FORCE_MEM_CORE_ON for gcc_ufs_axi_clk for
    8650/8750 (bsc#1012628).
  - net: dlink: add synchronization for stats update (bsc#1012628).
  - net: phy: mediatek: do not require syscon compatible for pio
    property (bsc#1012628).
  - wifi: ath12k: fix macro definition HAL_RX_MSDU_PKT_LENGTH_GET
    (bsc#1012628).
  - wifi: ath12k: fix a possible dead lock caused by ab->base_lock
    (bsc#1012628).
  - wifi: ath11k: Fix QMI memory reuse logic (bsc#1012628).
  - iommu/amd: Allow matching ACPI HID devices without matching UIDs
    (bsc#1012628).
  - wifi: rtw89: leave idle mode when setting WEP encryption for
    AP mode (bsc#1012628).
  - tcp: always seek for minimal rtt in tcp_rcv_rtt_update()
    (bsc#1012628).
  - tcp: remove zero TCP TS samples for autotuning (bsc#1012628).
  - tcp: fix initial tp->rcvq_space.space value for passive TS
    enabled flows (bsc#1012628).
  - tcp: add receive queue awareness in tcp_rcv_space_adjust()
    (bsc#1012628).
  - x86/sgx: Prevent attempts to reclaim poisoned pages
    (bsc#1012628).
  - ipv4/route: Use this_cpu_inc() for stats on PREEMPT_RT
    (bsc#1012628).
  - net: page_pool: Don't recycle into cache on PREEMPT_RT
    (bsc#1012628).
  - xfrm: validate assignment of maximal possible SEQ number
    (bsc#1012628).
  - net: phy: marvell-88q2xxx: Enable temperature measurement in
    probe again (bsc#1012628).
  - net: atlantic: generate software timestamp just before the
    doorbell (bsc#1012628).
  - pinctrl: armada-37xx: propagate error from
    armada_37xx_pmx_set_by_name() (bsc#1012628).
  - pinctrl: armada-37xx: propagate error from
    armada_37xx_gpio_get_direction() (bsc#1012628).
  - bpf: Pass the same orig_call value to trampoline functions
    (bsc#1012628).
  - net: stmmac: generate software timestamp just before the
    doorbell (bsc#1012628).
  - pinctrl: armada-37xx: propagate error from
    armada_37xx_pmx_gpio_set_direction() (bsc#1012628).
  - libbpf: Check bpf_map_skeleton link for NULL (bsc#1012628).
  - pinctrl: armada-37xx: propagate error from
    armada_37xx_gpio_get() (bsc#1012628).
  - net/mlx5: HWS, fix counting of rules in the matcher
    (bsc#1012628).
  - net: mlx4: add SOF_TIMESTAMPING_TX_SOFTWARE flag when getting
    ts info (bsc#1012628).
  - net: vertexcom: mse102x: Return code for mse102x_rx_pkt_spi
    (bsc#1012628).
  - wifi: rtw88: rtw8822bu VID/PID for BUFFALO WI-U2-866DM
    (bsc#1012628).
  - wifi: iwlwifi: mld: call thermal exit without wiphy lock held
    (bsc#1012628).
  - wireless: purelifi: plfxlc: fix memory leak in
    plfxlc_usb_wreq_asyn() (bsc#1012628).
  - wifi: mac80211: do not offer a mesh path if forwarding is
    disabled (bsc#1012628).
  - bpftool: Fix cgroup command to only show cgroup bpf programs
    (bsc#1012628).
  - clk: rockchip: rk3036: mark ddrphy as critical (bsc#1012628).
  - hid-asus: check ROG Ally MCU version and warn (bsc#1012628).
  - ipmi:ssif: Fix a shutdown race (bsc#1012628).
  - rtla: Define __NR_sched_setattr for LoongArch (bsc#1012628).
  - wifi: iwlwifi: mvm: fix beacon CCK flag (bsc#1012628).
  - wifi: iwlwifi: dvm: pair transport op-mode enter/leave
    (bsc#1012628).
  - wifi: iwlwifi: mld: check for NULL before referencing a pointer
    (bsc#1012628).
  - f2fs: fix to bail out in get_new_segment() (bsc#1012628).
  - tracing: Only return an adjusted address if it matches the
    kernel address (bsc#1012628).
  - netfilter: nft_set_pipapo: clamp maximum map bucket size to
    INT_MAX (bsc#1012628).
  - libbpf: Add identical pointer detection to btf_dedup_is_equiv()
    (bsc#1012628).
  - scsi: lpfc: Fix lpfc_check_sli_ndlp() handling for GEN_REQUEST64
    commands (bsc#1012628).
  - scsi: smartpqi: Add new PCI IDs (bsc#1012628).
  - iommu/amd: Ensure GA log notifier callbacks finish running
    before module unload (bsc#1012628).
  - wifi: iwlwifi: pcie: make sure to lock rxq->read (bsc#1012628).
  - wifi: rtw89: 8922a: fix TX fail with wrong VCO setting
    (bsc#1012628).
  - wifi: mac80211_hwsim: Prevent tsf from setting if beacon is
    disabled (bsc#1012628).
  - netdevsim: Mark NAPI ID on skb in nsim_rcv (bsc#1012628).
  - net/mlx5: HWS, Fix IP version decision (bsc#1012628).
  - bpf: Use proper type to calculate bpf_raw_tp_null_args.mask
    index (bsc#1012628).
  - wifi: mac80211: VLAN traffic in multicast path (bsc#1012628).
  - Revert "mac80211: Dynamically set CoDel parameters per station"
    (bsc#1012628).
  - wifi: iwlwifi: Add missing MODULE_FIRMWARE for Qu-c0-jf-b0
    (bsc#1012628).
  - net: bridge: mcast: update multicast contex when vlan state
    is changed (bsc#1012628).
  - net: bridge: mcast: re-implement br_multicast_{enable,
    disable}_port functions (bsc#1012628).
  - vxlan: Do not treat dst cache initialization errors as fatal
    (bsc#1012628).
  - bnxt_en: Remove unused field "ref_count" in struct bnxt_ulp
    (bsc#1012628).
  - vxlan: Add RCU read-side critical sections in the Tx path
    (bsc#1012628).
  - wifi: ath12k: correctly handle mcast packets for clients
    (bsc#1012628).
  - wifi: ath12k: using msdu end descriptor to check for rx
    multicast packets (bsc#1012628).
  - net: ethernet: ti: am65-cpsw: handle -EPROBE_DEFER
    (bsc#1012628).
  - software node: Correct a OOB check in
    software_node_get_reference_args() (bsc#1012628).
  - wifi: ath12k: make assoc link associate first (bsc#1012628).
  - isofs: fix Y2038 and Y2156 issues in Rock Ridge TF entry
    (bsc#1012628).
  - pinctrl: mcp23s08: Reset all pins to input at probe
    (bsc#1012628).
  - wifi: ath12k: fix failed to set mhi state error during reboot
    with hardware grouping (bsc#1012628).
  - scsi: lpfc: Use memcpy() for BIOS version (bsc#1012628).
  - sock: Correct error checking condition for
    (assign|release)_proto_idx() (bsc#1012628).
  - i40e: fix MMIO write access to an invalid page in i40e_clear_hw
    (bsc#1012628).
  - ixgbe: Fix unreachable retry logic in combined and byte I2C
    write functions (bsc#1012628).
  - RDMA/hns: initialize db in update_srq_db() (bsc#1012628).
  - ice: fix check for existing switch rule (bsc#1012628).
  - usbnet: asix AX88772: leave the carrier control to phylink
    (bsc#1012628).
  - f2fs: fix to set atomic write status more clear (bsc#1012628).
  - bpf, sockmap: Fix data lost during EAGAIN retries (bsc#1012628).
  - net: ethernet: cortina: Use TOE/TSO on all TCP (bsc#1012628).
  - octeontx2-pf: Add error log forcn10k_map_unmap_rq_policer()
    (bsc#1012628).
  - wifi: rtw88: Set AMPDU factor to hardware for RTL8814A
    (bsc#1012628).
  - wifi: ath12k: Fix incorrect rates sent to firmware
    (bsc#1012628).
  - wifi: ath12k: Fix the enabling of REO queue lookup table feature
    (bsc#1012628).
  - wifi: ath12k: Fix memory leak due to multiple rx_stats
    allocation (bsc#1012628).
  - wifi: ath12k: fix link valid field initialization in the
    monitor Rx (bsc#1012628).
  - wifi: ath12k: fix incorrect CE addresses (bsc#1012628).
  - wifi: ath12k: Pass correct values of center freq1 and center
    freq2 for 160 MHz (bsc#1012628).
  - net/mlx5: HWS, Harden IP version definer checks (bsc#1012628).
  - fbcon: Make sure modelist not set on unregistered console
    (bsc#1012628).
  - wifi: iwlwifi: mld: Work around Clang loop unrolling bug
    (bsc#1012628).
  - watchdog: da9052_wdt: respect TWDMIN (bsc#1012628).
  - watchdog: stm32: Fix wakeup source leaks on device unbind
    (bsc#1012628).
  - i3c: mipi-i3c-hci: Fix handling status of i3c_hci_irq_handler()
    (bsc#1012628).
  - bus: fsl-mc: increase MC_CMD_COMPLETION_TIMEOUT_MS value
    (bsc#1012628).
  - ARM: OMAP2+: Fix l4ls clk domain handling in STANDBY
    (bsc#1012628).
  - tee: Prevent size calculation wraparound on 32-bit kernels
    (bsc#1012628).
  - Revert "bus: ti-sysc: Probe for l4_wkup and l4_cfg interconnect
    devices first" (bsc#1012628).
  - fs/xattr.c: fix simple_xattr_list() (bsc#1012628).
  - platform/x86/amd: pmc: Clear metrics table at start of cycle
    (bsc#1012628).
  - platform/x86/amd: pmf: Use device managed allocations
    (bsc#1012628).
  - platform/x86/amd: pmf: Prevent amd_pmf_tee_deinit() from
    running twice (bsc#1012628).
  - platform/x86: dell_rbu: Fix list usage (bsc#1012628).
  - platform/x86: dell_rbu: Stop overwriting data buffer
    (bsc#1012628).
  - ovl: fix debug print in case of mkdir error (bsc#1012628).
  - powerpc/vdso: Fix build of VDSO32 with pcrel (bsc#1012628).
  - powerpc/eeh: Fix missing PE bridge reconfiguration during VFIO
    EEH recovery (bsc#1012628).
  - fs: drop assert in file_seek_cur_needs_f_lock (bsc#1012628).
  - io_uring/kbuf: don't truncate end buffer for multiple buffer
    peeks (bsc#1012628).
  - io_uring/rsrc: validate buffer count with offset for cloning
    (bsc#1012628).
  - io_uring: fix task leak issue in io_wq_create() (bsc#1012628).
  - drivers/rapidio/rio_cm.c: prevent possible heap overwrite
    (bsc#1012628).
  - platform/loongarch: laptop: Get brightness setting from EC on
    probe (bsc#1012628).
  - platform/loongarch: laptop: Unregister generic_sub_drivers on
    exit (bsc#1012628).
  - platform/loongarch: laptop: Add backlight power control support
    (bsc#1012628).
  - LoongArch: vDSO: Correctly use asm parameters in syscall
    wrappers (bsc#1012628).
  - LoongArch: Avoid using $r0/$r1 as "mask" for csrxchg
    (bsc#1012628).
  - LoongArch: Fix panic caused by NULL-PMD in huge_pte_offset()
    (bsc#1012628).
  - firmware: cs_dsp: Fix OOB memory read access in KUnit test
    (ctl cache) (bsc#1012628).
  - firmware: cs_dsp: Fix OOB memory read access in KUnit test
    (wmfw info) (bsc#1012628).
  - jffs2: check that raw node were preallocated before writing
    summary (bsc#1012628).
  - jffs2: check jffs2_prealloc_raw_node_refs() result in few
    other places (bsc#1012628).
  - cifs: deal with the channel loading lag while picking channels
    (bsc#1012628).
  - cifs: serialize other channels when query server interfaces
    is pending (bsc#1012628).
  - cifs: do not disable interface polling on failure (bsc#1012628).
  - tracing: Fix regression of filter waiting a long time on RCU
    synchronization (bsc#1012628).
  - smb: improve directory cache reuse for readdir operations
    (bsc#1012628).
  - scsi: storvsc: Increase the timeouts to storvsc_timeout
    (bsc#1012628).
  - scsi: s390: zfcp: Ensure synchronous unit_add (bsc#1012628).
  - nvme: always punt polled uring_cmd end_io work to task_work
    (bsc#1012628).
  - net_sched: sch_sfq: reject invalid perturb period (bsc#1012628).
  - net: clear the dst when changing skb protocol (bsc#1012628).
  - mm: close theoretical race where stale TLB entries could linger
    (bsc#1012628).
  - udmabuf: use sgtable-based scatterlist wrappers (bsc#1012628).
  - mm/vma: reset VMA iterator on commit_merge() OOM failure
    (bsc#1012628).
  - x86/mm/pat: don't collapse pages without PSE set (bsc#1012628).
  - x86/Kconfig: only enable ROX cache in execmem when
    STRICT_MODULE_RWX is set (bsc#1012628).
  - x86/its: move its_pages array to struct mod_arch_specific
    (bsc#1012628).
  - x86/its: explicitly manage permissions for ITS pages
    (bsc#1012628).
  - Revert "mm/execmem: Unify early execmem_cache behaviour"
    (bsc#1012628).
  - x86/virt/tdx: Avoid indirect calls to TDX assembly functions
    (bsc#1012628).
  - selftests/x86: Add a test to detect infinite SIGTRAP handler
    loop (bsc#1012628).
  - ksmbd: fix null pointer dereference in destroy_previous_session
    (bsc#1012628).
  - fgraph: Do not enable function_graph tracer when setting
    funcgraph-args (bsc#1012628).
  - platform/x86: ideapad-laptop: use usleep_range() for EC polling
    (bsc#1012628).
  - Revert "platform/x86: alienware-wmi-wmax: Add G-Mode support
    to Alienware m16 R1" (bsc#1012628).
  - selinux: fix selinux_xfrm_alloc_user() to set correct ctx_len
    (bsc#1012628).
  - platform/x86/intel-uncore-freq: Fail module load when plat_info
    is NULL (bsc#1012628).
  - sched_ext, sched/core: Don't call scx_group_set_weight()
    prematurely from sched_create_group() (bsc#1012628).
  - atm: Revert atm_account_tx() if copy_from_iter_full() fails
    (bsc#1012628).
  - gpio: loongson-64bit: Correct Loongson-7A2000 ACPI GPIO access
    mode (bsc#1012628).
  - arm64: Restrict pagetable teardown to avoid false warning
    (bsc#1012628).
  - ALSA: usb-audio: Rename ALSA kcontrol PCM and PCM1 for the
    KTMicro sound card (bsc#1012628).
  - ALSA: hda/intel: Add Thinkpad E15 to PM deny list (bsc#1012628).
  - ALSA: hda/realtek - Add mute LED support for HP Victus 16-s1xxx
    and HP Victus 15-fa1xxx (bsc#1012628).
  - ALSA: hda/realtek: enable headset mic on Latitude 5420 Rugged
    (bsc#1012628).
  - ALSA: hda/realtek: Fix built-in mic on ASUS VivoBook X513EA
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Asus GU605C (bsc#1012628).
  - drm/appletbdrm: Make appletbdrm depend on X86 (bsc#1012628).
  - mm/madvise: handle madvise_lock() failure during race unwinding
    (bsc#1012628).
  - erofs: remove unused trace event erofs_destroy_inode
    (bsc#1012628).
  - nfsd: use threads array as-is in netlink interface
    (bsc#1012628).
  - io_uring/net: always use current transfer count for buffer put
    (bsc#1012628).
  - drm/xe/svm: Fix regression disallowing 64K SVM migration
    (bsc#1012628).
  - drm/v3d: Avoid NULL pointer dereference in
    `v3d_job_update_stats()` (bsc#1012628).
  - smb: fix secondary channel creation issue with kerberos by
    populating hostname when adding channels (bsc#1012628).
  - drm/msm/dp: Disable wide bus support for SDM845 (bsc#1012628).
  - drm/msm/disp: Correct porch timing for SDM845 (bsc#1012628).
  - drm/msm/dsi/dsi_phy_10nm: Fix missing initial VCO rate
    (bsc#1012628).
  - drm/msm: Fix CP_RESET_CONTEXT_STATE bitfield names
    (bsc#1012628).
  - drm/msm/a7xx: Call CP_RESET_CONTEXT_STATE (bsc#1012628).
  - drm/ssd130x: fix ssd132x_clear_screen() columns (bsc#1012628).
  - ionic: Prevent driver/fw getting out of sync on devcmd(s)
    (bsc#1012628).
  - drm/nouveau/gsp: split rpc handling out on its own
    (bsc#1012628).
  - drm/nouveau: fix a use-after-free in r535_gsp_rpc_push()
    (bsc#1012628).
  - drm/nouveau/bl: increase buffer size to avoid truncate warning
    (bsc#1012628).
  - drm/i915/pmu: Fix build error with GCOV and AutoFDO enabled
    (bsc#1012628).
  - hwmon: (occ) Rework attribute registration for stack usage
    (bsc#1012628).
  - hwmon: (occ) fix unaligned accesses (bsc#1012628).
  - hwmon: (ltc4282) avoid repeated register write (bsc#1012628).
  - pldmfw: Select CRC32 when PLDMFW is selected (bsc#1012628).
  - aoe: clean device rq_list in aoedev_downdev() (bsc#1012628).
  - net: ice: Perform accurate aRFS flow match (bsc#1012628).
  - ice: fix eswitch code memory leak in reset scenario
    (bsc#1012628).
  - e1000e: set fixed clock frequency indication for Nahum 11 and
    Nahum 13 (bsc#1012628).
  - workqueue: Initialize wq_isolated_cpumask in
    workqueue_init_early() (bsc#1012628).
  - ksmbd: add free_transport ops in ksmbd connection (bsc#1012628).
  - net: ti: icssg-prueth: Fix packet handling for XDP_TX
    (bsc#1012628).
  - net: netmem: fix skb_ensure_writable with unreadable skbs
    (bsc#1012628).
  - bnxt_en: Fix double invocation of
    bnxt_ulp_stop()/bnxt_ulp_start() (bsc#1012628).
  - bnxt_en: Add a helper function to configure MRU and RSS
    (bsc#1012628).
  - bnxt_en: Update MRU and RSS table of RSS contexts on queue reset
    (bsc#1012628).
  - ptp: allow reading of currently dialed frequency to succeed
    on free-running clocks (bsc#1012628).
  - wifi: carl9170: do not ping device which has failed to load
    firmware (bsc#1012628).
  - mpls: Use rcu_dereference_rtnl() in mpls_route_input_rcu()
    (bsc#1012628).
  - atm: atmtcp: Free invalid length skb in atmtcp_c_send()
    (bsc#1012628).
  - tcp: fix tcp_packet_delayed() for
    tcp_is_non_sack_preventing_reopen() behavior (bsc#1012628).
  - io_uring: fix potential page leak in io_sqe_buffer_register()
    (bsc#1012628).
  - drm/amdkfd: move SDMA queue reset capability check to node_show
    (bsc#1012628).
  - Octeontx2-pf: Fix Backpresure configuration (bsc#1012628).
  - tipc: fix null-ptr-deref when acquiring remote ip of ethernet
    bearer (bsc#1012628).
  - tcp: fix passive TFO socket having invalid NAPI ID
    (bsc#1012628).
  - eth: fbnic: avoid double free when failing to DMA-map FW msg
    (bsc#1012628).
  - net: lan743x: fix potential out-of-bounds write in
    lan743x_ptp_io_event_clock_get() (bsc#1012628).
  - ublk: santizize the arguments from userspace when adding a
    device (bsc#1012628).
  - drm/xe/bmg: Update Wa_16023588340 (bsc#1012628).
  - calipso: Fix null-ptr-deref in calipso_req_{set,del}attr()
    (bsc#1012628).
  - mlxbf_gige: return EPROBE_DEFER if PHY IRQ is not available
    (bsc#1012628).
  - net: atm: add lec_mutex (bsc#1012628).
  - net: atm: fix /proc/net/atm/lec handling (bsc#1012628).
  - tools: ynl: parse extack for sub-messages (bsc#1012628).
  - tools: ynl: fix mixing ops and notifications on one socket
    (bsc#1012628).
  - KVM: arm64: VHE: Synchronize restore of host debug registers
    (bsc#1012628).
  - x86/mm: Disable INVLPGB when PTI is enabled (bsc#1012628).
  - EDAC/amd64: Correct number of UMCs for family 19h models 70h-7fh
    (bsc#1012628).
  - dt-bindings: i2c: nvidia,tegra20-i2c: Specify the required
    properties (bsc#1012628).
  - perf/x86/intel: Fix crash in icl_update_topdown_event()
    (bsc#1012628).
  - smb: Log an error when close_all_cached_dirs fails
    (bsc#1012628).
  - i2c: k1: check for transfer error (bsc#1012628).
  - smb: client: fix first command failure during re-negotiation
    (bsc#1012628).
  - smb: client: fix max_sge overflow in
    smb_extract_folioq_to_rdma() (bsc#1012628).
  - EDAC/igen6: Fix NULL pointer dereference (bsc#1012628).
  - x86/its: Fix an ifdef typo in its_alloc() (bsc#1012628).
  - dm-table: check BLK_FEAT_ATOMIC_WRITES inside limits_lock
    (bsc#1012628).
  - tracing: Do not free "head" on error path of
    filter_free_subsystem_filters() (bsc#1012628).
  - Documentation: nouveau: Update GSP message queue kernel-doc
    reference (bsc#1012628).
  - perf: Fix sample vs do_exit() (bsc#1012628).
  - perf: Fix cgroup state vs ERROR (bsc#1012628).
  - perf/core: Fix WARN in perf_cgroup_switch() (bsc#1012628).
  - arm64/ptrace: Fix stack-out-of-bounds read in
    regs_get_kernel_stack_nth() (bsc#1012628).
  - scsi: elx: efct: Fix memory leak in efct_hw_parse_filter()
    (bsc#1012628).
  - RISC-V: KVM: Fix the size parameter check in SBI SFENCE calls
    (bsc#1012628).
  - RISC-V: KVM: Don't treat SBI HFENCE calls as NOPs (bsc#1012628).
  - gpio: pca953x: fix wrong error probe return value (bsc#1012628).
  - perf evsel: Missed close() when probing hybrid core PMUs
    (bsc#1012628).
  - perf test: Directory file descriptor leak (bsc#1012628).
  - x86/mm: Fix early boot use of INVPLGB (bsc#1012628).
  - mtd: spinand: Use more specific naming for the (single) read
    from cache ops (bsc#1012628).
  - mtd: spinand: Use more specific naming for the (dual output)
    read from cache ops (bsc#1012628).
  - mtd: spinand: Use more specific naming for the (dual IO)
    read from cache ops (bsc#1012628).
  - mtd: spinand: Use more specific naming for the (quad output)
    read from cache ops (bsc#1012628).
  - mtd: spinand: Use more specific naming for the (quad IO)
    read from cache ops (bsc#1012628).
  - mtd: spinand: winbond: Prevent unsupported frequencies on
    dual/quad I/O variants (bsc#1012628).
  - gpio: mlxbf3: only get IRQ for device instance 0 (bsc#1012628).
  - cifs: Remove duplicate fattr->cf_dtype assignment from
    wsl_to_fattr() function (bsc#1012628).
  - erofs: refuse crafted out-of-file-range encoded extents
    (bsc#1012628).
  - erofs: remove a superfluous check for encoded extents
    (bsc#1012628).
  - Revert "drm/amd/display: Fix VUpdate offset calculations for
    dcn401" (bsc#1012628).
  - Update config files.
  - Rename to
    patches.kernel.org/6.15.4-147-iommu-Allow-attaching-static-domains-in-iommu_.patch.
  - Rename to
    patches.kernel.org/6.15.4-164-video-screen_info-Relocate-framebuffers-behind.patch.
  - Rename to
    patches.kernel.org/6.15.4-420-wifi-ath11k-determine-PM-policy-based-on-machi.patch.
  - Rename to
    patches.kernel.org/6.15.4-486-drm-nouveau-nvkm-factor-out-current-GSP-RPC-co.patch.
  - Rename to
    patches.kernel.org/6.15.4-487-drm-nouveau-nvkm-introduce-new-GSP-reply-polic.patch.
  - Rename to
    patches.kernel.org/6.15.4-500-sunrpc-handle-SVC_GARBAGE-during-svc-auth-proc.patch.
  - Rename to
    patches.kernel.org/6.15.4-521-io_uring-sqpoll-don-t-put-task_struct-on-tctx-.patch.
  - Rename to
    patches.kernel.org/6.15.4-532-ptp-fix-breakage-after-ptp_vclock_in_use-rewor.patch.
  - Rename to
    patches.kernel.org/6.15.4-585-PCI-pciehp-Ignore-belated-Presence-Detect-Chan.patch.
  - commit aee1777
* Fri Jun 27 2025 jslaby@suse.cz
  - bridge: mcast: Fix use-after-free during router port
    configuration (git-fixes).
  - commit 0952b8c
* Tue Jun 24 2025 jslaby@suse.cz
  - Refresh
    patches.suse/drm-amd-display-Add-debugging-message-for-brightness.patch.
  - Refresh
    patches.suse/drm-amd-display-Fix-default-DC-and-AC-levels.patch.
    Update upstream info.
  - commit 0b2be4d
* Tue Jun 24 2025 jslaby@suse.cz
  - video: screen_info: Relocate framebuffers behind PCI bridges
    (bsc#1240696).
    Update to upstream version (v3).
  - commit 28b2fa0
* Mon Jun 23 2025 msuchanek@suse.de
  - Remove host-memcpy-hack.h
    This might have been usefult at some point but we have more things that
    depend on specific library versions today.
  - commit 0396c23
* Mon Jun 23 2025 msuchanek@suse.de
  - Remove compress-vmlinux.sh
    /usr/lib/rpm/brp-suse.d/brp-99-compress-vmlinux was added in
    pesign-obs-integration during SLE12 RC. This workaround can be removed.
  - commit 19caac0
* Mon Jun 23 2025 msuchanek@suse.de
  - Remove try-disable-staging-driver
    The config for linux-next is autogenerated from master config, and
    defaults filled for missing options. This is unlikely to enable any
    staging driver in the first place.
  - commit a6f21ed
* Mon Jun 23 2025 jslaby@suse.cz
  - Delete
    patches.suse/Revert-percpu-x86-enable-strict-percpu-checks-via-na.patch.
    Fixes accepted to libbpf:
    https://build.suse.de/requests/379919
    https://build.suse.de/requests/379920
    https://build.opensuse.org/requests/1283405
  - commit 5399d47
* Mon Jun 23 2025 jslaby@suse.cz
  - sunrpc: handle SVC_GARBAGE during svc auth processing as auth
    error (git-fixes).
  - commit 1a6b27d
* Sun Jun 22 2025 mkubecek@suse.cz
  - update to 6.16-rc3
  - commit 2b07604
* Sun Jun 22 2025 jslaby@suse.cz
  - Rename to
    patches.rpmify/powerpc-boot-Fix-build-with-gcc-15.patch.
    Ie. fix also kernel-vanilla.
  - commit b84d501
* Sat Jun 21 2025 jslaby@suse.cz
  - PCI: pciehp: Ignore belated Presence Detect Changed caused by
    DPC (git-fixes).
  - io_uring/sqpoll: don't put task_struct on tctx setup failure
    (git-fixes).
  - wifi: remove zero-length arrays (git-fixes).
  - ptp: fix breakage after ptp_vclock_in_use() rework (git-fixes).
  - commit 959cb8f
* Sat Jun 21 2025 jslaby@suse.cz
  - Linux 6.15.3 (bsc#1012628).
  - tools/x86/kcpuid: Fix error handling (bsc#1012628).
  - x86/idle: Remove MFENCEs for X86_BUG_CLFLUSH_MONITOR in
    mwait_idle_with_hints() and prefer_mwait_c1_over_halt()
    (bsc#1012628).
  - crypto: iaa - Do not clobber req->base.data (bsc#1012628).
  - crypto: sun8i-ce-hash - fix error handling in
    sun8i_ce_hash_run() (bsc#1012628).
  - sched: Fix trace_sched_switch(.prev_state) (bsc#1012628).
  - crypto: ecdsa - Fix enc/dec size reported by KEYCTL_PKEY_QUERY
    (bsc#1012628).
  - crypto: ecdsa - Fix NIST P521 key size reported by
    KEYCTL_PKEY_QUERY (bsc#1012628).
  - crypto: zynqmp-sha - Add locking (bsc#1012628).
  - kunit: qemu_configs: sparc: Explicitly enable CONFIG_SPARC32=y
    (bsc#1012628).
  - kunit: qemu_configs: Disable faulting tests on 32-bit SPARC
    (bsc#1012628).
  - perf/x86/amd/uncore: Remove unused 'struct amd_uncore_ctx::node'
    member (bsc#1012628).
  - perf/x86/amd/uncore: Prevent UMC counters from saturating
    (bsc#1012628).
  - gfs2: replace sd_aspace with sd_inode (bsc#1012628).
  - gfs2: gfs2_create_inode error handling fix (bsc#1012628).
  - gfs2: Move gfs2_dinode_dealloc (bsc#1012628).
  - gfs2: Move GIF_ALLOC_FAILED check out of gfs2_ea_dealloc
    (bsc#1012628).
  - gfs2: deallocate inodes in gfs2_create_inode (bsc#1012628).
  - perf/core: Fix broken throttling when max_samples_per_tick=1
    (bsc#1012628).
  - crypto: sun8i-ce-cipher - fix error handling in
    sun8i_ce_cipher_prepare() (bsc#1012628).
  - crypto: sun8i-ss - do not use sg_dma_len before calling DMA
    functions (bsc#1012628).
  - powerpc: do not build ppc_save_regs.o always (bsc#1012628).
  - powerpc/crash: Fix non-smp kexec preparation (bsc#1012628).
  - sched/core: Tweak wait_task_inactive() to force dequeue
    sched_delayed tasks (bsc#1012628).
  - x86/microcode/AMD: Do not return error when microcode update
    is not necessary (bsc#1012628).
  - selftests: coredump: Properly initialize pointer (bsc#1012628).
  - selftests: coredump: Fix test failure for slow machines
    (bsc#1012628).
  - selftests: coredump: Raise timeout to 2 minutes (bsc#1012628).
  - crypto: sun8i-ce - undo runtime PM changes during driver removal
    (bsc#1012628).
  - blk-throttle: Fix wrong tg->[bytes/io]_disp update in
    __tg_update_carryover() (bsc#1012628).
  - x86/cpu: Sanitize CPUID(0x80000000) output (bsc#1012628).
  - x86/insn: Fix opcode map (!REX2) superscript tags (bsc#1012628).
  - brd: fix aligned_sector from brd_do_discard() (bsc#1012628).
  - brd: fix discard end sector (bsc#1012628).
  - kselftest: cpufreq: Get rid of double suspend in rtcwake case
    (bsc#1012628).
  - crypto: marvell/cesa - Handle zero-length skcipher requests
    (bsc#1012628).
  - crypto: marvell/cesa - Avoid empty transfer descriptor
    (bsc#1012628).
  - erofs: fix file handle encoding for 64-bit NIDs (bsc#1012628).
  - erofs: avoid using multiple devices with different type
    (bsc#1012628).
  - powerpc/pseries/iommu: Fix kmemleak in TCE table userspace view
    (bsc#1012628).
  - btrfs: scrub: update device stats when an error is detected
    (bsc#1012628).
  - btrfs: scrub: fix a wrong error type when metadata bytenr
    mismatches (bsc#1012628).
  - btrfs: fix invalid data space release when truncating block
    in NOCOW mode (bsc#1012628).
  - btrfs: fix wrong start offset for delalloc space release during
    mmap write (bsc#1012628).
  - rcu/cpu_stall_cputime: fix the hardirq count for x86
    architecture (bsc#1012628).
  - crypto: lrw - Only add ecb if it is not already there
    (bsc#1012628).
  - crypto: xts - Only add ecb if it is not already there
    (bsc#1012628).
  - crypto: sun8i-ce - move fallback ahash_request to the end of
    the struct (bsc#1012628).
  - sched/fair: Fixup wake_up_sync() vs DELAYED_DEQUEUE
    (bsc#1012628).
  - kunit: Fix wrong parameter to kunit_deactivate_static_stub()
    (bsc#1012628).
  - gfs2: Move gfs2_trans_add_databufs (bsc#1012628).
  - gfs2: Don't start unnecessary transactions during log flush
    (bsc#1012628).
  - crypto: api - Redo lookup on EEXIST (bsc#1012628).
  - ACPICA: exserial: don't forget to handle FFixedHW opregions
    for reading (bsc#1012628).
  - ASoC: tas2764: Reinit cache on part reset (bsc#1012628).
  - ASoC: tas2764: Enable main IRQs (bsc#1012628).
  - ASoC: mediatek: mt8195: Set ETDM1/2 IN/OUT to COMP_DUMMY()
    (bsc#1012628).
  - EDAC/skx_common: Fix general protection fault (bsc#1012628).
  - EDAC/{skx_common,i10nm}: Fix the loss of saved RRL for HBM
    pseudo channel 0 (bsc#1012628).
  - spi: tegra210-quad: Fix X1_X2_X4 encoding and support x4
    transfers (bsc#1012628).
  - spi: tegra210-quad: remove redundant error handling code
    (bsc#1012628).
  - spi: tegra210-quad: modify chip select (CS) deactivation
    (bsc#1012628).
  - power: reset: at91-reset: Optimize at91_reset() (bsc#1012628).
  - PM: EM: Fix potential division-by-zero error in
    em_compute_costs() (bsc#1012628).
  - power: supply: max77705: Fix workqueue error handling in probe
    (bsc#1012628).
  - platform/chrome: cros_ec_typec: Set Pin Assignment E in DP
    PORT VDO (bsc#1012628).
  - ASoC: SOF: ipc4-pcm: Adjust pipeline_list->pipelines allocation
    type (bsc#1012628).
  - ASoC: Intel: avs: Fix kcalloc() sizes (bsc#1012628).
  - ASoC: SOF: amd: add missing acp descriptor field (bsc#1012628).
  - PM: wakeup: Delete space in the end of string shown by
    pm_show_wakelocks() (bsc#1012628).
  - ACPI: resource: fix a typo for MECHREVO in
    irq1_edge_low_force_override[] (bsc#1012628).
  - PM: runtime: Add new devm functions (bsc#1012628).
  - spi: atmel-quadspi: Fix unbalanced pm_runtime by using devm_
    API (bsc#1012628).
  - x86/mtrr: Check if fixed-range MTRRs exist in
    mtrr_save_fixed_ranges() (bsc#1012628).
  - PM: sleep: Print PM debug messages during hibernation
    (bsc#1012628).
  - spi: spi-qpic-snand: use kmalloc() for OOB buffer allocation
    (bsc#1012628).
  - spi: spi-qpic-snand: validate user/chip specific ECC properties
    (bsc#1012628).
  - thermal/drivers/mediatek/lvts: Fix debugfs unregister on failure
    (bsc#1012628).
  - ACPI: OSI: Stop advertising support for "3.0 _SCP Extensions"
    (bsc#1012628).
  - ACPI: thermal: Execute _SCP before reading trip points
    (bsc#1012628).
  - spi: sh-msiof: Fix maximum DMA transfer size (bsc#1012628).
  - ASoC: apple: mca: Constrain channels according to TDM mask
    (bsc#1012628).
  - EDAC/bluefield: Don't use bluefield_edac_readl() result on error
    (bsc#1012628).
  - ALSA: core: fix up bus match const issues (bsc#1012628).
  - ACPI: platform_profile: Avoid initializing on non-ACPI platforms
    (bsc#1012628).
  - drm/vmwgfx: Add seqno waiter for sync_files (bsc#1012628).
  - drm/vmwgfx: Add error path for xa_store in
    vmw_bo_add_detached_resource (bsc#1012628).
  - drm: xlnx: zynqmp_dpsub: fix Kconfig dependencies for ASoC
    (bsc#1012628).
  - drm/ci: fix merge request rules (bsc#1012628).
  - drm/vmwgfx: Fix dumb buffer leak (bsc#1012628).
  - drm/vc4: hdmi: Call HDMI hotplug helper on disconnect
    (bsc#1012628).
  - drm/xe/d3cold: Set power state to D3Cold during s2idle/s3
    (bsc#1012628).
  - drm/vc4: tests: Use return instead of assert (bsc#1012628).
  - drm/vc4: tests: Stop allocating the state in test init
    (bsc#1012628).
  - drm/vc4: tests: Retry pv-muxing tests when EDEADLK
    (bsc#1012628).
  - drm/amd/pp: Fix potential NULL pointer dereference in
    atomctrl_initialize_mc_reg_table (bsc#1012628).
  - media: rkvdec: Fix frame size enumeration (bsc#1012628).
  - arm64/fpsimd: Avoid RES0 bits in the SME trap handler
    (bsc#1012628).
  - arm64/fpsimd: Discard stale CPU state when handling SME traps
    (bsc#1012628).
  - arm64/fpsimd: Don't corrupt FPMR when streaming mode changes
    (bsc#1012628).
  - arm64/fpsimd: Avoid clobbering kernel FPSIMD state with SMSTOP
    (bsc#1012628).
  - arm64/fpsimd: Reset FPMR upon exec() (bsc#1012628).
  - arm64/fpsimd: Fix merging of FPSIMD state during signal return
    (bsc#1012628).
  - drm/panthor: Fix GPU_COHERENCY_ACE[_LITE] definitions
    (bsc#1012628).
  - drm/panthor: Call panthor_gpu_coherency_init() after PM resume()
    (bsc#1012628).
  - drm/panthor: Update panthor_mmu::irq::mask when needed
    (bsc#1012628).
  - accel/amdxdna: Fix incorrect size of ERT_START_NPU commands
    (bsc#1012628).
  - drm/panthor: Fix the panthor_gpu_coherency_init() error path
    (bsc#1012628).
  - perf: arm-ni: Unregister PMUs on probe failure (bsc#1012628).
  - perf: arm-ni: Fix missing platform_set_drvdata() (bsc#1012628).
  - drm/amdgpu: Refine Cleaner Shader MEC firmware version for
    GFX10.1.x GPUs (bsc#1012628).
  - drm/panel: samsung-sofef00: Drop s6e3fc2x01 support
    (bsc#1012628).
  - drm/bridge: lt9611uxc: Fix an error handling path in
    lt9611uxc_probe() (bsc#1012628).
  - drm/v3d: Associate a V3D tech revision to all supported devices
    (bsc#1012628).
  - drm/v3d: fix client obtained from axi_ids on V3D 4.1
    (bsc#1012628).
  - drm/v3d: client ranges from axi_ids are different with V3D 7.1
    (bsc#1012628).
  - fs/ntfs3: handle hdr_first_de() return value (bsc#1012628).
  - fs/ntfs3: Add missing direct_IO in ntfs_aops_cmpr (bsc#1012628).
  - kunit/usercopy: Disable u64 test on 32-bit SPARC (bsc#1012628).
  - watchdog: exar: Shorten identity name to fit correctly
    (bsc#1012628).
  - m68k: mac: Fix macintosh_config for Mac II (bsc#1012628).
  - firmware: psci: Fix refcount leak in psci_dt_init (bsc#1012628).
  - arm64: Support ARM64_VA_BITS=52 when setting
    ARCH_MMAP_RND_BITS_MAX (bsc#1012628).
  - arm64/fpsimd: Avoid warning when sve_to_fpsimd() is unused
    (bsc#1012628).
  - selftests/seccomp: fix syscall_restart test for arm compat
    (bsc#1012628).
  - drm/msm/dpu: enable SmartDMA on SM8150 (bsc#1012628).
  - drm/msm/dpu: enable SmartDMA on SC8180X (bsc#1012628).
  - drm/msm/dpu: remove DSC feature bit for PINGPONG on MSM8937
    (bsc#1012628).
  - drm/msm/dpu: remove DSC feature bit for PINGPONG on MSM8917
    (bsc#1012628).
  - drm/msm/dpu: remove DSC feature bit for PINGPONG on MSM8953
    (bsc#1012628).
  - drm: rcar-du: Fix memory leak in rcar_du_vsps_init()
    (bsc#1012628).
  - drm/vkms: Adjust vkms_state->active_planes allocation type
    (bsc#1012628).
  - drm/tegra: rgb: Fix the unbound reference count (bsc#1012628).
  - drm/amd/display: Don't check for NULL divisor in fixpt code
    (bsc#1012628).
  - firmware: SDEI: Allow sdei initialization without ACPI_APEI_GHES
    (bsc#1012628).
  - kselftest/arm64: fp-ptrace: Fix expected FPMR value when
    PSTATE.SM is changed (bsc#1012628).
  - overflow: Fix direct struct member initialization in
    _DEFINE_FLEX() (bsc#1012628).
  - scsi: qedf: Use designated initializer for struct
    qed_fcoe_cb_ops (bsc#1012628).
  - media: synopsys: hdmirx: Renamed frame_idx to sequence
    (bsc#1012628).
  - media: synopsys: hdmirx: Count dropped frames (bsc#1012628).
  - perf/amlogic: Replace smp_processor_id() with
    raw_smp_processor_id() in meson_ddr_pmu_create() (bsc#1012628).
  - selftests/seccomp: fix negative_ENOSYS tracer tests on arm32
    (bsc#1012628).
  - drm/msm/a6xx: Disable rgb565_predicator on Adreno 7c3
    (bsc#1012628).
  - drm/msm/dp: Fix support of LTTPR initialization (bsc#1012628).
  - drm/msm/dp: Account for LTTPRs capabilities (bsc#1012628).
  - drm/msm/dp: Prepare for link training per-segment for LTTPRs
    (bsc#1012628).
  - drm/i915/dp_mst: Use the correct connector while computing
    the link BPP limit on MST (bsc#1012628).
  - drm/mediatek: mtk_drm_drv: Fix kobject put for mtk_mutex device
    ptr (bsc#1012628).
  - drm/mediatek: Fix kobject put for component sub-drivers
    (bsc#1012628).
  - drm/mediatek: mtk_drm_drv: Unbind secondary mmsys components
    on err (bsc#1012628).
  - media: verisilicon: Free post processor buffers on error
    (bsc#1012628).
  - svcrdma: Reduce the number of rdma_rw contexts per-QP
    (bsc#1012628).
  - xen/x86: fix initial memory balloon target (bsc#1012628).
  - drm/xe/guc: Refactor GuC debugfs initialization (bsc#1012628).
  - drm/xe/guc: Don't expose GuC privileged debugfs files if VF
    (bsc#1012628).
  - drm/xe/guc: Make creation of SLPC debugfs files conditional
    (bsc#1012628).
  - drm/panic: clean Clippy warning (bsc#1012628).
  - drm/panic: Use a decimal fifo to avoid u64 by u64 divide
    (bsc#1012628).
  - wifi: ath12k: fix NULL access in assign channel context handler
    (bsc#1012628).
  - wifi: ath11k: fix node corruption in ar->arvifs list
    (bsc#1012628).
  - libbpf: Fix implicit memfd_create() for bionic (bsc#1012628).
  - wifi: ath12k: Fix memory leak during vdev_id mismatch
    (bsc#1012628).
  - wifi: ath12k: Fix memory corruption during MLO multicast tx
    (bsc#1012628).
  - wifi: ath12k: Fix invalid memory access while forming 802.11
    header (bsc#1012628).
  - IB/cm: use rwlock for MAD agent lock (bsc#1012628).
  - bpf: Check link_create.flags parameter for multi_kprobe
    (bsc#1012628).
  - bpf: Check link_create.flags parameter for multi_uprobe
    (bsc#1012628).
  - selftests/bpf: Fix bpf_nf selftest failure (bsc#1012628).
  - bpf: fix ktls panic with sockmap (bsc#1012628).
  - bpf, sockmap: fix duplicated data transmission (bsc#1012628).
  - bpf, sockmap: Fix panic when calling skb_linearize
    (bsc#1012628).
  - f2fs: zone: fix to avoid inconsistence in between SIT and SSA
    (bsc#1012628).
  - net: phy: mediatek: permit to compile test GE SOC PHY driver
    (bsc#1012628).
  - wifi: ath12k: fix cleanup path after mhi init (bsc#1012628).
  - wifi: ath12k: Resolve multicast packet drop by populating
    key_cipher in ath12k_install_key() (bsc#1012628).
  - wifi: ath12k: Fix WMI tag for EHT rate in peer assoc
    (bsc#1012628).
  - wifi: ath12k: Fix buffer overflow in debugfs (bsc#1012628).
  - wifi: ath12k: fix SLUB BUG - Object already free in
    ath12k_reg_free() (bsc#1012628).
  - wifi: ath12k: fix ATH12K_FLAG_REGISTERED flag handling
    (bsc#1012628).
  - f2fs: clean up unnecessary indentation (bsc#1012628).
  - f2fs: prevent the current section from being selected as a
    victim during GC (bsc#1012628).
  - f2fs: fix to do sanity check on sbi->total_valid_block_count
    (bsc#1012628).
  - page_pool: Move pp_magic check into helper functions
    (bsc#1012628).
  - page_pool: Track DMA-mapped pages and unmap them when destroying
    the pool (bsc#1012628).
  - net/mlx5: HWS, Fix matcher action template attach (bsc#1012628).
  - pinctrl: qcom: tlmm-test: Fix potential null dereference in
    tlmm kunit test (bsc#1012628).
  - net: ncsi: Fix GCPS 64-bit member variables (bsc#1012628).
  - libbpf: Fix buffer overflow in bpf_object__init_prog
    (bsc#1012628).
  - net/mlx5: Avoid using xso.real_dev unnecessarily (bsc#1012628).
  - xfrm: Use xdo.dev instead of xdo.real_dev (bsc#1012628).
  - xfrm: Add explicit dev to .xdo_dev_state_{add,delete,free}
    (bsc#1012628).
  - bonding: Mark active offloaded xfrm_states (bsc#1012628).
  - bonding: Fix multiple long standing offload races (bsc#1012628).
  - wifi: rtw88: sdio: map mgmt frames to queue TX_DESC_QSEL_MGMT
    (bsc#1012628).
  - wifi: rtw88: sdio: call rtw_sdio_indicate_tx_status
    unconditionally (bsc#1012628).
  - wifi: rtw88: do not ignore hardware read error during DPK
    (bsc#1012628).
  - wifi: ath12k: Handle error cases during extended skb allocation
    (bsc#1012628).
  - wifi: ath12k: fix invalid access to memory (bsc#1012628).
  - wifi: ath12k: Add MSDU length validation for TKIP MIC error
    (bsc#1012628).
  - wifi: ath12k: Fix the QoS control field offset to build QoS
    header (bsc#1012628).
  - wifi: ath12k: Add extra TLV tag parsing support in monitor Rx
    path (bsc#1012628).
  - wifi: ath12k: Avoid fetch Error bitmap and decap format from
    Rx TLV (bsc#1012628).
  - wifi: ath12k: Replace band define G with GHZ where appropriate
    (bsc#1012628).
  - wifi: ath12k: change the status update in the monitor Rx
    (bsc#1012628).
  - wifi: ath12k: add rx_info to capture required field from rx
    descriptor (bsc#1012628).
  - wifi: ath12k: replace the usage of rx desc with rx_info
    (bsc#1012628).
  - wifi: ath12k: fix wrong handling of CCMP256 and GCMP ciphers
    (bsc#1012628).
  - wifi: ath12k: Prevent sending WMI commands to firmware during
    firmware crash (bsc#1012628).
  - wifi: ath12k: fix node corruption in ar->arvifs list
    (bsc#1012628).
  - RDMA/rxe: Fix "trying to register non-static key in
    rxe_qp_do_cleanup" bug (bsc#1012628).
  - RDMA/hns: Include hnae3.h in hns_roce_hw_v2.h (bsc#1012628).
  - scsi: hisi_sas: Call I_T_nexus after soft reset for SATA disk
    (bsc#1012628).
  - libbpf: Fix event name too long error (bsc#1012628).
  - wifi: iwlwifi: re-add IWL_AMSDU_8K case (bsc#1012628).
  - libbpf: Remove sample_period init in perf_buffer (bsc#1012628).
  - Use thread-safe function pointer in libbpf_print (bsc#1012628).
  - iommu: ipmmu-vmsa: avoid Wformat-security warning (bsc#1012628).
  - iommu/io-pgtable-arm: dynamically allocate selftest device
    struct (bsc#1012628).
  - iommu: Protect against overflow in iommu_pgsize() (bsc#1012628).
  - bonding: assign random address if device address is same as bond
    (bsc#1012628).
  - f2fs: clean up w/ fscrypt_is_bounce_page() (bsc#1012628).
  - f2fs: fix to detect gcing page in f2fs_is_cp_guaranteed()
    (bsc#1012628).
  - f2fs: zone: fix to calculate first_zoned_segno correctly
    (bsc#1012628).
  - scsi: lpfc: Avoid potential ndlp use-after-free in
    dev_loss_tmo_callbk (bsc#1012628).
  - scsi: smartpqi: Fix smp_processor_id() call trace for
    preemptible kernels (bsc#1012628).
  - crypto/krb5: Fix change to use SG miter to use offset
    (bsc#1012628).
  - selftests/bpf: Fix kmem_cache iterator draining (bsc#1012628).
  - libbpf: Use proper errno value in linker (bsc#1012628).
  - bpf: Allow XDP dev-bound programs to perform XDP_REDIRECT into
    maps (bsc#1012628).
  - netfilter: bridge: Move specific fragmented packet to slow_path
    instead of dropping it (bsc#1012628).
  - netfilter: nft_quota: match correctly when the quota just
    depleted (bsc#1012628).
  - netfilter: nft_set_pipapo: prevent overflow in lookup table
    allocation (bsc#1012628).
  - IB/cm: Drop lockdep assert and WARN when freeing old msg
    (bsc#1012628).
  - RDMA/mlx5: Fix error flow upon firmware failure for RQ
    destruction (bsc#1012628).
  - bpf: Fix uninitialized values in BPF_{CORE,PROBE}_READ
    (bsc#1012628).
  - iommu/arm-smmu-v3: Fix incorrect return in arm_smmu_attach_dev
    (bsc#1012628).
  - tracing: Move histogram trigger variables from stack to per
    CPU structure (bsc#1012628).
  - clk: qcom: camcc-sm6350: Add *_wait_val values for GDSCs
    (bsc#1012628).
  - clk: qcom: dispcc-sm6350: Add *_wait_val values for GDSCs
    (bsc#1012628).
  - clk: qcom: gcc-sm6350: Add *_wait_val values for GDSCs
    (bsc#1012628).
  - clk: qcom: gpucc-sm6350: Add *_wait_val values for GDSCs
    (bsc#1012628).
  - bpftool: Fix regression of "bpftool cgroup tree" EINVAL on
    older kernels (bsc#1012628).
  - clk: bcm: rpi: Add NULL check in raspberrypi_clk_register()
    (bsc#1012628).
  - clk: test: Forward-declare struct of_phandle_args in kunit/clk.h
    (bsc#1012628).
  - wifi: iwlfiwi: mvm: Fix the rate reporting (bsc#1012628).
  - rtla: Define _GNU_SOURCE in timerlat_bpf.c (bsc#1012628).
  - efi/libstub: Describe missing 'out' parameter in efi_load_initrd
    (bsc#1012628).
  - selftests/bpf: Avoid passing out-of-range values to __retval()
    (bsc#1012628).
  - selftests/bpf: Fix caps for __xlated/jited_unpriv (bsc#1012628).
  - tracing: Rename event_trigger_alloc() to trigger_data_alloc()
    (bsc#1012628).
  - tracing: Fix error handling in event_trigger_parse()
    (bsc#1012628).
  - of: unittest: Unlock on error in unittest_data_add()
    (bsc#1012628).
  - ktls, sockmap: Fix missing uncharge operation (bsc#1012628).
  - libbpf: Use proper errno value in nlattr (bsc#1012628).
  - pinctrl: qcom: correct the ngpios entry for QCS615
    (bsc#1012628).
  - pinctrl: qcom: correct the ngpios entry for QCS8300
    (bsc#1012628).
  - pinctrl: at91: Fix possible out-of-boundary access
    (bsc#1012628).
  - bpf: Fix WARN() in get_bpf_raw_tp_regs (bsc#1012628).
  - dt-bindings: soc: fsl,qman-fqd: Fix reserved-memory.yaml
    reference (bsc#1012628).
  - clk: qcom: gcc-msm8939: Fix mclk0 & mclk1 for 24 MHz
    (bsc#1012628).
  - s390/bpf: Store backchain even for leaf progs (bsc#1012628).
  - wifi: rtw89: pci: configure manual DAC mode via PCI config
    API only (bsc#1012628).
  - wifi: rtw89: pci: enlarge retry times of RX tag to 1000
    (bsc#1012628).
  - wifi: rtw88: fix the 'para' buffer size to avoid reading out
    of bounds (bsc#1012628).
  - wifi: rtw89: fix firmware scan delay unit for WiFi 6 chips
    (bsc#1012628).
  - iommu: remove duplicate selection of DMAR_TABLE (bsc#1012628).
  - wifi: ath12k: Fix invalid RSSI values in station dump
    (bsc#1012628).
  - wifi: ath12k: Reorder and relocate the release of resources
    in ath12k_core_deinit() (bsc#1012628).
  - wifi: ath12k: fix memory leak in ath12k_service_ready_ext_event
    (bsc#1012628).
  - hisi_acc_vfio_pci: fix XQE dma address error (bsc#1012628).
  - hisi_acc_vfio_pci: add eq and aeq interruption restore
    (bsc#1012628).
  - hisi_acc_vfio_pci: bugfix cache write-back issue (bsc#1012628).
  - hisi_acc_vfio_pci: bugfix the problem of uninstalling driver
    (bsc#1012628).
  - hisi_acc_vfio_pci: bugfix live migration function without VF
    device driver (bsc#1012628).
  - wifi: ath9k_htc: Abort software beacon handling if disabled
    (bsc#1012628).
  - pinctrl: mediatek: Fix the invalid conditions (bsc#1012628).
  - scsi: ufs: mcq: Delete ufshcd_release_scsi_cmd() in
    ufshcd_mcq_abort() (bsc#1012628).
  - scsi: mpt3sas: Fix _ctl_get_mpt_mctp_passthru_adapter() to
    return IOC pointer (bsc#1012628).
  - RDMA/bnxt_re: Fix incorrect display of inactivity_cp in debugfs
    output (bsc#1012628).
  - RDMA/bnxt_re: Fix missing error handling for tx_queue
    (bsc#1012628).
  - RDMA/bnxt_re: Fix return code of bnxt_re_configure_cc
    (bsc#1012628).
  - kernfs: Relax constraint in draining guard (bsc#1012628).
  - wifi: mt76: mt7925: Fix logical vs bitwise typo (bsc#1012628).
  - wifi: mt76: mt7996: Add NULL check in mt7996_thermal_init
    (bsc#1012628).
  - wifi: mt76: mt7996: prevent uninit return in
    mt7996_mac_sta_add_links (bsc#1012628).
  - wifi: mt76: mt7996: avoid NULL pointer dereference in
    mt7996_set_monitor() (bsc#1012628).
  - wifi: mt76: mt7996: avoid null deref in mt7996_stop_phy()
    (bsc#1012628).
  - Bluetooth: ISO: Fix not using SID from adv report (bsc#1012628).
  - Bluetooth: separate CIS_LINK and BIS_LINK link types
    (bsc#1012628).
  - wifi: mt76: scan: Fix 'mlink' dereferenced before IS_ERR_OR_NULL
    check (bsc#1012628).
  - wifi: mt76: mt7996: Fix null-ptr-deref in mt7996_mmio_wed_init()
    (bsc#1012628).
  - wifi: mt76: mt7915: Fix null-ptr-deref in mt7915_mmio_wed_init()
    (bsc#1012628).
  - wifi: mt76: mt7925: prevent multiple scan commands
    (bsc#1012628).
  - wifi: mt76: mt7925: refine the sniffer commnad (bsc#1012628).
  - wifi: mt76: mt7925: ensure all MCU commands wait for response
    (bsc#1012628).
  - wifi: mt76: mt7996: fix beamformee SS field (bsc#1012628).
  - wifi: mt76: mt7996: set EHT max ampdu length capability
    (bsc#1012628).
  - wifi: mt76: mt7996: fix invalid NSS setting when TX path
    differs from NSS (bsc#1012628).
  - wifi: mt76: mt7996: fix RX buffer size of MCU event
    (bsc#1012628).
  - wifi: mt76: fix available_antennas setting (bsc#1012628).
  - bpf: Revert "bpf: remove unnecessary rcu_read_{lock,unlock}()
    in multi-uprobe attach logic" (bsc#1012628).
  - netfilter: xtables: support arpt_mark and ipv6 optstrip for
    iptables-nft only builds (bsc#1012628).
  - netfilter: nf_tables: nft_fib_ipv6: fix VRF ipv4/ipv6 result
    discrepancy (bsc#1012628).
  - vfio/type1: Fix error unwind in migration dirty bitmap
    allocation (bsc#1012628).
  - Bluetooth: MGMT: iterate over mesh commands in
    mgmt_mesh_foreach() (bsc#1012628).
  - Bluetooth: btintel: Check dsbr size from EFI variable
    (bsc#1012628).
  - bpf, sockmap: Avoid using sk_socket after free when sending
    (bsc#1012628).
  - netfilter: nf_tables: nft_fib: consistent l3mdev handling
    (bsc#1012628).
  - netfilter: nft_tunnel: fix geneve_opt dump (bsc#1012628).
  - RISC-V: KVM: lock the correct mp_state during reset
    (bsc#1012628).
  - net: usb: aqc111: fix error handling of usbnet read calls
    (bsc#1012628).
  - octeontx2-af: Send Link events one by one (bsc#1012628).
  - vsock/virtio: fix `rx_bytes` accounting for stream sockets
    (bsc#1012628).
  - RDMA/cma: Fix hang when cma_netevent_callback fails to
    queue_work (bsc#1012628).
  - net: lan966x: Fix 1-step timestamping over ipv4 or ipv6
    (bsc#1012628).
  - net: xilinx: axienet: Fix Tx skb circular buffer occupancy
    check in dmaengine xmit (bsc#1012628).
  - af_packet: move notifier's packet_dev_mc out of rcu critical
    section (bsc#1012628).
  - virtio-pci: Fix result size returned for the admin command
    completion (bsc#1012628).
  - bpf: Avoid __bpf_prog_ret0_warn when jit fails (bsc#1012628).
  - bpf: Do not include stack ptr register in precision backtracking
    bookkeeping (bsc#1012628).
  - net: phy: clear phydev->devlink when the link is deleted
    (bsc#1012628).
  - net: airoha: Fix an error handling path in
    airoha_alloc_gdm_port() (bsc#1012628).
  - net: mctp: start tx queue on netdev open (bsc#1012628).
  - net: phy: fix up const issues in to_mdio_device() and
    to_phy_device() (bsc#1012628).
  - net: lan743x: rename lan743x_reset_phy to lan743x_hw_reset_phy
    (bsc#1012628).
  - net: lan743x: Fix PHY reset handling during initialization
    and WOL (bsc#1012628).
  - net: phy: mscc: Fix memory leak when using one step timestamping
    (bsc#1012628).
  - octeontx2-pf: QOS: Perform cache sync on send queue teardown
    (bsc#1012628).
  - octeontx2-pf: QOS: Refactor TC_HTB_LEAF_DEL_LAST callback
    (bsc#1012628).
  - calipso: Don't call calipso functions for AF_INET sk
    (bsc#1012628).
  - net: openvswitch: Fix the dead loop of MPLS parse (bsc#1012628).
  - net: phy: mscc: Stop clearing the the UDPv4 checksum for L2
    frames (bsc#1012628).
  - f2fs: fix to skip f2fs_balance_fs() if checkpoint is disabled
    (bsc#1012628).
  - f2fs: use d_inode(dentry) cleanup dentry->d_inode (bsc#1012628).
  - f2fs: fix to correct check conditions in f2fs_cross_rename
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100: Mark usb_2 as dma-coherent
    (bsc#1012628).
  - arm64: dts: qcom: sm8650: setup gpu thermal with higher
    temperatures (bsc#1012628).
  - arm64: dts: qcom: sa8775p: Partially revert "arm64: dts: qcom:
    sa8775p: add QCrypto nodes" (bsc#1012628).
  - arm64: dts: qcom: qcs8300: Partially revert "arm64: dts: qcom:
    qcs8300: add QCrypto nodes" (bsc#1012628).
  - arm64: dts: qcom: sm8550: use ICC tag for all interconnect
    phandles (bsc#1012628).
  - arm64: dts: qcom: sm8550: add missing cpu-cfg interconnect
    path in the mdss node (bsc#1012628).
  - arm64: dts: qcom: sm8650: add missing cpu-cfg interconnect
    path in the mdss node (bsc#1012628).
  - arm64: dts: qcom: x1e80100-romulus: Keep L12B and L15B always on
    (bsc#1012628).
  - arm64: dts: qcom: sdm845-starqltechn: remove wifi (bsc#1012628).
  - arm64: dts: qcom: sdm845-starqltechn: fix usb regulator mistake
    (bsc#1012628).
  - arm64: dts: qcom: sdm845-starqltechn: refactor node order
    (bsc#1012628).
  - arm64: dts: qcom: sdm845-starqltechn: remove excess reserved
    gpios (bsc#1012628).
  - arm64: dts: qcom: sm8350: Reenable crypto & cryptobam
    (bsc#1012628).
  - arm64: dts: qcom: ipq9574: fix the msi interrupt numbers of
    pcie3 (bsc#1012628).
  - arm64: dts: qcom: sm8750: Fix cluster hierarchy for idle states
    (bsc#1012628).
  - arm64: dts: qcom: sm8250: Fix CPU7 opp table (bsc#1012628).
  - arm64: dts: qcom: sm8750: Correct clocks property for uart14
    node (bsc#1012628).
  - arm64: dts: qcom: sc8280xp-x13s: Drop duplicate DMIC supplies
    (bsc#1012628).
  - arm64: dts: qcom: ipq9574: Fix USB vdd info (bsc#1012628).
  - arm64: dts: qcom: qcs615: remove disallowed property in spmi
    bus node (bsc#1012628).
  - arm64: dts: qcom: sm8650: Fix domain-idle-state for CPU2
    (bsc#1012628).
  - arm64: dts: rockchip: Add missing uart3 interrupt for RK3528
    (bsc#1012628).
  - arm64: dts: rockchip: Move SHMEM memory to reserved memory on
    rk3588 (bsc#1012628).
  - ARM: dts: at91: usb_a9263: fix GPIO for Dataflash chip select
    (bsc#1012628).
  - ARM: dts: at91: at91sam9263: fix NAND chip selects
    (bsc#1012628).
  - arm64: dts: mediatek: mt8188: Fix IOMMU device for rdma0
    (bsc#1012628).
  - arm64: dts: mediatek: mt8195: Reparent vdec1/2 and venc1 power
    domains (bsc#1012628).
  - arm64: dts: qcom: sdm660-xiaomi-lavender: Add missing SD card
    detect GPIO (bsc#1012628).
  - firmware: exynos-acpm: fix reading longer results (bsc#1012628).
  - firmware: exynos-acpm: silence EPROBE_DEFER error on boot
    (bsc#1012628).
  - arm64: dts: mt8183: Add port node to mt8183.dtsi (bsc#1012628).
  - arm64: dts: imx8mm-beacon: Fix RTC capacitive load
    (bsc#1012628).
  - arm64: dts: imx8mn-beacon: Fix RTC capacitive load
    (bsc#1012628).
  - arm64: dts: imx8mp-beacon: Fix RTC capacitive load
    (bsc#1012628).
  - arm64: dts: imx8mm-beacon: Set SAI5 MCLK direction to output
    for HDMI audio (bsc#1012628).
  - arm64: dts: imx8mn-beacon: Set SAI5 MCLK direction to output
    for HDMI audio (bsc#1012628).
  - arm64: dts: mediatek: mt6357: Drop regulator-fixed compatibles
    (bsc#1012628).
  - arm64: dts: mediatek: mt8390-genio-common: Set ssusb2 default
    dual role mode to host (bsc#1012628).
  - arm64: dts: mt6359: Add missing 'compatible' property to
    regulators node (bsc#1012628).
  - arm64: dts: qcom: sdm660-lavender: Add missing USB phy supply
    (bsc#1012628).
  - arm64: dts: qcom: sda660-ifc6560: Fix dt-validate warning
    (bsc#1012628).
  - arm64: dts: qcom: x1e001de-devkit: Describe USB retimers resets
    pin configs (bsc#1012628).
  - arm64: dts: qcom: x1e001de-devkit: Fix pin config for USB0
    retimer vregs (bsc#1012628).
  - arm64: dts: rockchip: Add vcc-supply to SPI flash on
    rk3566-rock3c (bsc#1012628).
  - arm64: dts: allwinner: a100: set maximum MMC frequency
    (bsc#1012628).
  - arm64: dts: rockchip: Update eMMC for NanoPi R5 series
    (bsc#1012628).
  - arm64: dts: renesas: white-hawk-single: Improve Ethernet TSN
    description (bsc#1012628).
  - arm64: tegra: Drop remaining serial clock-names and reset-names
    (bsc#1012628).
  - arm64: tegra: Add uartd serial alias for Jetson TX1 module
    (bsc#1012628).
  - arm64: dts: ti: k3-j721e-common-proc-board: Enable OSPI1 on
    J721E (bsc#1012628).
  - soc: qcom: smp2p: Fix fallback to qcom,ipc parse (bsc#1012628).
  - Squashfs: check return result of sb_min_blocksize (bsc#1012628).
  - ocfs2: fix possible memory leak in ocfs2_finish_quota_recovery
    (bsc#1012628).
  - nilfs2: add pointer check for nilfs_direct_propagate()
    (bsc#1012628).
  - nilfs2: do not propagate ENOENT error from
    nilfs_btree_propagate() (bsc#1012628).
  - bus: fsl-mc: fix double-free on mc_dev (bsc#1012628).
  - bus: fsl_mc: Fix driver_managed_dma check (bsc#1012628).
  - dt-bindings: vendor-prefixes: Add Liontron name (bsc#1012628).
  - ARM: dts: qcom: apq8064: add missing clocks to the timer node
    (bsc#1012628).
  - ARM: dts: qcom: apq8064 merge hw splinlock into corresponding
    syscon device (bsc#1012628).
  - ARM: dts: qcom: apq8064: move replicator out of soc node
    (bsc#1012628).
  - arm64: defconfig: mediatek: enable PHY drivers (bsc#1012628).
  - arm64: dts: qcom: sm8650: add the missing l2 cache node
    (bsc#1012628).
  - arm64: dts: rockchip: disable unrouted USB controllers and
    PHY on RK3399 Puma (bsc#1012628).
  - arm64: dts: rockchip: disable unrouted USB controllers and
    PHY on RK3399 Puma with Haikou (bsc#1012628).
  - arm64: dts: qcom: qcm2290: fix (some) of QUP interconnects
    (bsc#1012628).
  - arm64: dts: qcom: msm8998: Use the header with DSI phy clock
    IDs (bsc#1012628).
  - arm64: dts: qcom: msm8998: Remove mdss_hdmi_phy phandle argument
    (bsc#1012628).
  - arm64: dts: qcom: qcs615: Fix up UFS clocks (bsc#1012628).
  - arm64: dts: renesas: white-hawk-ard-audio: Fix TPU0 groups
    (bsc#1012628).
  - arm64: dts: mt6359: Rename RTC node to match binding
    expectations (bsc#1012628).
  - ARM: aspeed: Don't select SRAM (bsc#1012628).
  - soc: aspeed: lpc: Fix impossible judgment condition
    (bsc#1012628).
  - soc: aspeed: Add NULL check in aspeed_lpc_enable_snoop()
    (bsc#1012628).
  - ubsan: integer-overflow: depend on BROKEN to keep this out of CI
    (bsc#1012628).
  - fbdev: core: fbcvt: avoid division by 0 in fb_cvt_hperiod()
    (bsc#1012628).
  - watchdog: lenovo_se30_wdt: Fix possible devm_ioremap() NULL
    pointer dereference in lenovo_se30_wdt_probe() (bsc#1012628).
  - randstruct: gcc-plugin: Remove bogus void member (bsc#1012628).
  - randstruct: gcc-plugin: Fix attribute addition (bsc#1012628).
  - tools build: Don't set libunwind as available if test-all.c
    build succeeds (bsc#1012628).
  - tools build: Don't show libunwind build status as it is opt-in
    (bsc#1012628).
  - perf build: Warn when libdebuginfod devel files are not
    available (bsc#1012628).
  - tools build: Don't show libbfd build status as it is opt-in
    (bsc#1012628).
  - perf ui browser hists: Set actions->thread before calling
    do_zoom_thread() (bsc#1012628).
  - dm: don't change md if dm_table_set_restrictions() fails
    (bsc#1012628).
  - dm: free table mempools if not used in __bind (bsc#1012628).
  - dm: handle failures in dm_table_set_restrictions (bsc#1012628).
  - backlight: pm8941: Add NULL check in wled_configure()
    (bsc#1012628).
  - HID: intel-thc-hid: intel-quicki2c: pass correct arguments to
    acpi_evaluate_object (bsc#1012628).
  - HID: HID_APPLETB_KBD should depend on X86 (bsc#1012628).
  - HID: HID_APPLETB_BL should depend on X86 (bsc#1012628).
  - x86/irq: Ensure initial PIR loads are performed exactly once
    (bsc#1012628).
  - perf tool_pmu: Fix aggregation on duration_time (bsc#1012628).
  - perf tests metric-only perf stat: Fix tests 84 and 86 s390
    (bsc#1012628).
  - mtd: nand: ecc-mxic: Fix use of uninitialized variable ret
    (bsc#1012628).
  - hwmon: (asus-ec-sensors) check sensor index in read_string()
    (bsc#1012628).
  - perf symbol-minimal: Fix double free in filename__read_build_id
    (bsc#1012628).
  - dm: fix dm_blk_report_zones (bsc#1012628).
  - dm: limit swapping tables for devices with zone write plugs
    (bsc#1012628).
  - dm-flakey: error all IOs when num_features is absent
    (bsc#1012628).
  - dm-flakey: make corrupting read bios work (bsc#1012628).
  - perf trace: Fix leaks of 'struct thread' in fprintf_sys_enter()
    (bsc#1012628).
  - perf trace: Fix leaks of 'struct thread' in
    set_filter_loop_pids() (bsc#1012628).
  - perf tests: Fix 'perf report' tests installation (bsc#1012628).
  - perf intel-pt: Fix PEBS-via-PT data_src (bsc#1012628).
  - perf scripts python: exported-sql-viewer.py: Fix pattern
    matching with Python 3 (bsc#1012628).
  - remoteproc: qcom_wcnss_iris: Add missing put_device() on error
    in probe (bsc#1012628).
  - perf tools: Fix arm64 source package build (bsc#1012628).
  - remoteproc: k3-r5: Drop check performed in
    k3_r5_rproc_{mbox_callback/kick} (bsc#1012628).
  - remoteproc: k3-dsp: Drop check performed in
    k3_dsp_rproc_{mbox_callback/kick} (bsc#1012628).
  - remoteproc: k3-r5: Refactor sequential core power up/down
    operations (bsc#1012628).
  - rpmsg: qcom_smd: Fix uninitialized return variable in
    __qcom_smd_send() (bsc#1012628).
  - netfs: Fix oops in write-retry from mis-resetting the subreq
    iterator (bsc#1012628).
  - netfs: Fix setting of transferred bytes with short DIO reads
    (bsc#1012628).
  - netfs: Fix the request's work item to not require a ref
    (bsc#1012628).
  - netfs: Fix wait/wake to be consistent about the waitqueue used
    (bsc#1012628).
  - mfd: exynos-lpass: Fix an error handling path in
    exynos_lpass_probe() (bsc#1012628).
  - mfd: exynos-lpass: Avoid calling exynos_lpass_disable() twice
    in exynos_lpass_remove() (bsc#1012628).
  - mfd: exynos-lpass: Fix another error handling path in
    exynos_lpass_probe() (bsc#1012628).
  - mfd: stmpe-spi: Correct the name used in MODULE_DEVICE_TABLE
    (bsc#1012628).
  - netfs: Fix undifferentiation of DIO reads from unbuffered reads
    (bsc#1012628).
  - perf tests switch-tracking: Fix timestamp comparison
    (bsc#1012628).
  - mailbox: mchp-ipc-sbi: Fix COMPILE_TEST build error
    (bsc#1012628).
  - mailbox: imx: Fix TXDB_V2 sending (bsc#1012628).
  - mailbox: mtk-cmdq: Refine GCE_GCTL_VALUE setting (bsc#1012628).
  - iomap: don't lose folio dropbehind state for overwrites
    (bsc#1012628).
  - perf pmu: Avoid segv for missing name/alias_name in wildcarding
    (bsc#1012628).
  - perf symbol: Fix use-after-free in filename__read_build_id
    (bsc#1012628).
  - s390/uv: Don't return 0 from make_hva_secure() if the operation
    was not successful (bsc#1012628).
  - s390/uv: Always return 0 from s390_wiggle_split_folio() if
    successful (bsc#1012628).
  - s390/uv: Improve splitting of large folios that cannot be
    split while dirty (bsc#1012628).
  - perf record: Fix incorrect --user-regs comments (bsc#1012628).
  - perf trace: Always print return value for syscalls returning
    a pid (bsc#1012628).
  - nfs: clear SB_RDONLY before getting superblock (bsc#1012628).
  - nfs: ignore SB_RDONLY when remounting nfs (bsc#1012628).
  - nfs: fix incorrect handling of large-number NFS errors in
    nfs4_do_mkdir() (bsc#1012628).
  - nfs_localio: use cmpxchg() to install new nfs_file_localio
    (bsc#1012628).
  - nfs_localio: always hold nfsd net ref with nfsd_file ref
    (bsc#1012628).
  - nfs_localio: simplify interface to nfsd for getting nfsd_file
    (bsc#1012628).
  - nfs_localio: duplicate nfs_close_local_fh() (bsc#1012628).
  - nfs_localio: protect race between nfs_uuid_put() and
    nfs_close_local_fh() (bsc#1012628).
  - nfs_localio: change nfsd_file_put_local() to take a pointer
    to __rcu pointer (bsc#1012628).
  - perf trace: Set errpid to false for rseq and set_robust_list
    (bsc#1012628).
  - fs/dax: Fix "don't skip locked entries when scanning entries"
    (bsc#1012628).
  - rust: file: mark `LocalFile` as `repr(transparent)`
    (bsc#1012628).
  - exportfs: require ->fh_to_parent() to encode connectable file
    handles (bsc#1012628).
  - perf callchain: Always populate the addr_location map when
    adding IP (bsc#1012628).
  - cifs: Fix validation of SMB1 query reparse point response
    (bsc#1012628).
  - rust: alloc: add missing invariant in Vec::set_len()
    (bsc#1012628).
  - rtc: sh: assign correct interrupts with DT (bsc#1012628).
  - phy: rockchip: samsung-hdptx: Fix clock ratio setup
    (bsc#1012628).
  - phy: rockchip: samsung-hdptx: Do no set rk_hdptx_phy->rate in
    case of errors (bsc#1012628).
  - PCI: pciehp: Ignore Presence Detect Changed caused by DPC
    (bsc#1012628).
  - PCI: pciehp: Ignore Link Down/Up caused by Secondary Bus Reset
    (bsc#1012628).
  - PCI: Print the actual delay time in
    pci_bridge_wait_for_secondary_bus() (bsc#1012628).
  - PCI: rockchip: Fix order of rockchip_pci_core_rsts
    (bsc#1012628).
  - PCI: rcar-gen4: set ep BAR4 fixed size (bsc#1012628).
  - PCI: cadence: Fix runtime atomic count underflow (bsc#1012628).
  - PCI: apple: Use gpiod_set_value_cansleep in probe flow
    (bsc#1012628).
  - PCI: imx6: Save and restore the LUT setting during
    suspend/resume for i.MX95 SoC (bsc#1012628).
  - phy: qcom-qmp-usb: Fix an NULL vs IS_ERR() bug (bsc#1012628).
  - Revert "phy: qcom-qusb2: add QUSB2 support for IPQ5424"
    (bsc#1012628).
  - phy: qcom-qusb2: reuse the IPQ6018 settings for IPQ5424
    (bsc#1012628).
  - soundwire: only compute port params in specific stream states
    (bsc#1012628).
  - dmaengine: ti: Add NULL check in udma_probe() (bsc#1012628).
  - PCI/ACPI: Fix allocated memory release on error in
    pci_acpi_scan_root() (bsc#1012628).
  - PCI/DPC: Initialize aer_err_info before using it (bsc#1012628).
  - PCI/DPC: Log Error Source ID only when valid (bsc#1012628).
  - PCI/pwrctrl: Cancel outstanding rescan work when unregistering
    (bsc#1012628).
  - rtc: loongson: Add missing alarm notifications for ACPI RTC
    events (bsc#1012628).
  - rust: pci: fix docs related to missing Markdown code spans
    (bsc#1012628).
  - PCI: endpoint: Retain fixed-size BAR size as well as aligned
    size (bsc#1012628).
  - sched_ext: idle: Skip cross-node search with !CONFIG_NUMA
    (bsc#1012628).
  - usb: renesas_usbhs: Reorder clock handling and power management
    in probe (bsc#1012628).
  - serial: Fix potential null-ptr-deref in mlb_usio_probe()
    (bsc#1012628).
  - thunderbolt: Fix a logic error in wake on connect (bsc#1012628).
  - iio: filter: admv8818: fix band 4, state 15 (bsc#1012628).
  - iio: filter: admv8818: fix integer overflow (bsc#1012628).
  - iio: filter: admv8818: fix range calculation (bsc#1012628).
  - iio: filter: admv8818: Support frequencies >= 2^32
    (bsc#1012628).
  - iio: adc: ad7124: Fix 3dB filter frequency reading
    (bsc#1012628).
  - usb: acpi: Prevent null pointer dereference in
    usb_acpi_add_usb4_devlink() (bsc#1012628).
  - MIPS: Loongson64: Add missing '#interrupt-cells' for
    loongson64c_ls7a (bsc#1012628).
  - coresight: Fixes device's owner field for registered using
    coresight_init_driver() (bsc#1012628).
  - coresight: catu: Introduce refcount and spinlock for
    enabling/disabling (bsc#1012628).
  - coresight: core: Disable helpers for devices that fail to enable
    (bsc#1012628).
  - counter: interrupt-cnt: Protect enable/disable OPs with mutex
    (bsc#1012628).
  - fpga: fix potential null pointer deref in
    fpga_mgr_test_img_load_sgt() (bsc#1012628).
  - iio: dac: adi-axi-dac: fix bus read (bsc#1012628).
  - iio: adc: ad4851: fix ad4858 chan pointer handling
    (bsc#1012628).
  - coresight: tmc: fix failure to disable/enable ETF after reading
    (bsc#1012628).
  - coresight: etm4x: Fix timestamp bit field handling
    (bsc#1012628).
  - coresight/etm4: fix missing disable active config (bsc#1012628).
  - coresight: holding cscfg_csdev_lock while removing cscfg from
    csdev (bsc#1012628).
  - coresight: prevent deactivate active config while enabling
    the config (bsc#1012628).
  - vt: remove VT_RESIZE and VT_RESIZEX from vt_compat_ioctl()
    (bsc#1012628).
  - staging: gpib: Fix PCMCIA config identifier (bsc#1012628).
  - staging: gpib: Fix secondary address restriction (bsc#1012628).
  - rust: miscdevice: fix typo in MiscDevice::ioctl documentation
    (bsc#1012628).
  - misc: lis3lv02d: Fix correct sysfs directory path for lis3lv02d
    (bsc#1012628).
  - char: tlclk: Fix correct sysfs directory path for tlclk
    (bsc#1012628).
  - mei: vsc: Cast tx_buf to (__be32 *) when passed to
    cpu_to_be32_array() (bsc#1012628).
  - iio: adc: PAC1934: fix typo in documentation link (bsc#1012628).
  - iio: adc: mcp3911: fix device dependent mappings for conversion
    result registers (bsc#1012628).
  - USB: gadget: udc: fix const issue in gadget_match_driver()
    (bsc#1012628).
  - USB: typec: fix const issue in typec_match() (bsc#1012628).
  - loop: add file_start_write() and file_end_write() (bsc#1012628).
  - drm/connector: only call HDMI audio helper plugged cb if
    non-null (bsc#1012628).
  - drm/bridge: analogix_dp: Remove the unnecessary calls to
    clk_disable_unprepare() during probing (bsc#1012628).
  - accel/ivpu: Reorder Doorbell Unregister and Command Queue
    Destruction (bsc#1012628).
  - drm/bridge: analogix_dp: Remove CONFIG_PM related check in
    analogix_dp_bind()/analogix_dp_unbind() (bsc#1012628).
  - drm/bridge: analogix_dp: Add support to get panel from the DP
    AUX bus (bsc#1012628).
  - drm/bridge: analogix_dp: Fix clk-disable removal (bsc#1012628).
  - drm/xe: Make xe_gt_freq part of the Documentation (bsc#1012628).
  - drm/xe: Add missing documentation of rpa_freq (bsc#1012628).
  - Fix sock_exceed_buf_limit not being triggered in
    __sk_mem_raise_allocated (bsc#1012628).
  - page_pool: Fix use-after-free in page_pool_recycle_in_ring
    (bsc#1012628).
  - net: stmmac: platform: guarantee uniqueness of bus_id
    (bsc#1012628).
  - gve: Fix RX_BUFFERS_POSTED stat to report per-queue fill_cnt
    (bsc#1012628).
  - net: tipc: fix refcount warning in tipc_aead_encrypt
    (bsc#1012628).
  - driver: net: ethernet: mtk_star_emac: fix suspend/resume issue
    (bsc#1012628).
  - net/mlx4_en: Prevent potential integer overflow calculating Hz
    (bsc#1012628).
  - net: lan966x: Make sure to insert the vlan tags also in host
    mode (bsc#1012628).
  - md/raid1,raid10: don't handle IO error for REQ_RAHEAD and
    REQ_NOWAIT (bsc#1012628).
  - spi: bcm63xx-spi: fix shared reset (bsc#1012628).
  - spi: bcm63xx-hsspi: fix shared reset (bsc#1012628).
  - Bluetooth: MGMT: reject malformed HCI_CMD_SYNC commands
    (bsc#1012628).
  - Bluetooth: L2CAP: Fix not responding with L2CAP_CR_LE_ENCRYPTION
    (bsc#1012628).
  - ice: fix Tx scheduler error handling in XDP callback
    (bsc#1012628).
  - ice: create new Tx scheduler nodes for new queues only
    (bsc#1012628).
  - ice: fix rebuilding the Tx scheduler tree for large queue counts
    (bsc#1012628).
  - idpf: fix a race in txq wakeup (bsc#1012628).
  - idpf: avoid mailbox timeout delays during reset (bsc#1012628).
  - net: dsa: tag_brcm: legacy: fix pskb_may_pull length
    (bsc#1012628).
  - net: stmmac: make sure that ptp_rate is not 0 before configuring
    timestamping (bsc#1012628).
  - net: stmmac: make sure that ptp_rate is not 0 before configuring
    EST (bsc#1012628).
  - net: Fix checksum update for ILA adj-transport (bsc#1012628).
  - bpf: Clarify the meaning of BPF_F_PSEUDO_HDR (bsc#1012628).
  - bpf: Fix L4 csum update on IPv6 in CHECKSUM_COMPLETE
    (bsc#1012628).
  - drm/i915/guc: Check if expecting reply before decrementing
    outstanding_submission_g2h (bsc#1012628).
  - drm/i915/psr: Fix using wrong mask in REG_FIELD_PREP
    (bsc#1012628).
  - drm/i915/guc: Handle race condition where wakeref count drops
    below 0 (bsc#1012628).
  - um: Fix tgkill compile error on old host OSes (bsc#1012628).
  - net: fix udp gso skb_segment after pull from frag_list
    (bsc#1012628).
  - net: wwan: t7xx: Fix napi rx poll issue (bsc#1012628).
  - vmxnet3: correctly report gso type for UDP tunnels
    (bsc#1012628).
  - selftests: net: build net/lib dependency in all target
    (bsc#1012628).
  - net: airoha: Add the capability to allocate hfwd descriptors
    in SRAM (bsc#1012628).
  - net: airoha: Initialize PPE UPDMEM source-mac table
    (bsc#1012628).
  - iavf: iavf_suspend(): take RTNL before netdev_lock()
    (bsc#1012628).
  - iavf: centralize watchdog requeueing itself (bsc#1012628).
  - iavf: simplify watchdog_task in terms of adminq task scheduling
    (bsc#1012628).
  - iavf: extract iavf_watchdog_step() out of iavf_watchdog_task()
    (bsc#1012628).
  - iavf: sprinkle netdev_assert_locked() annotations (bsc#1012628).
  - iavf: get rid of the crit lock (bsc#1012628).
  - drm/amdgpu/gfx10: Refine Cleaner Shader for GFX10.1.10
    (bsc#1012628).
  - PM: sleep: Fix power.is_suspended cleanup for direct-complete
    devices (bsc#1012628).
  - block: flip iter directions in blk_rq_integrity_map_user()
    (bsc#1012628).
  - nvme: fix command limits status code (bsc#1012628).
  - nvme: fix implicit bool to flags conversion (bsc#1012628).
  - gve: add missing NULL check for gve_alloc_pending_packet()
    in TX DQO (bsc#1012628).
  - drm/i915/display: Fix u32 overflow in SNPS PHY HDMI PLL setup
    (bsc#1012628).
  - wifi: iwlwifi: mld: avoid panic on init failure (bsc#1012628).
  - drm/panel-simple: fix the warnings for the Evervision VGG644804
    (bsc#1012628).
  - netfilter: nf_set_pipapo_avx2: fix initial map fill
    (bsc#1012628).
  - netfilter: nf_nat: also check reverse tuple to obtain clashing
    entry (bsc#1012628).
  - net: ti: icssg-prueth: Fix swapped TX stats for MII interfaces
    (bsc#1012628).
  - net: dsa: b53: do not enable EEE on bcm63xx (bsc#1012628).
  - net: dsa: b53: do not enable RGMII delay on bcm63xx
    (bsc#1012628).
  - net: dsa: b53: implement setting ageing time (bsc#1012628).
  - net: dsa: b53: do not configure bcm63xx's IMP port interface
    (bsc#1012628).
  - net: dsa: b53: allow RGMII for bcm63xx RGMII ports
    (bsc#1012628).
  - net: dsa: b53: do not touch DLL_IQQD on bcm53115 (bsc#1012628).
  - wifi: cfg80211/mac80211: correctly parse S1G beacon optional
    elements (bsc#1012628).
  - net: wwan: mhi_wwan_mbim: use correct mux_id for multiplexing
    (bsc#1012628).
  - netlink: specs: rt-link: add missing byte-order properties
    (bsc#1012628).
  - netlink: specs: rt-link: decode ip6gre (bsc#1012628).
  - wireguard: device: enable threaded NAPI (bsc#1012628).
  - selftests: drv-net: tso: fix the GRE device name (bsc#1012628).
  - selftests: drv-net: tso: make bkg() wait for socat to quit
    (bsc#1012628).
  - net: annotate data-races around cleanup_net_task (bsc#1012628).
  - net: prevent a NULL deref in rtnl_create_link() (bsc#1012628).
  - seg6: Fix validation of nexthop addresses (bsc#1012628).
  - drm/xe/vm: move xe_svm_init() earlier (bsc#1012628).
  - drm/xe/vsec: fix CONFIG_INTEL_VSEC dependency (bsc#1012628).
  - drm/xe: Rework eviction rejection of bound external bos
    (bsc#1012628).
  - drm/xe/pxp: Use the correct define in the set_property_funcs
    array (bsc#1012628).
  - drm/xe/pxp: Clarify PXP queue creation behavior if PXP is not
    ready (bsc#1012628).
  - riscv: misaligned: fix sleeping function called during
    misaligned access handling (bsc#1012628).
  - scsi: ufs: qcom: Check gear against max gear in vop
    freq_to_gear() (bsc#1012628).
  - scsi: ufs: qcom: Map devfreq OPP freq to UniPro Core Clock freq
    (bsc#1012628).
  - scsi: ufs: qcom: Prevent calling phy_exit() before phy_init()
    (bsc#1012628).
  - ASoC: codecs: hda: Fix RPM usage count underflow (bsc#1012628).
  - ASoC: Intel: avs: Fix deadlock when the failing IPC is SET_D0IX
    (bsc#1012628).
  - ALSA: hda: Allow to fetch hlink by ID (bsc#1012628).
  - ASoC: Intel: avs: PCM operations for LNL-based platforms
    (bsc#1012628).
  - ASoC: Intel: avs: Fix PPLCxFMT calculation (bsc#1012628).
  - ASoC: Intel: avs: Fix possible null-ptr-deref when initing hw
    (bsc#1012628).
  - ASoC: Intel: avs: Ignore Vendor-space manipulation for ACE
    (bsc#1012628).
  - ASoC: Intel: avs: Read HW capabilities when possible
    (bsc#1012628).
  - ASoC: Intel: avs: Relocate DSP status registers (bsc#1012628).
  - ASoC: Intel: avs: Fix paths in MODULE_FIRMWARE hints
    (bsc#1012628).
  - ASoC: Intel: avs: Verify kcalloc() status when setting
    constraints (bsc#1012628).
  - ASoC: Intel: avs: Verify content returned by parse_int_array()
    (bsc#1012628).
  - ASoC: ti: omap-hdmi: Re-add dai_link->platform to fix card init
    (bsc#1012628).
  - iov_iter: use iov_offset for length calculation in
    iov_iter_aligned_bvec (bsc#1012628).
  - fs/fhandle.c: fix a race in call of has_locked_children()
    (bsc#1012628).
  - path_overmount(): avoid false negatives (bsc#1012628).
  - fs: convert mount flags to enum (bsc#1012628).
  - finish_automount(): don't leak MNT_LOCKED from parent to child
    (bsc#1012628).
  - fix propagation graph breakage by MOVE_MOUNT_SET_GROUP
    move_mount(2) (bsc#1012628).
  - fs: allow clone_private_mount() for a path on real rootfs
    (bsc#1012628).
  - clone_private_mnt(): make sure that caller has CAP_SYS_ADMIN
    in the right userns (bsc#1012628).
  - do_change_type(): refuse to operate on unmounted/not ours mounts
    (bsc#1012628).
  - genksyms: Fix enum consts from a reference affecting new values
    (bsc#1012628).
  - tools/power turbostat: Fix AMD package-energy reporting
    (bsc#1012628).
  - pinctrl: samsung: refactor drvdata suspend & resume callbacks
    (bsc#1012628).
  - pinctrl: samsung: add dedicated SoC eint suspend/resume
    callbacks (bsc#1012628).
  - pinctrl: samsung: add gs101 specific eint suspend/resume
    callbacks (bsc#1012628).
  - scsi: core: ufs: Fix a hang in the error handler (bsc#1012628).
  - Bluetooth: hci_core: fix list_for_each_entry_rcu usage
    (bsc#1012628).
  - Bluetooth: btintel_pcie: Fix driver not posting maximum rx
    buffers (bsc#1012628).
  - Bluetooth: btintel_pcie: Increase the tx and rx descriptor count
    (bsc#1012628).
  - Bluetooth: btintel_pcie: Reduce driver buffer posting to
    prevent race condition (bsc#1012628).
  - Bluetooth: MGMT: Fix UAF on mgmt_remove_adv_monitor_complete
    (bsc#1012628).
  - Bluetooth: MGMT: Protect mgmt_pending list with its own lock
    (bsc#1012628).
  - net: dsa: b53: fix untagged traffic sent via cpu tagged with
    VID 0 (bsc#1012628).
  - ptp: remove ptp->n_vclocks check logic in ptp_vclock_in_use()
    (bsc#1012628).
  - ath10k: snoc: fix unbalanced IRQ enable in crash recovery
    (bsc#1012628).
  - wifi: ath11k: avoid burning CPU in
    ath11k_debugfs_fw_stats_request() (bsc#1012628).
  - wifi: ath11k: don't use static variables in
    ath11k_debugfs_fw_stats_process() (bsc#1012628).
  - wifi: ath11k: don't wait when there is no vdev started
    (bsc#1012628).
  - wifi: ath11k: move some firmware stats related functions
    outside of debugfs (bsc#1012628).
  - wifi: ath11k: validate ath11k_crypto_mode on top of
    ath11k_core_qmi_firmware_ready (bsc#1012628).
  - wifi: ath12k: refactor ath12k_hw_regs structure (bsc#1012628).
  - wifi: ath12k: fix GCC_GCC_PCIE_HOT_RST definition for WCN7850
    (bsc#1012628).
  - wifi: ath12k: fix uaf in ath12k_core_init() (bsc#1012628).
  - regulator: max20086: Fix refcount leak in
    max20086_parse_regulators_dt() (bsc#1012628).
  - spi: omap2-mcspi: Disable multi mode when CS should be kept
    asserted after message (bsc#1012628).
  - spi: omap2-mcspi: Disable multi-mode when the previous message
    kept CS asserted (bsc#1012628).
  - pinctrl: qcom: pinctrl-qcm2290: Add missing pins (bsc#1012628).
  - accel/amdxdna: Fix incorrect PSP firmware size (bsc#1012628).
  - scsi: iscsi: Fix incorrect error path labels for flashnode
    operations (bsc#1012628).
  - net_sched: sch_sfq: fix a potential crash on gso_skb handling
    (bsc#1012628).
  - powerpc/powernv/memtrace: Fix out of bounds issue in memtrace
    mmap (bsc#1012628).
  - powerpc/vas: Return -EINVAL if the offset is non-zero in mmap()
    (bsc#1012628).
  - drm/vc4: fix infinite EPROBE_DEFER loop (bsc#1012628).
  - drm/meson: fix debug log statement when setting the HDMI clocks
    (bsc#1012628).
  - drm/meson: use vclk_freq instead of pixel_freq in debug print
    (bsc#1012628).
  - drm/meson: fix more rounding issues with 59.94Hz modes
    (bsc#1012628).
  - pinctrl: sunxi: dt: Consider pin base when calculating bank
    number from pin (bsc#1012628).
  - i40e: return false from i40e_reset_vf if reset is in progress
    (bsc#1012628).
  - i40e: retry VFLR handling if there is ongoing VF reset
    (bsc#1012628).
  - iavf: fix reset_task for early reset event (bsc#1012628).
  - ice/ptp: fix crosstimestamp reporting (bsc#1012628).
  - e1000: Move cancel_work_sync to avoid deadlock (bsc#1012628).
  - ACPI: CPPC: Fix NULL pointer dereference when nosmp is used
    (bsc#1012628).
  - net: Fix TOCTOU issue in sk_is_readable() (bsc#1012628).
  - netconsole: fix appending sysdata when sysdata_fields ==
    SYSDATA_RELEASE (bsc#1012628).
  - macsec: MACsec SCI assignment for ES = 0 (bsc#1012628).
  - net/mdiobus: Fix potential out-of-bounds read/write access
    (bsc#1012628).
  - net/mdiobus: Fix potential out-of-bounds clause 45 read/write
    access (bsc#1012628).
  - Bluetooth: Fix NULL pointer deference on eir_get_service_data
    (bsc#1012628).
  - Bluetooth: hci_sync: Fix broadcast/PA when using an existing
    instance (bsc#1012628).
  - Bluetooth: eir: Fix possible crashes on eir_create_adv_data
    (bsc#1012628).
  - Bluetooth: MGMT: Fix sparse errors (bsc#1012628).
  - net/mlx5: Ensure fw pages are always allocated on same NUMA
    (bsc#1012628).
  - net/mlx5: Fix ECVF vports unload on shutdown flow (bsc#1012628).
  - net/mlx5: Fix return value when searching for existing flow
    group (bsc#1012628).
  - net/mlx5: HWS, fix missing ip_version handling in definer
    (bsc#1012628).
  - net/mlx5: HWS, make sure the uplink is the last destination
    (bsc#1012628).
  - net/mlx5e: Fix leak of Geneve TLV option object (bsc#1012628).
  - net/mlx5e: Fix number of lanes to UNKNOWN when using
    data_rate_oper (bsc#1012628).
  - net: phy: phy_caps: Don't skip better duplex macth on non-exact
    match (bsc#1012628).
  - net_sched: prio: fix a race in prio_tune() (bsc#1012628).
  - net_sched: red: fix a race in __red_change() (bsc#1012628).
  - net_sched: tbf: fix a race in tbf_change() (bsc#1012628).
  - net_sched: ets: fix a race in ets_qdisc_change() (bsc#1012628).
  - net: drv: netdevsim: don't napi_complete() from netpoll
    (bsc#1012628).
  - net: ethtool: Don't check if RSS context exists in case of
    context 0 (bsc#1012628).
  - drm/xe/lrc: Use a temporary buffer for WA BB (bsc#1012628).
  - btrfs: exit after state insertion failure at
    btrfs_convert_extent_bit() (bsc#1012628).
  - fs/filesystems: Fix potential unsigned integer underflow in
    fs_name() (bsc#1012628).
  - btrfs: fix fsync of files with no hard links not persisting
    deletion (bsc#1012628).
  - gfs2: pass through holder from the VFS for freeze/thaw
    (bsc#1012628).
  - btrfs: exit after state split error at set_extent_bit()
    (bsc#1012628).
  - nvmet-fcloop: access fcpreq only when holding reqlock
    (bsc#1012628).
  - io_uring: fix spurious drain flushing (bsc#1012628).
  - perf: Ensure bpf_perf_link path is properly serialized
    (bsc#1012628).
  - io_uring: fix use-after-free of sq->thread in
    __io_uring_show_fdinfo() (bsc#1012628).
  - block: don't use submit_bio_noacct_nocheck in
    blk_zone_wplug_bio_work (bsc#1012628).
  - io_uring: consistently use rcu semantics with sqpoll thread
    (bsc#1012628).
  - smb: client: fix perf regression with deferred closes
    (bsc#1012628).
  - bio: Fix bio_first_folio() for SPARSEMEM without VMEMMAP
    (bsc#1012628).
  - block: Fix bvec_set_folio() for very large folios (bsc#1012628).
  - uapi: bitops: use UAPI-safe variant of BITS_PER_LONG again
    (bsc#1012628).
  - objtool/rust: relax slice condition to cover more `noreturn`
    Rust functions (bsc#1012628).
  - rust: compile libcore with edition 2024 for 1.87+ (bsc#1012628).
  - rust: list: fix path of `assert_pinned!` (bsc#1012628).
  - pidfs: never refuse ppid == 0 in PIDFD_GET_INFO (bsc#1012628).
  - tools/resolve_btfids: Fix build when cross compiling kernel
    with clang (bsc#1012628).
  - Revert "wifi: mwifiex: Fix HT40 bandwidth issue." (bsc#1012628).
  - ALSA: usb-audio: Kill timer properly at removal (bsc#1012628).
  - ALSA: usb-audio: Add implicit feedback quirk for RODE AI-1
    (bsc#1012628).
  - HID: usbhid: Eliminate recurrent out-of-bounds bug in
    usbhid_parse() (bsc#1012628).
  - powerpc/kernel: Fix ppc_save_regs inclusion in build
    (bsc#1012628).
  - posix-cpu-timers: fix race between handle_posix_cpu_timers()
    and posix_cpu_timer_del() (bsc#1012628).
  - nvmem: zynqmp_nvmem: unbreak driver after cleanup (bsc#1012628).
  - usb: usbtmc: Fix read_stb function and get_stb ioctl
    (bsc#1012628).
  - VMCI: fix race between vmci_host_setup_notify and
    vmci_ctx_unset_notify (bsc#1012628).
  - tty: serial: 8250_omap: fix TX with DMA for am33xx
    (bsc#1012628).
  - usb: misc: onboard_usb_dev: Fix usb5744 initialization sequence
    (bsc#1012628).
  - usb: cdnsp: Fix issue with detecting command completion event
    (bsc#1012628).
  - usb: cdnsp: Fix issue with detecting USB 3.2 speed
    (bsc#1012628).
  - usb: Flush altsetting 0 endpoints before reinitializating them
    after reset (bsc#1012628).
  - usb: typec: tcpm/tcpci_maxim: Fix bounds check in process_rx()
    (bsc#1012628).
  - usb: typec: tcpm: move tcpm_queue_vdm_unlocked to asynchronous
    work (bsc#1012628).
  - 9p: Add a migrate_folio method (bsc#1012628).
  - Don't propagate mounts into detached trees (bsc#1012628).
  - mm/filemap: gate dropbehind invalidate on folio !dirty &&
    !writeback (bsc#1012628).
  - mm/filemap: use filemap_end_dropbehind() for read invalidation
    (bsc#1012628).
  - ring-buffer: Do not trigger WARN_ON() due to a commit_overrun
    (bsc#1012628).
  - ring-buffer: Fix buffer locking in
    ring_buffer_subbuf_order_set() (bsc#1012628).
  - ring-buffer: Move cpus_read_lock() outside of buffer->mutex
    (bsc#1012628).
  - xfs: don't assume perags are initialised when trimming AGs
    (bsc#1012628).
  - xen/arm: call uaccess_ttbr0_enable for dm_op hypercall
    (bsc#1012628).
  - x86/iopl: Cure TIF_IO_BITMAP inconsistencies (bsc#1012628).
  - x86/hyperv: Fix APIC ID and VP index confusion in
    hv_snp_boot_ap() (bsc#1012628).
  - x86/fred/signal: Prevent immediate repeat of single step trap
    on return from SIGTRAP handler (bsc#1012628).
  - calipso: unlock rcu before returning -EAFNOSUPPORT
    (bsc#1012628).
  - do_move_mount(): split the checks in subtree-of-our-ns and
    entire-anon cases (bsc#1012628).
  - regulator: dt-bindings: mt6357: Drop fixed compatible
    requirement (bsc#1012628).
  - usb: misc: onboard_usb_dev: fix build warning for
    CONFIG_USB_ONBOARD_DEV_USB5744=n (bsc#1012628).
  - net: usb: aqc111: debug info before sanitation (bsc#1012628).
  - overflow: Introduce __DEFINE_FLEX for having no initializer
    (bsc#1012628).
  - gfs2: Don't clear sb->s_fs_info in gfs2_sys_fs_add
    (bsc#1012628).
  - thermal/drivers/mediatek/lvts: Remove unused lvts_debugfs_exit
    (bsc#1012628).
  - Update config files.
  - Rename to
    patches.kernel.org/6.15.3-724-block-use-q-elevator-with-elevator_lock-held-i.patch.
  - commit d3bf7bb
* Wed Jun 18 2025 petr.pavlu@suse.com
  - packaging: Add support for suse-kabi-tools
    The current workflow to check kABI stability during the RPM build of SUSE
    kernels consists of the following steps:
    * The downstream script rpm/modversions unpacks the consolidated kABI
    symtypes reference data from kabi/<arch>/symtypes-<flavor> and creates
    individual symref files.
    * The build performs a regular kernel make. During this operation, genksyms
    is invoked for each source file. The tool determines type signatures of
    all exports within the file, reports any differences compared to the
    associated symref reference, calculates symbol CRCs from the signatures
    and writes new type data into a symtypes file.
    * The script rpm/modversions is invoked again, this time it packs all new
    symtypes files to a consolidated kABI file.
    * The downstream script rpm/kabi.pl checks symbol CRCs in the new build and
    compares them to a reference from kabi/<arch>/symvers-<flavor>, taking
    kabi/severities into account.
    suse-kabi-tools is a new set of tools to improve the kABI checking process.
    The suite includes two tools, ksymtypes and ksymvers, which replace the
    existing scripts rpm/modversions and rpm/kabi.pl, as well as the comparison
    functionality previously provided by genksyms. The tools have their own
    source repository and package.
    The tools provide faster operation and more detailed, unified output. In
    addition, they allow the use of the new upstream tool gendwarfksyms, which
    lacks any built-in comparison functionality.
    The updated workflow is as follows:
    * The build performs a regular kernel make. During this operation, genksyms
    (gendwarfksyms) is invoked as usual, determinining signatures and CRCs of
    all exports and writing the type data to symtypes files. However,
    genksyms no longer performs any comparison.
    * 'ksymtypes consolidate' packs all new symtypes files to a consolidated
    kABI file.
    * 'ksymvers compare' checks symbol CRCs in the new build and compares them
    to a reference from kabi/<arch>/symvers-<flavor>, taking kabi/severities
    into account. The tool writes its result in a human-readable form on
    standard output and also writes a list of all changed exports (not
    ignored by kabi/severities) to the changed-exports file.
    * 'ksymtypes compare' takes the changed-exports file, the consolidated kABI
    symtypes reference data from kabi/<arch>/symtypes-<flavor> and the new
    consolidated data. Based on this data, it produces a detailed report
    explaining why the symbols changed.
    The patch enables the use of suse-kabi-tools via rpm/config.sh, providing
    explicit control to each branch. To enable the support, set
    USE_SUSE_KABI_TOOLS=Yes in the config file.
  - commit a2c6f89
* Tue Jun 17 2025 msuchanek@suse.de
  - kernel-source: Remove log.sh from sources
  - commit 96bd779
* Mon Jun 16 2025 pfalcato@suse.de
  - update to 6.16-rc2
  - drop mainline patch
    - patches.suse/drm-sitronix-st7571-i2c-Select-VIDEOMODE_HELPERS.patch
  - refresh configs
  - commit 03fe814
* Sat Jun 14 2025 tiwai@suse.de
  - wifi: ath12k: support MLO as well if single_chip_mlo_support
    flag is set (bsc#1243995).
  - wifi: ath12k: use fw_features only when it is valid
    (bsc#1243995).
  - wifi: ath12k: introduce ath12k_fw_feature_supported()
    (bsc#1243995).
  - commit 47332bd
* Sat Jun 14 2025 tiwai@suse.de
  - wifi: ath12k: Abort scan before removing link interface to
    prevent duplicate deletion (bsc#1243995).
  - commit a380462
* Sat Jun 14 2025 macpaul.lin@mediatek.com
  - config: arm64: default: enable mtu3 dual-role support for MediaTek platforms (bsc#1244269)
    MediaTek MTU3 dual-role switch with USB TYPE-C support is ready for most
    of the platforms since kernel 6.14. Hence update the following default
    settings in arm64 default config:
    - disable CONFIG_USB_MTU3_HOST
    - enable CONFIG_USB_MTU3_DUAL_ROLE
    [js] massage the commit log
  - commit 446cd4d
* Fri Jun 13 2025 tiwai@suse.de
  - block: use q->elevator with ->elevator_lock held in
    elv_iosched_show() (bsc#1244538).
  - commit e2bd775
* Fri Jun 13 2025 jslaby@suse.cz
  - drm/nouveau/nvkm: introduce new GSP
    reply policy NVKM_GSP_RPC_REPLY_POLL
    (<CAPM=9twiDpukMfKMOqSLXGHDfnQxGFjNnau1_XRt8pueL4MkoQ@mail.gmail.com>).
  - drm/nouveau/nvkm: factor out current GSP RPC command policies
    (<CAPM=9twiDpukMfKMOqSLXGHDfnQxGFjNnau1_XRt8pueL4MkoQ@mail.gmail.com>).
  - commit 4b9c13a
* Wed Jun 11 2025 mkubecek@suse.cz
  - config: refresh vanilla configs
  - commit f7149e5
* Wed Jun 11 2025 pfalcato@suse.de
  - drm/sitronix: st7571-i2c: Select VIDEOMODE_HELPERS
    (bsc#1244445).
    And, as a cause of the patch, refresh configs for:
    - ppc64le
    - s390x
  - commit f25bfc2
* Wed Jun 11 2025 jslaby@suse.cz
  - scripts/common-functions: fix the unsupported condition
    First, the check is inverted. if git-grep succeeds, it is unsupported,
    not vice versa -> remove "!".
    Second, the "\\\\<" is wrong -- it's not double-expanded, only once --
    so bare "\\<" is fine. That's why I thought "! git grep" worked.
  - commit 5a8b80b
* Tue Jun 10 2025 jslaby@suse.cz
  - Linux 6.15.2 (bsc#1012628).
  - tracing: Fix compilation warning on arm32 (bsc#1012628).
  - pinctrl: armada-37xx: use correct OUTPUT_VAL register for
    GPIOs > 31 (bsc#1012628).
  - pinctrl: armada-37xx: set GPIO output value before setting
    direction (bsc#1012628).
  - clk: samsung: correct clock summary for hsi1 block
    (bsc#1012628).
  - acpi-cpufreq: Fix nominal_freq units to KHz in
    get_max_boost_ratio() (bsc#1012628).
  - Documentation: ACPI: Use all-string data node references
    (bsc#1012628).
  - pinctrl: mediatek: eint: Fix invalid pointer dereference for
    v1 platforms (bsc#1012628).
  - rtc: Make rtc_time64_to_tm() support dates before 1970
    (bsc#1012628).
  - rtc: Fix offset calculation for .start_secs < 0 (bsc#1012628).
  - bcachefs: Kill un-reverted directory i_size code (bsc#1012628).
  - bcachefs: Repair code for directory i_size (bsc#1012628).
  - bcachefs: delete dead code from may_delete_deleted_inode()
    (bsc#1012628).
  - bcachefs: Run may_delete_deleted_inode() checks in
    bch2_inode_rm() (bsc#1012628).
  - bcachefs: Fix subvol to missing root repair (bsc#1012628).
  - usb: quirks: Add NO_LPM quirk for SanDisk Extreme 55AE
    (bsc#1012628).
  - usb: storage: Ignore UAS driver for SanDisk 3.2 Gen2 storage
    device (bsc#1012628).
  - USB: serial: pl2303: add new chip PL2303GC-Q20 and PL2303GT-2AB
    (bsc#1012628).
  - usb: typec: ucsi: fix Clang -Wsign-conversion warning
    (bsc#1012628).
  - Bluetooth: hci_qca: move the SoC type check to the right place
    (bsc#1012628).
  - serial: jsm: fix NPE during jsm_uart_port_init (bsc#1012628).
  - nvmem: rmem: select CONFIG_CRC32 (bsc#1012628).
  - usb: usbtmc: Fix timeout value in get_stb (bsc#1012628).
  - binder: fix use-after-free in binderfs_evict_inode()
    (bsc#1012628).
  - binder: fix yet another UAF in binder_devices (bsc#1012628).
  - thunderbolt: Do not double dequeue a configuration request
    (bsc#1012628).
  - dt-bindings: pwm: adi,axi-pwmgen: Fix clocks (bsc#1012628).
  - dt-bindings: remoteproc: qcom,sm8150-pas: Add missing SC8180X
    compatible (bsc#1012628).
  - dt-bindings: usb: cypress,hx3: Add support for all variants
    (bsc#1012628).
  - dt-bindings: phy: imx8mq-usb: fix
    fsl,phy-tx-vboost-level-microvolt property (bsc#1012628).
  - Rename to
    patches.kernel.org/6.15.2-002-Revert-x86-smp-Eliminate-mwait_play_dead_cpuid.patch.
  - Rename to
    patches.kernel.org/6.15.2-003-ACPICA-Introduce-ACPI_NONSTRING.patch.
  - Rename to
    patches.kernel.org/6.15.2-004-ACPICA-Apply-ACPI_NONSTRING.patch.
  - Rename to
    patches.kernel.org/6.15.2-005-ACPICA-Apply-ACPI_NONSTRING-in-more-places.patch.
  - Rename to
    patches.kernel.org/6.15.2-034-Revert-drm-amd-display-more-liberal-vmin-vmax-.patch.
  - commit bcb3897
* Tue Jun 10 2025 msuchanek@suse.de
  - mkspec: Exclude rt flavor from kernel-syms dependencies (bsc#1244337).
  - commit 7c95ae0
* Mon Jun 09 2025 msuchanek@suse.de
  - MyBS: Do not build kernel-obs-qa with limit_packages
    Fixes: 58e3f8c34b2b ("bs-upload-kernel: Pass limit_packages also on multibuild")
  - commit f4c6047
* Mon Jun 09 2025 msuchanek@suse.de
  - MyBS: Simplify qa_expr generation
    Start with a 0 which makes the expression valid even if there are no QA
    repositories (currently does not happen). Then separator is always
    needed.
  - commit e4c2851
* Mon Jun 09 2025 msuchanek@suse.de
  - MyBS: Correctly generate build flags for non-multibuild package limit
    (bsc# 1244241)
    Fixes: 0999112774fc ("MyBS: Use buildflags to set which package to build")
  - commit 27588c9
* Mon Jun 09 2025 msuchanek@suse.de
  - bs-upload-kernel: Pass limit_packages also on multibuild
    Fixes: 0999112774fc ("MyBS: Use buildflags to set which package to build")
    Fixes: 747f601d4156 ("bs-upload-kernel, MyBS, Buildresults: Support multibuild (JSC-SLE#5501, boo#1211226, bsc#1218184)")
  - commit 8ef486c
* Mon Jun 09 2025 pfalcato@suse.de
  - README.BRANCH: Change Pedro's email address
    Lets use pfalcato@suse.de, because:
    1) I prefer to do so (and other branch maintainers on other branches use
    it just fine)
    2) It should automatically, smoothly translate my username to the OBS
    username. Hopefully.
  - commit 88cd7f5
* Mon Jun 09 2025 mkubecek@suse.cz
  - README.BRANCH: add Pedro Falcato as co-maintainer
  - commit 209e124
* Mon Jun 09 2025 mkubecek@suse.cz
  - update to 6.16-rc1
  - drop 64 patches (50 stable, 14 mainline)
    - patches.kernel.org/*
    - patches.suse/ACPICA-Apply-ACPI_NONSTRING-in-more-places.patch
    - patches.suse/ACPICA-Apply-ACPI_NONSTRING.patch
    - patches.suse/ACPICA-Introduce-ACPI_NONSTRING.patch
    - patches.suse/Reapply-wifi-ath11k-restore-country-code-during-resu.patch
    - patches.suse/Revert-drm-amd-display-more-liberal-vmin-vmax-update.patch
    - patches.suse/Revert-x86-smp-Eliminate-mwait_play_dead_cpuid_hint.patch
    - patches.suse/iommu-Allow-attaching-static-domains-in-iommu_attach.patch
    - patches.suse/powerpc-boot-Fix-build-with-gcc-15.patch
    - patches.suse/video-screen_info-Update-framebuffers-behind-PCI-bri.patch (2f29b5c23101)
    - patches.suse/wifi-ath11k-choose-default-PM-policy-for-hibernation.patch
    - patches.suse/wifi-ath11k-determine-PM-policy-based-on-machine-mod.patch
    - patches.suse/wifi-ath11k-introduce-ath11k_core_continue_suspend_r.patch
    - patches.suse/wifi-ath11k-refactor-ath11k_core_suspend-_resume.patch
    - patches.suse/wifi-ath11k-support-non-WoWLAN-mode-suspend-as-well.patch
  - refresh
    - patches.rpmify/btf-pahole-j1-option.patch
    - patches.suse/0003-efi-Lock-down-the-kernel-if-booted-in-secure-boot-mode.patch
    - patches.suse/Revert-percpu-x86-enable-strict-percpu-checks-via-na.patch
    - patches.suse/add-suse-supported-flag.patch
    - patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch
  - disable ARM architectures (need config update)
  - new config options
    - General setup
    - CONFIG_KEXEC_HANDOVER=y
    - CONFIG_CRASH_DM_CRYPT=y
    - Processor type and features
    - CONFIG_X86_NATIVE_CPU=n
    - Memory Management options
    - CONFIG_PAGE_BLOCK_ORDER=10
    - Networking support
    - CONFIG_NET_SCH_BPF=y
    - CONFIG_RXGK=y
    - File systems
    - CONFIG_BCACHEFS_TRANS_KMALLOC_TRACE=n
    - CONFIG_BCACHEFS_ASYNC_OBJECT_LISTS=n
    - CONFIG_SQUASHFS_COMP_CACHE_FULL=n
    - CONFIG_EROFS_FS_ZIP_ACCEL=y
    - Cryptographic API
    - CONFIG_CRYPTO_SELFTESTS=n
    - CONFIG_CRYPTO_BENCHMARK=n
    - CONFIG_CRYPTO_DEV_QAT_6XXX=m
    - PCI support
    - CONFIG_PCI_PWRCTRL_SLOT=m
    - CONFIG_CXL_EDAC_MEM_FEATURES=y
    - CONFIG_CXL_EDAC_SCRUB=n
    - CONFIG_CXL_EDAC_ECS=n
    - CONFIG_CXL_EDAC_MEM_REPAIR=n
    - Misc devices
    - CONFIG_TI_FPC202=m
    - CONFIG_AMD_SBRMI_I2C=m
    - CONFIG_AMD_SBRMI_HWMON=n
    - Network device support
    - CONFIG_OVPN=m
    - CONFIG_HINIC3=m
    - CONFIG_AS21XXX_PHY=m
    - CONFIG_MAXLINEAR_86110_PHY=m
    - Power supply class support
    - CONFIG_BATTERY_CHAGALL=m
    - CONFIG_CHARGER_MAX8971=m
    - Hardware Monitoring support
    - CONFIG_SENSORS_KBATT=m
    - CONFIG_SENSORS_KFAN=m
    - CONFIG_SENSORS_LT3074=m
    - CONFIG_SENSORS_LT3074_REGULATOR=m
    - Multimedia support
    - CONFIG_VIDEO_OV02E10=m
    - CONFIG_VIDEO_OV02C10=m
    - CONFIG_VIDEO_VD55G1=m
    - CONFIG_VIDEO_VD56G3=m
    - Graphics support
    - CONFIG_DRM_XE_GPUSVM=y
    - CONFIG_DRM_ST7571_I2C=m
    - CONFIG_DRM_ST7586=m
    - CONFIG_DRM_ST7735R=m
    - Sound card support
    - CONFIG_SND_HDA_ACPI=m
    - CONFIG_SND_SOC_USB=m
    - CONFIG_SND_SOC_INTEL_AVS_CARDNAME_OBSOLETE=n
    - CONFIG_SND_SOC_CS48L32=n
    - CONFIG_SND_SOC_ES8375=n
    - CONFIG_SND_SOC_ES8389=n
    - CONFIG_SND_SOC_RT9123=m
    - CONFIG_SND_SOC_RT9123P=m
    - CONFIG_SND_USB_AUDIO_QMI=m
    - Virtio drivers
    - CONFIG_VIRTIO_RTC=m
    - CONFIG_VIRTIO_RTC_PTP=y
    - CONFIG_VIRTIO_RTC_CLASS=y
    - X86 Platform Specific Device Drivers
    - CONFIG_AMD_ISP_PLATFORM=m
    - CONFIG_PORTWELL_EC=m
    - CONFIG_DASHARO_ACPI=m
    - CONFIG_OXP_EC=m
    - CONFIG_TUXEDO_NB04_WMI_AB=m
    - Industrial I/O support
    - CONFIG_NCT7201=n
    - CONFIG_ROHM_BD79124=n
    - CONFIG_MHZ19B=n
    - CONFIG_SEN0322=n
    - CONFIG_AD3530R=n
    - Misc drivers
    - CONFIG_BLK_DEV_ZONED_LOOP=m
    - CONFIG_TCG_SVSM=m
    - CONFIG_I2C_DESIGNWARE_AMDISP=m
    - CONFIG_INTEL_OC_WATCHDOG=m
    - CONFIG_REGULATOR_ADP5055=m
    - CONFIG_USB_XHCI_SIDEBAND=y
    - CONFIG_MLXREG_DPU=m
    - OF dependent (i386, ppc64le, riscv64)
    - MFD_MAX77759=n
    - MFD_SEC_I2C=n
    - DRM_PANEL_BOE_TD4320=n
    - DRM_PANEL_HIMAX_HX8279=n
    - DRM_PANEL_NOVATEK_NT37801=n
    - DRM_PANEL_VISIONOX_G2647FB105=n
    - LEDS_TPS6131X=m
    - PWM_MC33XS2410=m
    - ppc64le
    - PREEMPT_DYNAMIC=y
    - CEC_GPIO=m
    - IMA_KEXEC_EXTRA_MEMORY_KB=0 (default)
    - DEBUG_PREEMPT=n
    - s390x/zfcpdump
    - TEST_VMALLOC=n
    - riscv64
    - RISCV_ISA_ZICBOP=y
    - RISCV_ISA_VENDOR_EXT_SIFIVE=y
    - DWMAC_RENESAS_GBETH=m
    - GPIO_SPACEMIT_K1=m
    - POWER_RESET_TORADEX_EC=m
    - SND_SOC_MSIOF=n
    - MMC_SDHCI_OF_K1=n
    - CLK_SOPHGO_SG2044=m
    - CLK_SOPHGO_SG2044_PLL=m
    - SPACEMIT_CCU=m
    - SPACEMIT_K1_CCU=m
    - CV1800_MBOX=m
    - SOPHGO_CV1800_RTCSYS=m
    - SOPHGO_SG2044_TOPSYS=m
    - SUN50I_H6_PRCM_PPU=n
    - PWM_MC33XS2410=m
    - PWM_RENESAS_RCAR=m
    - RESET_RZV2H_USB2PHY=m
    - RESET_TH1520=m
    - FUNCTION_TRACER=y
    - FUNCTION_GRAPH_TRACER=y
    - FUNCTION_GRAPH_RETVAL=y
    - FUNCTION_GRAPH_RETADDR=y
    - DYNAMIC_FTRACE=y
    - FPROBE=y
    - FUNCTION_PROFILER=y
    - STACK_TRACER=y
    - FPROBE_EVENTS=y
    - KPROBE_EVENTS_ON_NOTRACE=n
    - FTRACE_RECORD_RECURSION=n
    - FTRACE_VALIDATE_RCU_IS_WATCHING=n
    - HID_BPF=y
    - RTC_DRV_CV1800=m
    - PSTORE_FTRACE=y
    - PSTORE_BLK_FTRACE_SIZE=64
  - commit 343ff1c
* Sat Jun 07 2025 jslaby@suse.cz
  - Refresh
    patches.suse/Revert-drm-amd-display-more-liberal-vmin-vmax-update.patch.
    Update upstream status.
  - commit f548b28
* Fri Jun 06 2025 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream reference and resort
    - patches.suse/iommu-Allow-attaching-static-domains-in-iommu_attach.patch
  - commit 7c1c418
* Fri Jun 06 2025 tiwai@suse.de
  - drm/amd/display: Fix default DC and AC levels (bsc#1240650).
  - drm/amd/display: Add debugging message for brightness caps
    (bsc#1240650).
  - commit 98de36e
* Fri Jun 06 2025 msuchanek@suse.de
  - kernel-source: Do not use multiple -r in sed parameters
    This usage is enabled in commit b18d64d
    (sed: allow multiple (non-conflicting) -E/-r parameters, 2016-07-31)
    only available since sed 4.3
    Fixes: dc2037cd8f94 ("kernel-source: Also replace bin/env"
  - commit 91ad98e
* Thu Jun 05 2025 jslaby@suse.cz
  - Revert "percpu/x86: enable strict percpu checks via named AS
    qualifiers" (bsc#1244135).
  - commit e60198f
* Thu Jun 05 2025 jslaby@suse.cz
  - Linux 6.15.1 (bsc#1012628).
  - iommu: Handle yet another race around registration
    (bsc#1012628).
  - iommu: Avoid introducing more races (bsc#1012628).
  - coredump: hand a pidfd to the usermode coredump helper
    (bsc#1012628).
  - coredump: fix error handling for replace_fd() (bsc#1012628).
  - pidfs: move O_RDWR into pidfs_alloc_file() (bsc#1012628).
  - perf/arm-cmn: Add CMN S3 ACPI binding (bsc#1012628).
  - perf/arm-cmn: Initialise cmn->cpu earlier (bsc#1012628).
  - perf/arm-cmn: Fix REQ2/SNP2 mixup (bsc#1012628).
  - net_sched: hfsc: Address reentrant enqueue adding class to
    eltree twice (bsc#1012628).
  - arm64: dts: ti: k3-j784s4-j742s2-main-common: Fix length of
    serdes_ln_ctrl (bsc#1012628).
  - arm64: dts: ti: k3-j722s-main: Disable "serdes_wiz0" and
    "serdes_wiz1" (bsc#1012628).
  - arm64: dts: ti: k3-j722s-evm: Enable "serdes_wiz0" and
    "serdes_wiz1" (bsc#1012628).
  - arm64: dts: ti: k3-j721e-sk: Add requiried voltage supplies
    for IMX219 (bsc#1012628).
  - arm64: dts: ti: k3-j721e-sk: Remove clock-names property from
    IMX219 overlay (bsc#1012628).
  - arm64: dts: ti: k3-j721e-sk: Add DT nodes for power regulators
    (bsc#1012628).
  - arm64: dts: ti: k3-am68-sk: Fix regulator hierarchy
    (bsc#1012628).
  - arm64: dts: ti: k3-am65-main: Add missing taps to sdhci0
    (bsc#1012628).
  - arm64: dts: ti: k3-am62x: Rename I2C switch to I2C mux in
    OV5640 overlay (bsc#1012628).
  - arm64: dts: ti: k3-am62x: Rename I2C switch to I2C mux in
    IMX219 overlay (bsc#1012628).
  - arm64: dts: ti: k3-am62x: Remove clock-names property from
    IMX219 overlay (bsc#1012628).
  - arm64: dts: ti: k3-am62p-j722s-common-main: Set eMMC clock
    parent to default (bsc#1012628).
  - arm64: dts: ti: k3-am62a-main: Set eMMC clock parent to default
    (bsc#1012628).
  - arm64: dts: ti: k3-am62-main: Set eMMC clock parent to default
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100: Fix PCIe 3rd controller DBI size
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100: Add GPU cooling (bsc#1012628).
  - arm64: dts: qcom: x1e80100: Apply consistent critical thermal
    shutdown (bsc#1012628).
  - arm64: dts: qcom: x1e80100: Fix video thermal zone
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100-yoga-slim7x: mark l12b and l15b
    always-on (bsc#1012628).
  - arm64: dts: qcom: x1e80100-qcp: mark l12b and l15b always-on
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100-qcp: Fix vreg_l2j_1p2 voltage
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100-lenovo-yoga-slim7x: Fix vreg_l2j_1p2
    voltage (bsc#1012628).
  - arm64: dts: qcom: x1e80100-hp-x14: mark l12b and l15b always-on
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100-hp-omnibook-x14: Fix vreg_l2j_1p2
    voltage (bsc#1012628).
  - arm64: dts: qcom: x1e80100-hp-omnibook-x14: Enable SMB2360 0
    and 1 (bsc#1012628).
  - arm64: dts: qcom: x1e80100-dell-xps13-9345: mark l12b and l15b
    always-on (bsc#1012628).
  - arm64: dts: qcom: x1e80100-asus-vivobook-s15: Fix vreg_l2j_1p2
    voltage (bsc#1012628).
  - arm64: dts: qcom: x1e001de-devkit: mark l12b and l15b always-on
    (bsc#1012628).
  - arm64: dts: qcom: x1e001de-devkit: Fix vreg_l2j_1p2 voltage
    (bsc#1012628).
  - arm64: dts: qcom: sm8650: Add missing properties for cryptobam
    (bsc#1012628).
  - arm64: dts: qcom: sm8550: Add missing properties for cryptobam
    (bsc#1012628).
  - arm64: dts: qcom: sm8450: Add missing properties for cryptobam
    (bsc#1012628).
  - arm64: dts: qcom: sm8350: Fix typo in pil_camera_mem node
    (bsc#1012628).
  - arm64: dts: qcom: sa8775p: Remove cdsp compute-cb@10
    (bsc#1012628).
  - arm64: dts: qcom: sa8775p: Remove extra entries from the iommus
    property (bsc#1012628).
  - arm64: dts: qcom: sa8775p: Add missing properties for cryptobam
    (bsc#1012628).
  - arm64: dts: qcom: ipq9574: Add missing properties for cryptobam
    (bsc#1012628).
  - arm64: dts: rockchip: Add missing SFC power-domains to rk3576
    (bsc#1012628).
  - arm64: dts: rockchip: fix internal USB hub instability on
    RK3399 Puma (bsc#1012628).
  - arm64: dts: socfpga: agilex5: fix gpio0 address (bsc#1012628).
  - commit 5623d06
* Tue Jun 03 2025 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream references and resort
    - patches.suse/Reapply-wifi-ath11k-restore-country-code-during-resu.patch
    - patches.suse/powerpc-boot-Fix-build-with-gcc-15.patch
    - patches.suse/wifi-ath11k-choose-default-PM-policy-for-hibernation.patch
    - patches.suse/wifi-ath11k-determine-PM-policy-based-on-machine-mod.patch
    - patches.suse/wifi-ath11k-introduce-ath11k_core_continue_suspend_r.patch
    - patches.suse/wifi-ath11k-refactor-ath11k_core_suspend-_resume.patch
    - patches.suse/wifi-ath11k-support-non-WoWLAN-mode-suspend-as-well.patch
  - commit e9df5e4
* Mon Jun 02 2025 msuchanek@suse.de
  - Remove debug flavor (bsc#1243919).
  - commit a8b8a5b
* Mon Jun 02 2025 jslaby@suse.cz
  - rpm/check-for-config-changes: add more to IGNORED_CONFIGS_RE
    Useful when someone tries (needs) to build the kernel with clang.
  - commit 06918e3
* Mon Jun 02 2025 jslaby@suse.cz
  - Revert "x86/smp: Eliminate mwait_play_dead_cpuid_hint()"
    (bsc#1243907).
  - commit 1fa64dc
* Fri May 30 2025 duwe@suse.de
  - Update config files:
    - enable Haoyu Microelectronics HYM8563 module,
    a popular RTC on ARM64, especially on Rockchip SoCs
  - commit 6a8b6fb
* Thu May 29 2025 jslaby@suse.cz
  - Revert "drm/amd/display: more liberal vmin/vmax update for
    freesync" (bsc#1243782).
  - commit 9dd7b0d
* Thu May 29 2025 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream references and move into sorted section
    - patches.suse/ACPICA-Apply-ACPI_NONSTRING-in-more-places.patch
    - patches.suse/ACPICA-Apply-ACPI_NONSTRING.patch
    - patches.suse/ACPICA-Introduce-ACPI_NONSTRING.patch
  - commit 41d2c18
* Wed May 28 2025 jslaby@suse.cz
  - ACPICA: Apply ACPI_NONSTRING in more places (acpica-build-fix).
  - commit 2d9e662
* Wed May 28 2025 jslaby@suse.cz
  - ACPICA: Apply ACPI_NONSTRING (acpica-build-fix).
  - ACPICA: Introduce ACPI_NONSTRING (acpica-build-fix).
  - commit cee5f74
* Wed May 28 2025 jslaby@suse.cz
  - Revert "Use gcc 13 for now" and "config: Set gcc version (jsc#PED-12251)."
    This reverts commits 1e750ef57517b9a3d42d75065c96ca02b25a7055 and
    45c418b088c1e0f8e34c73c29d52b2707b163d2b.
    gcc 13 is not is factory staging, so the kernel cannot be submitted to
    factory. Let's revert for now and invent something better. I.e. use
    system's unversioned gcc.
  - Revert "Use gcc 13 for now"
    This reverts commit 45c418b088c1e0f8e34c73c29d52b2707b163d2b.
    gcc 13 is not is factory staging, so the kernel cannot be submitted to
    factory. Let's revert for now and invent something better.
  - commit 9f69fe5
* Mon May 26 2025 jslaby@suse.cz
  - Delete
    patches.suse/compiler.h-Avoid-the-usage-of-__typeof_unqual__-when.patch.
    Superseded by:
    1013f5636fd8 genksyms: Handle typeof_unqual keyword and __seg_{fs,gs} qualifiers
  - commit cd769f1
* Mon May 26 2025 mkubecek@suse.cz
  - update to 6.15 final
  - refresh configs (headers only)
  - commit ed9faca
* Fri May 23 2025 jslaby@suse.cz
  - Linux 6.14.8 (bsc#1012628).
  - phy: tegra: xusb: remove a stray unlock (bsc#1012628).
  - perf tools: Fix build error for LoongArch (bsc#1012628).
  - mm/page_alloc: fix race condition in unaccepted memory handling
    (bsc#1012628).
  - drm/xe/gsc: do not flush the GSC worker from the reset path
    (bsc#1012628).
  - accel/ivpu: Flush pending jobs of device's workqueues
    (bsc#1012628).
  - accel/ivpu: Fix missing MMU events if file_priv is unbound
    (bsc#1012628).
  - accel/ivpu: Fix missing MMU events from reserved SSID
    (bsc#1012628).
  - accel/ivpu: Move parts of MMU event IRQ handling to thread
    handler (bsc#1012628).
  - accel/ivpu: Dump only first MMU fault from single context
    (bsc#1012628).
  - accel/ivpu: Use workqueue for IRQ handling (bsc#1012628).
  - dmaengine: idxd: Refactor remove call with idxd_cleanup()
    helper (bsc#1012628).
  - dmaengine: idxd: fix memory leak in error handling path of
    idxd_pci_probe (bsc#1012628).
  - dmaengine: idxd: fix memory leak in error handling path of
    idxd_alloc (bsc#1012628).
  - dmaengine: idxd: Add missing idxd cleanup to fix memory leak
    in remove call (bsc#1012628).
  - dmaengine: idxd: Add missing cleanups in cleanup internals
    (bsc#1012628).
  - dmaengine: idxd: Add missing cleanup for early error out in
    idxd_setup_internals (bsc#1012628).
  - dmaengine: idxd: fix memory leak in error handling path of
    idxd_setup_groups (bsc#1012628).
  - dmaengine: idxd: fix memory leak in error handling path of
    idxd_setup_engines (bsc#1012628).
  - dmaengine: idxd: fix memory leak in error handling path of
    idxd_setup_wqs (bsc#1012628).
  - dmaengine: ti: k3-udma: Use cap_mask directly from dma_device
    structure instead of a local copy (bsc#1012628).
  - dmaengine: ti: k3-udma: Add missing locking (bsc#1012628).
  - mm: userfaultfd: correct dirty flags set for both present and
    swap pte (bsc#1012628).
  - mm: hugetlb: fix incorrect fallback for subpool (bsc#1012628).
  - io_uring/uring_cmd: fix hybrid polling initialization issue
    (bsc#1012628).
  - io_uring/memmap: don't use page_address() on a highmem page
    (bsc#1012628).
  - net: qede: Initialize qede_ll_ops with designated initializer
    (bsc#1012628).
  - ring-buffer: Fix persistent buffer when commit page is the
    reader page (bsc#1012628).
  - wifi: mt76: mt7925: fix missing hdr_trans_tlv command for
    broadcast wtbl (bsc#1012628).
  - wifi: mt76: disable napi on driver removal (bsc#1012628).
  - tpm: Mask TPM RC in tpm2_start_auth_session() (bsc#1012628).
  - spi: tegra114: Use value to check for invalid delays
    (bsc#1012628).
  - smb: client: fix memory leak during error handling for POSIX
    mkdir (bsc#1012628).
  - scsi: sd_zbc: block: Respect bio vector limits for REPORT
    ZONES buffer (bsc#1012628).
  - phy: renesas: rcar-gen3-usb2: Set timing registers only once
    (bsc#1012628).
  - phy: renesas: rcar-gen3-usb2: Fix role detection on unbind/bind
    (bsc#1012628).
  - net: phy: micrel: remove KSZ9477 EEE quirks now handled by
    phylink (bsc#1012628).
  - net: dsa: microchip: let phylink manage PHY EEE configuration
    on KSZ switches (bsc#1012628).
  - phy: Fix error handling in tegra_xusb_port_init (bsc#1012628).
  - phy: tegra: xusb: Use a bitmask for UTMI pad power state
    tracking (bsc#1012628).
  - tracing: samples: Initialize trace_array_printk() with the
    correct function (bsc#1012628).
  - x86/sev: Make sure pages are not skipped during kdump
    (bsc#1012628).
  - x86/sev: Do not touch VMSA pages during SNP guest memory kdump
    (bsc#1012628).
  - ftrace: Fix preemption accounting for stacktrace filter command
    (bsc#1012628).
  - ftrace: Fix preemption accounting for stacktrace trigger command
    (bsc#1012628).
  - i2c: designware: Fix an error handling path in
    i2c_dw_pci_probe() (bsc#1012628).
  - kbuild: Disable -Wdefault-const-init-unsafe (bsc#1012628).
  - Drivers: hv: vmbus: Remove vmbus_sendpacket_pagebuffer()
    (bsc#1012628).
  - Drivers: hv: Allow vmbus_sendpacket_mpb_desc() to create
    multiple ranges (bsc#1012628).
  - hv_netvsc: Remove rmsg_pgcnt (bsc#1012628).
  - hv_netvsc: Preserve contiguous PFN grouping in the page buffer
    array (bsc#1012628).
  - hv_netvsc: Use vmbus_sendpacket_mpb_desc() to send VMBus
    messages (bsc#1012628).
  - arm64: dts: rockchip: Remove overdrive-mode OPPs from RK3588J
    SoC dtsi (bsc#1012628).
  - arm64: dts: rockchip: Allow Turing RK1 cooling fan to spin down
    (bsc#1012628).
  - arm64: dts: amlogic: dreambox: fix missing clkc_audio node
    (bsc#1012628).
  - dma-buf: insert memory barrier before updating num_fences
    (bsc#1012628).
  - ALSA: usb-audio: Add sample rate quirk for Microdia JP001 USB
    Camera (bsc#1012628).
  - ALSA: usb-audio: Add sample rate quirk for Audioengine D1
    (bsc#1012628).
  - ALSA: es1968: Add error handling for
    snd_pcm_hw_constraint_pow2() (bsc#1012628).
  - ACPI: PPTT: Fix processor subtable walk (bsc#1012628).
  - gpio: pca953x: fix IRQ storm on system wake up (bsc#1012628).
  - MAINTAINERS: Update Alexey Makhalov's email address
    (bsc#1012628).
  - drm/amd/display: Avoid flooding unnecessary info messages
    (bsc#1012628).
  - drm/amd/display: Correct the reply value when AUX write
    incomplete (bsc#1012628).
  - drm/amdgpu: csa unmap use uninterruptible lock (bsc#1012628).
  - drm/amdgpu: fix incorrect MALL size for GFX1151 (bsc#1012628).
  - drm/tiny: panel-mipi-dbi: Use drm_client_setup_with_fourcc()
    (bsc#1012628).
  - Revert "drm/amd/display: Hardware cursor changes color when
    switched to software cursor" (bsc#1012628).
  - btrfs: add back warning for mount option commit values exceeding
    300 (bsc#1012628).
  - btrfs: fix folio leak in submit_one_async_extent()
    (bsc#1012628).
  - btrfs: fix discard worker infinite loop after disabling discard
    (bsc#1012628).
  - LoongArch: uprobes: Remove redundant code about resume_era
    (bsc#1012628).
  - LoongArch: uprobes: Remove user_{en,dis}able_single_step()
    (bsc#1012628).
  - LoongArch: Fix MAX_REG_OFFSET calculation (bsc#1012628).
  - LoongArch: Save and restore CSR.CNTC for hibernation
    (bsc#1012628).
  - LoongArch: Move __arch_cpu_idle() to .cpuidle.text section
    (bsc#1012628).
  - LoongArch: Prevent cond_resched() occurring within kernel-fpu
    (bsc#1012628).
  - HID: amd_sfh: Fix SRA sensor when it's the only sensor
    (bsc#1012628).
  - HID: bpf: abort dispatch if device destroyed (bsc#1012628).
  - fs/eventpoll: fix endless busy loop after timeout has expired
    (bsc#1012628).
  - udf: Make sure i_lenExtents is uptodate on inode eviction
    (bsc#1012628).
  - sched_ext: bpf_iter_scx_dsq_new() should always initialize
    iterator (bsc#1012628).
  - Revert "kbuild, rust: use -fremap-path-prefix to make paths
    relative" (bsc#1012628).
  - dmaengine: Revert "dmaengine: dmatest: Fix dmatest waiting
    less when interrupted" (bsc#1012628).
  - NFSv4/pnfs: Reset the layout state after a layoutreturn
    (bsc#1012628).
  - ublk: fix dead loop when canceling io command (bsc#1012628).
  - tsnep: fix timestamping with a stacked DSA driver (bsc#1012628).
  - net/tls: fix kernel panic when alloc_page failed (bsc#1012628).
  - mlxsw: spectrum_router: Fix use-after-free when deleting GRE
    net devices (bsc#1012628).
  - wifi: mac80211: Set n_channels after allocating struct
    cfg80211_scan_request (bsc#1012628).
  - octeontx2-pf: Do not reallocate all ntuple filters
    (bsc#1012628).
  - octeontx2-af: Fix CGX Receive counters (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix typo for declaration MT7988
    ESW capability (bsc#1012628).
  - octeontx2-pf: macsec: Fix incorrect max transmit size in TX secy
    (bsc#1012628).
  - netlink: specs: tc: all actions are indexed arrays
    (bsc#1012628).
  - netlink: specs: tc: fix a couple of attribute names
    (bsc#1012628).
  - drm/xe: Save CTX_TIMESTAMP mmio value instead of LRC value
    (bsc#1012628).
  - io_uring/fdinfo: grab ctx->uring_lock around
    io_uring_show_fdinfo() (bsc#1012628).
  - octeontx2-pf: Fix ethtool support for SDP representors
    (bsc#1012628).
  - regulator: max20086: fix invalid memory access (bsc#1012628).
  - qlcnic: fix memory leak in qlcnic_sriov_channel_cfg_cmd()
    (bsc#1012628).
  - net/mlx5e: Disable MACsec offload for uplink representor profile
    (bsc#1012628).
  - vsock/test: Fix occasional failure in SIOCOUTQ tests
    (bsc#1012628).
  - drm/amd/display: Fix null check of pipe_ctx->plane_state for
    update_dchubp_dpp (bsc#1012628).
  - net: dsa: b53: prevent standalone from trying to forward to
    other ports (bsc#1012628).
  - ALSA: sh: SND_AICA should depend on SH_DMA_API (bsc#1012628).
  - nvme-pci: acquire cq_poll_lock in nvme_poll_irqdisable
    (bsc#1012628).
  - nvme-pci: make nvme_pci_npages_prp() __always_inline
    (bsc#1012628).
  - net: dsa: sja1105: discard incoming frames in BR_STATE_LISTENING
    (bsc#1012628).
  - net: cadence: macb: Fix a possible deadlock in macb_halt_tx
    (bsc#1012628).
  - ALSA: ump: Fix a typo of snd_ump_stream_msg_device_info
    (bsc#1012628).
  - ALSA: seq: Fix delivery of UMP events to group ports
    (bsc#1012628).
  - net: mctp: Ensure keys maintain only one ref to corresponding
    dev (bsc#1012628).
  - tests/ncdevmem: Fix double-free of queue array (bsc#1012628).
  - net: mctp: Don't access ifa_index when missing (bsc#1012628).
  - tools/net/ynl: ethtool: fix crash when Hardware Clock info is
    missing (bsc#1012628).
  - drm/meson: Use 1000ULL when operating with mode->clock
    (bsc#1012628).
  - net_sched: Flush gso_skb list too during ->change()
    (bsc#1012628).
  - Bluetooth: MGMT: Fix MGMT_OP_ADD_DEVICE invalid device flags
    (bsc#1012628).
  - RDMA/core: Fix "KASAN: slab-use-after-free Read in
    ib_register_device" problem (bsc#1012628).
  - spi: loopback-test: Do not split 1024-byte hexdumps
    (bsc#1012628).
  - NFS/localio: Fix a race in nfs_local_open_fh() (bsc#1012628).
  - nfs: handle failure of nfs_get_lock_context in unlock path
    (bsc#1012628).
  - HID: uclogic: Add NULL check in uclogic_input_configured()
    (bsc#1012628).
  - HID: thrustmaster: fix memory leak in thrustmaster_interrupts()
    (bsc#1012628).
  - RDMA/rxe: Fix slab-use-after-free Read in rxe_queue_cleanup bug
    (bsc#1012628).
  - iio: adc: ad7606: check for NULL before calling sw_mode_config()
    (bsc#1012628).
  - iio: adc: ad7606: move software functions into common file
    (bsc#1012628).
  - iio: adc: ad7606: move the software mode configuration
    (bsc#1012628).
  - tpm: tis: Double the timeout B to 4s (bsc#1012628).
  - tracing: probes: Fix a possible race in trace_probe_log APIs
    (bsc#1012628).
  - tracing: fprobe: Fix RCU warning message in list traversal
    (bsc#1012628).
  - cgroup/cpuset: Extend kthread_is_per_cpu() check to all
    PF_NO_SETAFFINITY tasks (bsc#1012628).
  - arm64: dts: imx8mp-var-som: Fix LDO5 shutdown causing SD card
    timeout (bsc#1012628).
  - platform/x86: asus-wmi: Fix wlan_ctrl_by_user detection
    (bsc#1012628).
  - platform/x86/amd/pmc: Declare quirk_spurious_8042 for MECHREVO
    Wujie 14XA (GX4HRXL) (bsc#1012628).
  - binfmt_elf: Move brk for static PIE even if ASLR disabled
    (bsc#1012628).
  - riscv: dts: sophgo: fix DMA data-width configuration for CV18xx
    (bsc#1012628).
  - arm64: dts: rockchip: fix Sige5 RTC interrupt pin (bsc#1012628).
  - platform/x86/amd/hsmp: Make amd_hsmp and hsmp_acpi as mutually
    exclusive drivers (bsc#1012628).
  - x86/amd_node, platform/x86/amd/hsmp: Have HSMP use SMN through
    AMD_NODE (bsc#1012628).
  - drivers/platform/x86/amd: pmf: Check for invalid Smart PC
    Policies (bsc#1012628).
  - drivers/platform/x86/amd: pmf: Check for invalid sideloaded
    Smart PC Policies (bsc#1012628).
  - fs/xattr.c: fix simple_xattr_list to always include security.*
    xattrs (bsc#1012628).
  - arm64: dts: rockchip: Assign RT5616 MCLK rate on
    rk3588-friendlyelec-cm3588 (bsc#1012628).
  - commit e6e8235
* Mon May 19 2025 msuchanek@suse.de
  - rpm: Stop using is_kotd_qa macro
    This macro is set by bs-upload-kernel, and a conditional in each spec
    file is used to determine when to build the spec file.
    This logic should not really be in the spec file. Previously this was
    done with package links and package meta for the individula links.
    However, the use of package links is rejected for packages in git based
    release projects (nothing to do with git actually, new policy). An
    alternative to package links is multibuild. However, for multibuild
    packages package meta cannot be used to set which spec file gets built.
    Use prjcon buildflags instead, and remove this conditional. Depends on
    bs-upload-kernel adding the build flag.
  - commit 9eb8a6f
* Mon May 19 2025 msuchanek@suse.de
  - kernel-obs-qa: Use srchash for dependency as well
  - commit 485ae1d
* Sun May 18 2025 mkubecek@suse.cz
  - update to 6.15-rc7
  - update configs
    - MITIGATION_ITS=y (x86_64 only)
  - commit 06436f9
* Sun May 18 2025 jslaby@suse.cz
  - Linux 6.14.7 (bsc#1012628).
  - dm: add missing unlock on in dm_keyslot_evict() (bsc#1012628).
  - fs/erofs/fileio: call erofs_onlinefolio_split() after
    bio_add_folio() (bsc#1012628).
  - Revert "btrfs: canonicalize the device path before adding it"
    (bsc#1012628).
  - arm64: dts: imx8mm-verdin: Link reg_usdhc2_vqmmc to usdhc2
    (bsc#1012628).
  - firmware: arm_scmi: Fix timeout checks on polling path
    (bsc#1012628).
  - can: mcan: m_can_class_unregister(): fix order of unregistration
    calls (bsc#1012628).
  - s390/pci: Fix missing check for zpci_create_device() error
    return (bsc#1012628).
  - wifi: cfg80211: fix out-of-bounds access during multi-link
    element defragmentation (bsc#1012628).
  - vfio/pci: Align huge faults to order (bsc#1012628).
  - s390/pci: Fix duplicate pci_dev_put() in disable_slot() when
    PF has child VFs (bsc#1012628).
  - can: mcp251xfd: mcp251xfd_remove(): fix order of unregistration
    calls (bsc#1012628).
  - can: rockchip_canfd: rkcanfd_remove(): fix order of
    unregistration calls (bsc#1012628).
  - ksmbd: prevent rename with empty string (bsc#1012628).
  - ksmbd: prevent out-of-bounds stream writes by validating *pos
    (bsc#1012628).
  - ksmbd: Fix UAF in __close_file_table_ids (bsc#1012628).
  - openvswitch: Fix unsafe attribute parsing in output_userspace()
    (bsc#1012628).
  - ksmbd: fix memory leak in parse_lease_state() (bsc#1012628).
  - s390/entry: Fix last breaking event handling in case of stack
    corruption (bsc#1012628).
  - virtio-net: don't re-enable refill work too early when NAPI
    is disabled (bsc#1012628).
  - virtio-net: free xsk_buffs on error in virtnet_xsk_pool_enable()
    (bsc#1012628).
  - gre: Fix again IPv6 link-local address generation (bsc#1012628).
  - net: ethernet: mtk_eth_soc: reset all TX queues on DMA free
    (bsc#1012628).
  - net: ethernet: mtk_eth_soc: do not reset PSE when setting FE
    (bsc#1012628).
  - can: m_can: m_can_class_allocate_dev(): initialize spin lock
    on device probe (bsc#1012628).
  - can: mcp251xfd: fix TDC setting for low data bit rates
    (bsc#1012628).
  - can: gw: fix RCU/BH usage in cgw_create_job() (bsc#1012628).
  - wifi: mac80211: fix the type of status_code for negotiated
    TID to Link Mapping (bsc#1012628).
  - ice: use DSN instead of PCI BDF for ice_adapter index
    (bsc#1012628).
  - erofs: ensure the extra temporary copy is valid for shortened
    bvecs (bsc#1012628).
  - ipvs: fix uninit-value for saddr in do_output_route4
    (bsc#1012628).
  - netfilter: ipset: fix region locking in hash types
    (bsc#1012628).
  - bpf: Scrub packet on bpf_redirect_peer (bsc#1012628).
  - net: dsa: b53: allow leaky reserved multicast (bsc#1012628).
  - net: dsa: b53: keep CPU port always tagged again (bsc#1012628).
  - net: dsa: b53: fix clearing PVID of a port (bsc#1012628).
  - net: dsa: b53: fix flushing old pvid VLAN on pvid change
    (bsc#1012628).
  - net: dsa: b53: fix VLAN ID for untagged vlan on bridge leave
    (bsc#1012628).
  - net: dsa: b53: always rejoin default untagged VLAN on bridge
    leave (bsc#1012628).
  - net: dsa: b53: do not allow to configure VLAN 0 (bsc#1012628).
  - net: dsa: b53: do not program vlans when vlan filtering is off
    (bsc#1012628).
  - net: dsa: b53: fix toggling vlan_filtering (bsc#1012628).
  - net: dsa: b53: fix learning on VLAN unaware bridges
    (bsc#1012628).
  - net: dsa: b53: do not set learning and unicast/multicast on up
    (bsc#1012628).
  - fbnic: Fix initialization of mailbox descriptor rings
    (bsc#1012628).
  - fbnic: Gate AXI read/write enabling on FW mailbox (bsc#1012628).
  - fbnic: Actually flush_tx instead of stalling out (bsc#1012628).
  - fbnic: Cleanup handling of completions (bsc#1012628).
  - fbnic: Improve responsiveness of fbnic_mbx_poll_tx_ready
    (bsc#1012628).
  - fbnic: Pull fbnic_fw_xmit_cap_msg use out of interrupt context
    (bsc#1012628).
  - fbnic: Do not allow mailbox to toggle to ready outside
    fbnic_mbx_poll_tx_ready (bsc#1012628).
  - net: export a helper for adding up queue stats (bsc#1012628).
  - virtio-net: fix total qstat values (bsc#1012628).
  - Input: cyttsp5 - ensure minimum reset pulse width (bsc#1012628).
  - Input: cyttsp5 - fix power control issue on wakeup
    (bsc#1012628).
  - Input: mtk-pmic-keys - fix possible null pointer dereference
    (bsc#1012628).
  - Input: xpad - fix Share button on Xbox One controllers
    (bsc#1012628).
  - Input: xpad - add support for 8BitDo Ultimate 2 Wireless
    Controller (bsc#1012628).
  - Input: xpad - fix two controller table values (bsc#1012628).
  - Input: synaptics - enable InterTouch on Dynabook Portege X30-D
    (bsc#1012628).
  - Input: synaptics - enable InterTouch on Dynabook Portege X30L-G
    (bsc#1012628).
  - Input: synaptics - enable InterTouch on Dell Precision M3800
    (bsc#1012628).
  - Input: synaptics - enable SMBus for HP Elitebook 850 G1
    (bsc#1012628).
  - Input: synaptics - enable InterTouch on TUXEDO InfinityBook
    Pro 14 v5 (bsc#1012628).
  - rust: clean Rust 1.88.0's `unnecessary_transmutes` lint
    (bsc#1012628).
  - objtool/rust: add one more `noreturn` Rust function for Rust
    1.87.0 (bsc#1012628).
  - rust: clean Rust 1.88.0's warning about
    `clippy::disallowed_macros` configuration (bsc#1012628).
  - uio_hv_generic: Fix sysfs creation path for ring buffer
    (bsc#1012628).
  - staging: iio: adc: ad7816: Correct conditional logic for store
    mode (bsc#1012628).
  - staging: bcm2835-camera: Initialise dev in v4l2_dev
    (bsc#1012628).
  - staging: axis-fifo: Remove hardware resets for user errors
    (bsc#1012628).
  - staging: axis-fifo: Correct handling of tx_fifo_depth for size
    validation (bsc#1012628).
  - x86/mm: Eliminate window where TLB flushes may be inadvertently
    skipped (bsc#1012628).
  - mm: fix folio_pte_batch() on XEN PV (bsc#1012628).
  - mm: vmalloc: support more granular vrealloc() sizing
    (bsc#1012628).
  - mm/huge_memory: fix dereferencing invalid pmd migration entry
    (bsc#1012628).
  - mm/userfaultfd: fix uninitialized output field for -EAGAIN race
    (bsc#1012628).
  - selftests/mm: compaction_test: support platform with huge
    mount of memory (bsc#1012628).
  - selftests/mm: fix a build failure on powerpc (bsc#1012628).
  - selftests/mm: fix build break when compiling pkey_util.c
    (bsc#1012628).
  - KVM: x86/mmu: Prevent installing hugepages when mem attributes
    are changing (bsc#1012628).
  - KVM: SVM: Forcibly leave SMM mode on SHUTDOWN interception
    (bsc#1012628).
  - drm/amd/display: Shift DMUB AUX reply command if necessary
    (bsc#1012628).
  - riscv: Fix kernel crash due to PR_SET_TAGGED_ADDR_CTRL
    (bsc#1012628).
  - io_uring: ensure deferred completions are flushed for multishot
    (bsc#1012628).
  - iio: adc: ad7768-1: Fix insufficient alignment of timestamp
    (bsc#1012628).
  - iio: adc: ad7266: Fix potential timestamp alignment issue
    (bsc#1012628).
  - iio: adc: ad7606: fix serial register access (bsc#1012628).
  - iio: adc: rockchip: Fix clock initialization sequence
    (bsc#1012628).
  - iio: adis16201: Correct inclinometer channel resolution
    (bsc#1012628).
  - iio: chemical: sps30: use aligned_s64 for timestamp
    (bsc#1012628).
  - iio: chemical: pms7003: use aligned_s64 for timestamp
    (bsc#1012628).
  - iio: hid-sensor-prox: Restore lost scale assignments
    (bsc#1012628).
  - iio: hid-sensor-prox: support multi-channel SCALE calculation
    (bsc#1012628).
  - iio: hid-sensor-prox: Fix incorrect OFFSET calculation
    (bsc#1012628).
  - iio: imu: inv_mpu6050: align buffer for timestamp (bsc#1012628).
  - iio: imu: st_lsm6dsx: fix possible lockup in
    st_lsm6dsx_read_fifo (bsc#1012628).
  - iio: imu: st_lsm6dsx: fix possible lockup in
    st_lsm6dsx_read_tagged_fifo (bsc#1012628).
  - iio: light: opt3001: fix deadlock due to concurrent flag access
    (bsc#1012628).
  - iio: pressure: mprls0025pa: use aligned_s64 for timestamp
    (bsc#1012628).
  - Revert "drm/amd: Stop evicting resources on APUs in suspend"
    (bsc#1012628).
  - drm/v3d: Add job to pending list if the reset was skipped
    (bsc#1012628).
  - drm/xe: Add page queue multiplier (bsc#1012628).
  - drm/amdgpu: fix pm notifier handling (bsc#1012628).
  - drm/amdgpu/vcn: using separate VCN1_AON_SOC offset
    (bsc#1012628).
  - drm/amd/display: Fix invalid context error in dml helper
    (bsc#1012628).
  - drm/amd/display: more liberal vmin/vmax update for freesync
    (bsc#1012628).
  - drm/amd/display: Fix the checking condition in dmub aux handling
    (bsc#1012628).
  - drm/amd/display: Remove incorrect checking in dmub aux handler
    (bsc#1012628).
  - drm/amd/display: Fix wrong handling for AUX_DEFER case
    (bsc#1012628).
  - drm/amd/display: Copy AUX read reply data whenever length >
    0 (bsc#1012628).
  - drm/amdgpu/hdp4: use memcfg register to post the write for
    HDP flush (bsc#1012628).
  - drm/amdgpu/hdp5.2: use memcfg register to post the write for
    HDP flush (bsc#1012628).
  - drm/amdgpu/hdp5: use memcfg register to post the write for
    HDP flush (bsc#1012628).
  - drm/amdgpu/hdp6: use memcfg register to post the write for
    HDP flush (bsc#1012628).
  - drm/amdgpu/hdp7: use memcfg register to post the write for
    HDP flush (bsc#1012628).
  - xhci: dbc: Avoid event polling busyloop if pending rx transfers
    are inactive (bsc#1012628).
  - usb: uhci-platform: Make the clock really optional
    (bsc#1012628).
  - smb: client: Avoid race in open_cached_dir with lease breaks
    (bsc#1012628).
  - xen: swiotlb: Use swiotlb bouncing if kmalloc allocation
    demands it (bsc#1012628).
  - xenbus: Use kref to track req lifetime (bsc#1012628).
  - accel/ivpu: Increase state dump msg timeout (bsc#1012628).
  - arm64: cpufeature: Move arm64_use_ng_mappings to the .data
    section to prevent wrong idmap generation (bsc#1012628).
  - clocksource/i8253: Use raw_spinlock_irqsave() in
    clockevent_i8253_disable() (bsc#1012628).
  - KVM: arm64: Fix uninitialized memcache pointer in
    user_mem_abort() (bsc#1012628).
  - memblock: Accept allocated memory before use in
    memblock_double_array() (bsc#1012628).
  - module: ensure that kobject_put() is safe for module type
    kobjects (bsc#1012628).
  - x86/microcode: Consolidate the loader enablement checking
    (bsc#1012628).
  - ocfs2: fix panic in failed foilio allocation (bsc#1012628).
  - ocfs2: fix the issue with discontiguous allocation in the
    global_bitmap (bsc#1012628).
  - ocfs2: switch osb->disable_recovery to enum (bsc#1012628).
  - ocfs2: implement handshaking with ocfs2 recovery thread
    (bsc#1012628).
  - ocfs2: stop quota recovery before disabling quotas
    (bsc#1012628).
  - usb: dwc3: gadget: Make gadget_wakeup asynchronous
    (bsc#1012628).
  - usb: cdnsp: Fix issue with resuming from L1 (bsc#1012628).
  - usb: cdnsp: fix L1 resume issue for RTL_REVISION_NEW_LPM version
    (bsc#1012628).
  - usb: gadget: f_ecm: Add get_status callback (bsc#1012628).
  - usb: gadget: tegra-xudc: ACK ST_RC after clearing CTRL_RUN
    (bsc#1012628).
  - usb: gadget: Use get_status callback to set remote wakeup
    capability (bsc#1012628).
  - usb: host: tegra: Prevent host controller crash when OTG port
    is used (bsc#1012628).
  - usb: misc: onboard_usb_dev: fix support for Cypress HX3 hubs
    (bsc#1012628).
  - usb: typec: tcpm: delay SNK_TRY_WAIT_DEBOUNCE to SRC_TRYWAIT
    transition (bsc#1012628).
  - usb: typec: ucsi: displayport: Fix deadlock (bsc#1012628).
  - usb: typec: ucsi: displayport: Fix NULL pointer access
    (bsc#1012628).
  - USB: usbtmc: use interruptible sleep in usbtmc_read
    (bsc#1012628).
  - usb: usbtmc: Fix erroneous get_stb ioctl error returns
    (bsc#1012628).
  - usb: usbtmc: Fix erroneous wait_srq ioctl return (bsc#1012628).
  - usb: usbtmc: Fix erroneous generic_read ioctl return
    (bsc#1012628).
  - iio: imu: bmi270: fix initial sampling frequency configuration
    (bsc#1012628).
  - iio: accel: adxl367: fix setting odr for activity time update
    (bsc#1012628).
  - iio: temp: maxim-thermocouple: Fix potential lack of DMA safe
    buffer (bsc#1012628).
  - iio: accel: adxl355: Make timestamp 64-bit aligned using
    aligned_s64 (bsc#1012628).
  - iio: adc: dln2: Use aligned_s64 for timestamp (bsc#1012628).
  - timekeeping: Prevent coarse clocks going backwards
    (bsc#1012628).
  - accel/ivpu: Separate DB ID and CMDQ ID allocations from CMDQ
    allocation (bsc#1012628).
  - MIPS: Fix MAX_REG_OFFSET (bsc#1012628).
  - riscv: misaligned: Add handling for ZCB instructions
    (bsc#1012628).
  - loop: factor out a loop_assign_backing_file helper
    (bsc#1012628).
  - loop: Add sanity check for read/write_iter (bsc#1012628).
  - drm/panel: simple: Update timings for AUO G101EVN010
    (bsc#1012628).
  - nvme: unblock ctrl state transition for firmware update
    (bsc#1012628).
  - riscv: misaligned: factorize trap handling (bsc#1012628).
  - riscv: misaligned: enable IRQs while handling misaligned
    accesses (bsc#1012628).
  - riscv: Disallow PR_GET_TAGGED_ADDR_CTRL without Supm
    (bsc#1012628).
  - drm/xe/tests/mocs: Hold XE_FORCEWAKE_ALL for LNCF regs
    (bsc#1012628).
  - drm/xe: Release force wake first then runtime power
    (bsc#1012628).
  - io_uring/sqpoll: Increase task_work submission batch size
    (bsc#1012628).
  - do_umount(): add missing barrier before refcount checks in
    sync case (bsc#1012628).
  - rust: allow Rust 1.87.0's `clippy::ptr_eq` lint (bsc#1012628).
  - rust: clean Rust 1.88.0's `clippy::uninlined_format_args` lint
    (bsc#1012628).
  - io_uring: always arm linked timeouts prior to issue
    (bsc#1012628).
  - Bluetooth: btmtk: Remove the resetting step before downloading
    the fw (bsc#1012628).
  - mm: page_alloc: don't steal single pages from biggest buddy
    (bsc#1012628).
  - mm: page_alloc: speed up fallbacks in rmqueue_bulk()
    (bsc#1012628).
  - x86/its: Fix build errors when CONFIG_MODULES=n (bsc#1012628).
  - x86/its: FineIBT-paranoid vs ITS (bsc#1012628).
  - Update config files.
  - Rename to
    patches.kernel.org/6.14.7-019-sch_htb-make-htb_deactivate-idempotent.patch.
  - Rename to
    patches.kernel.org/6.14.7-156-accel-ivpu-Correct-mutex-unlock-order-in-job-s.patch.
  - Rename to
    patches.kernel.org/6.14.7-176-arm64-insn-Add-support-for-encoding-DSB.patch.
  - Rename to
    patches.kernel.org/6.14.7-177-arm64-proton-pack-Expose-whether-the-platform-.patch.
  - Rename to
    patches.kernel.org/6.14.7-178-arm64-proton-pack-Expose-whether-the-branchy-l.patch.
  - Rename to
    patches.kernel.org/6.14.7-179-arm64-bpf-Add-BHB-mitigation-to-the-epilogue-f.patch.
  - Rename to
    patches.kernel.org/6.14.7-180-arm64-bpf-Only-mitigate-cBPF-programs-loaded-b.patch.
  - Rename to
    patches.kernel.org/6.14.7-181-arm64-proton-pack-Add-new-CPUs-k-values-for-br.patch.
  - Rename to
    patches.kernel.org/6.14.7-182-x86-bpf-Call-branch-history-clearing-sequence-.patch.
  - Rename to
    patches.kernel.org/6.14.7-183-x86-bpf-Add-IBHF-call-at-end-of-classic-BPF.patch.
  - Rename to
    patches.kernel.org/6.14.7-184-x86-bhi-Do-not-set-BHI_DIS_S-in-32-bit-mode.patch.
  - Rename to
    patches.kernel.org/6.14.7-185-Documentation-x86-bugs-its-Add-ITS-documentati.patch.
  - Rename to
    patches.kernel.org/6.14.7-186-x86-its-Enumerate-Indirect-Target-Selection-IT.patch.
  - Rename to
    patches.kernel.org/6.14.7-187-x86-its-Add-support-for-ITS-safe-indirect-thun.patch.
  - Rename to
    patches.kernel.org/6.14.7-188-x86-its-Add-support-for-ITS-safe-return-thunk.patch.
  - Rename to
    patches.kernel.org/6.14.7-189-x86-its-Enable-Indirect-Target-Selection-mitig.patch.
  - Rename to
    patches.kernel.org/6.14.7-190-x86-its-Add-vmexit-option-to-skip-mitigation-o.patch.
  - Rename to
    patches.kernel.org/6.14.7-191-x86-its-Add-support-for-RSB-stuffing-mitigatio.patch.
  - Rename to
    patches.kernel.org/6.14.7-192-x86-its-Align-RETs-in-BHB-clear-sequence-to-av.patch.
  - Rename to
    patches.kernel.org/6.14.7-193-x86-ibt-Keep-IBT-disabled-during-alternative-p.patch.
  - Rename to
    patches.kernel.org/6.14.7-194-x86-its-Use-dynamic-thunks-for-indirect-branch.patch.
  - Rename to
    patches.kernel.org/6.14.7-195-selftest-x86-bugs-Add-selftests-for-ITS.patch.
  - commit 4a6fc19
* Wed May 14 2025 mkubecek@suse.cz
  - series.conf: cleanup
  - move into sorted section
    - patches.suse/powerpc-boot-Fix-build-with-gcc-15.patch
  - update upstream references and move into sorted section
    - patches.suse/wifi-ath11k-determine-PM-policy-based-on-machine-mod.patch
    - patches.suse/wifi-ath11k-introduce-ath11k_core_continue_suspend_r.patch
    - patches.suse/wifi-ath11k-refactor-ath11k_core_suspend-_resume.patch
    - patches.suse/wifi-ath11k-support-non-WoWLAN-mode-suspend-as-well.patch
    - patches.suse/wifi-ath11k-choose-default-PM-policy-for-hibernation.patch
    - patches.suse/Reapply-wifi-ath11k-restore-country-code-during-resu.patch
    No effect on expanded tree.
  - commit 5f384a1
* Wed May 14 2025 msuchanek@suse.de
  - Refresh patches.suse/powerpc-boot-Fix-build-with-gcc-15.patch
  - commit c1311f9
* Tue May 13 2025 mfranc@suse.cz
  - Update config files.  Enable TN3270*.  (bsc#1243081)
  - commit ce99fa9
* Tue May 13 2025 jslaby@suse.cz
  - arm64: proton-pack: Add new CPUs 'k' values for branch
    mitigation (bsc#1242778).
  - arm64: bpf: Only mitigate cBPF programs loaded by unprivileged
    users (bsc#1242778).
  - arm64: bpf: Add BHB mitigation to the epilogue for cBPF programs
    (bsc#1242778).
  - arm64: proton-pack: Expose whether the branchy loop k value
    (bsc#1242778).
  - arm64: proton-pack: Expose whether the platform is mitigated
    by firmware (bsc#1242778).
  - arm64: insn: Add support for encoding DSB (bsc#1242778).
  - commit ad69173
* Tue May 13 2025 jslaby@suse.cz
  - selftest/x86/bugs: Add selftests for ITS (bsc#1242006
    CVE-2024-28956).
  - x86/its: Use dynamic thunks for indirect branches (bsc#1242006
    CVE-2024-28956).
  - x86/ibt: Keep IBT disabled during alternative patching
    (bsc#1242006 CVE-2024-28956).
  - x86/its: Align RETs in BHB clear sequence to avoid thunking
    (bsc#1242006 CVE-2024-28956).
  - x86/its: Add support for RSB stuffing mitigation (bsc#1242006
    CVE-2024-28956).
  - x86/its: Add "vmexit" option to skip mitigation on some CPUs
    (bsc#1242006 CVE-2024-28956).
  - x86/its: Enable Indirect Target Selection mitigation
    (bsc#1242006 CVE-2024-28956).
  - x86/its: Add support for ITS-safe return thunk (bsc#1242006
    CVE-2024-28956).
  - x86/its: Add support for ITS-safe indirect thunk (bsc#1242006
    CVE-2024-28956).
  - Update config files.
  - x86/its: Enumerate Indirect Target Selection (ITS) bug
    (bsc#1242006 CVE-2024-28956).
  - Documentation: x86/bugs/its: Add ITS documentation (bsc#1242006
    CVE-2024-28956).
  - x86/bhi: Do not set BHI_DIS_S in 32-bit mode (bsc#1242006
    CVE-2024-28956).
  - x86/bpf: Add IBHF call at end of classic BPF (bsc#1242006
    CVE-2024-28956).
  - x86/bpf: Call branch history clearing sequence on exit
    (bsc#1242006 CVE-2024-28956).
  - commit 820ad28
* Tue May 13 2025 jslaby@suse.cz
  - Revert "Disable patches.kernel.org/6.14.2-607-e1000e-change-k1-configuration-on-MTP-and-late.patch"
    This reverts commit 607aeebbcf481a6b191013a1846c1eb795213e09. It turns
    out it is some special HW in the report. Let us be in sync with
    upstream.
  - commit c36a0e7
* Mon May 12 2025 msuchanek@suse.de
  - check-for-config-changes: Fix flag name typo
  - commit 1046b16
* Mon May 12 2025 msuchanek@suse.de
  - Use gcc 13 for now
    Leap 16 does not have gcc 14
    Leap 15.6 does not have gcc 15
  - commit 45c418b
* Mon May 12 2025 mkubecek@suse.cz
  - update to 6.15-rc6
  - refresh configs
  - commit 42f9fa3
* Sun May 11 2025 jslaby@suse.cz
  - accel/ivpu: Correct mutex unlock order in job submission
    (git-fixes).
  - sch_htb: make htb_deactivate() idempotent (git-fixes).
  - commit 7de953d
* Sat May 10 2025 jslaby@suse.cz
  - Linux 6.14.6 (bsc#1012628).
  - Revert "rndis_host: Flag RNDIS modems as WWAN devices"
    (bsc#1012628).
  - ALSA: hda/realtek - Add more HP laptops which need mute led
    fixup (bsc#1012628).
  - ALSA: usb-audio: Add retry on -EPROTO from usb_set_interface()
    (bsc#1012628).
  - ALSA: usb-audio: Add second USB ID for Jabra Evolve 65 headset
    (bsc#1012628).
  - ASoC: renesas: rz-ssi: Use NOIRQ_SYSTEM_SLEEP_PM_OPS()
    (bsc#1012628).
  - btrfs: adjust subpage bit start based on sectorsize
    (bsc#1012628).
  - btrfs: fix COW handling in run_delalloc_nocow() (bsc#1012628).
  - cpufreq: intel_pstate: Unchecked MSR aceess in legacy mode
    (bsc#1012628).
  - drm/fdinfo: Protect against driver unbind (bsc#1012628).
  - drm/nouveau: Fix WARN_ON in nouveau_fence_context_kill()
    (bsc#1012628).
  - EDAC/altera: Test the correct error reg offset (bsc#1012628).
  - EDAC/altera: Set DDR and SDMMC interrupt mask before
    registration (bsc#1012628).
  - i2c: imx-lpi2c: Fix clock count when probe defers (bsc#1012628).
  - arm64: errata: Add missing sentinels to Spectre-BHB MIDR arrays
    (bsc#1012628).
  - parisc: Fix double SIGFPE crash (bsc#1012628).
  - pinctrl: airoha: fix wrong PHY LED mapping and PHY2 LED defines
    (bsc#1012628).
  - perf/x86/intel: Only check the group flag for X86 leader
    (bsc#1012628).
  - perf/x86/intel: KVM: Mask PEBS_ENABLE loaded for guest with
    vCPU's value (bsc#1012628).
  - amd-xgbe: Fix to ensure dependent features are toggled with
    RX checksum offload (bsc#1012628).
  - irqchip/qcom-mpm: Prevent crash when trying to handle non-wake
    GPIOs (bsc#1012628).
  - mm/memblock: pass size instead of end to memblock_set_node()
    (bsc#1012628).
  - mm/memblock: repeat setting reserved region nid if array is
    doubled (bsc#1012628).
  - mmc: renesas_sdhi: Fix error handling in renesas_sdhi_probe
    (bsc#1012628).
  - spi: tegra114: Don't fail set_cs_timing when delays are zero
    (bsc#1012628).
  - tracing: Do not take trace_event_sem in print_event_fields()
    (bsc#1012628).
  - wifi: brcm80211: fmac: Add error handling for
    brcmf_usb_dl_writeimage() (bsc#1012628).
  - x86/boot/sev: Support memory acceptance in the EFI stub under
    SVSM (bsc#1012628).
  - dm-bufio: don't schedule in atomic context (bsc#1012628).
  - dm-integrity: fix a warning on invalid table line (bsc#1012628).
  - dm: always update the array size in realloc_argv on success
    (bsc#1012628).
  - drm/amdgpu: Fix offset for HDP remap in nbio v7.11
    (bsc#1012628).
  - drm: Select DRM_KMS_HELPER from DRM_DEBUG_DP_MST_TOPOLOGY_REFS
    (bsc#1012628).
  - iommu/amd: Fix potential buffer overflow in parse_ivrs_acpihid
    (bsc#1012628).
  - iommu/arm-smmu-v3: Fix iommu_device_probe bug due to duplicated
    stream ids (bsc#1012628).
  - iommu/arm-smmu-v3: Fix pgsize_bit for sva domains (bsc#1012628).
  - iommu/vt-d: Apply quirk_iommu_igfx for 8086:0044 (QM57/QS57)
    (bsc#1012628).
  - iommu: Fix two issues in iommu_copy_struct_from_user()
    (bsc#1012628).
  - platform/x86/amd: pmc: Require at least 2.5 seconds between
    HW sleep cycles (bsc#1012628).
  - platform/x86/intel-uncore-freq: Fix missing uncore sysfs during
    CPU hotplug (bsc#1012628).
  - ksmbd: fix use-after-free in ksmbd_session_rpc_open
    (bsc#1012628).
  - ksmbd: fix use-after-free in kerberos authentication
    (bsc#1012628).
  - ksmbd: fix use-after-free in session logoff (bsc#1012628).
  - smb: client: fix zero length for mkdir POSIX create context
    (bsc#1012628).
  - cpufreq: Avoid using inconsistent policy->min and policy->max
    (bsc#1012628).
  - cpufreq: Fix setting policy limits when frequency tables are
    used (bsc#1012628).
  - tracing: Fix oob write in trace_seq_to_buffer() (bsc#1012628).
  - bcachefs: Remove incorrect __counted_by annotation
    (bsc#1012628).
  - drm/amd/display: Default IPS to RCG_IN_ACTIVE_IPS2_IN_OFF
    (bsc#1012628).
  - ASoC: soc-core: Stop using of_property_read_bool() for
    non-boolean properties (bsc#1012628).
  - ASoC: cs-amp-lib-test: Don't select SND_SOC_CS_AMP_LIB
    (bsc#1012628).
  - firmware: cs_dsp: tests: Depend on FW_CS_DSP rather then
    enabling it (bsc#1012628).
  - ASoC: soc-pcm: Fix hw_params() and DAPM widget sequence
    (bsc#1012628).
  - book3s64/radix : Align section vmemmap start address to
    PAGE_SIZE (bsc#1012628).
  - powerpc64/ftrace: fix module loading without patchable function
    entries (bsc#1012628).
  - pinctrl: imx: Return NULL if no group is matched and found
    (bsc#1012628).
  - powerpc/boot: Check for ld-option support (bsc#1012628).
  - ASoC: Intel: sof_sdw: Add NULL check in
    asoc_sdw_rt_dmic_rtd_init() (bsc#1012628).
  - iommu/arm-smmu-v3: Add missing S2FWB feature detection
    (bsc#1012628).
  - ALSA: hda/realtek - Enable speaker for HP platform
    (bsc#1012628).
  - drm/i915/pxp: fix undefined reference to
    `intel_pxp_gsccs_is_ready_for_sessions' (bsc#1012628).
  - wifi: iwlwifi: back off on continuous errors (bsc#1012628).
  - wifi: iwlwifi: don't warn if the NIC is gone in resume
    (bsc#1012628).
  - wifi: iwlwifi: fix the check for the SCRATCH register upon
    resume (bsc#1012628).
  - wifi: plfxlc: Remove erroneous assert in plfxlc_mac_release
    (bsc#1012628).
  - powerpc/boot: Fix dash warning (bsc#1012628).
  - vxlan: vnifilter: Fix unlocked deletion of default FDB entry
    (bsc#1012628).
  - xsk: Fix race condition in AF_XDP generic RX path (bsc#1012628).
  - xsk: Fix offset calculation in unaligned mode (bsc#1012628).
  - net/mlx5e: Use custom tunnel header for vxlan gbp (bsc#1012628).
  - net/mlx5: E-Switch, Initialize MAC Address for Default GID
    (bsc#1012628).
  - net/mlx5e: TC, Continue the attr process even if encap entry
    is invalid (bsc#1012628).
  - net/mlx5e: Fix lock order in
    mlx5e_tx_reporter_ptpsq_unhealthy_recover (bsc#1012628).
  - net/mlx5: E-switch, Fix error handling for enabling roce
    (bsc#1012628).
  - accel/ivpu: Correct DCT interrupt handling (bsc#1012628).
  - spi: spi-mem: Add fix to avoid divide error (bsc#1012628).
  - ASoC: amd: acp: Fix NULL pointer deref in acp_i2s_set_tdm_slot
    (bsc#1012628).
  - cpufreq: Introduce policy->boost_supported flag (bsc#1012628).
  - cpufreq: acpi: Set policy->boost_supported (bsc#1012628).
  - cpufreq: ACPI: Re-sync CPU boost state on system resume
    (bsc#1012628).
  - Bluetooth: hci_conn: Fix not setting conn_timeout for Broadcast
    Receiver (bsc#1012628).
  - Bluetooth: hci_conn: Fix not setting timeout for BIG Create Sync
    (bsc#1012628).
  - Bluetooth: btintel_pcie: Avoid redundant buffer allocation
    (bsc#1012628).
  - Bluetooth: btusb: avoid NULL pointer dereference in
    skb_dequeue() (bsc#1012628).
  - Bluetooth: btintel_pcie: Add additional to checks to clear
    TX/RX paths (bsc#1012628).
  - Bluetooth: L2CAP: copy RX timestamp to new fragments
    (bsc#1012628).
  - net: mscc: ocelot: delete PVID VLAN when readding it as non-PVID
    (bsc#1012628).
  - octeon_ep_vf: Resolve netdevice usage count issue (bsc#1012628).
  - bnxt_en: improve TX timestamping FIFO configuration
    (bsc#1012628).
  - rtase: Modify the condition used to detect overflow in
    rtase_calc_time_mitigation (bsc#1012628).
  - net: ethernet: mtk-star-emac: fix spinlock recursion issues
    on rx/tx poll (bsc#1012628).
  - net: ethernet: mtk-star-emac: rearm interrupts in rx_poll only
    when advised (bsc#1012628).
  - net: ethernet: mtk_eth_soc: sync mtk_clks_source_name array
    (bsc#1012628).
  - pds_core: make pdsc_auxbus_dev_del() void (bsc#1012628).
  - pds_core: specify auxiliary_device to be created (bsc#1012628).
  - pds_core: remove write-after-free of client_id (bsc#1012628).
  - net_sched: drr: Fix double list add in class with netem as
    child qdisc (bsc#1012628).
  - net_sched: hfsc: Fix a UAF vulnerability in class with netem
    as child qdisc (bsc#1012628).
  - net_sched: ets: Fix double list add in class with netem as
    child qdisc (bsc#1012628).
  - net_sched: qfq: Fix double list add in class with netem as
    child qdisc (bsc#1012628).
  - ice: Don't check device type when checking GNSS presence
    (bsc#1012628).
  - ice: Remove unnecessary ice_is_e8xx() functions (bsc#1012628).
  - ice: fix Get Tx Topology AQ command error on E830 (bsc#1012628).
  - ice: Check VF VSI Pointer Value in ice_vc_add_fdir_fltr()
    (bsc#1012628).
  - idpf: fix offloads support for encapsulated packets
    (bsc#1012628).
  - scsi: ufs: core: Remove redundant query_complete trace
    (bsc#1012628).
  - drm/xe/guc: Fix capture of steering registers (bsc#1012628).
  - pinctrl: qcom: Fix PINGROUP definition for sm8750 (bsc#1012628).
  - nvme-pci: fix queue unquiesce check on slot_reset (bsc#1012628).
  - drm/tests: shmem: Fix memleak (bsc#1012628).
  - drm/mipi-dbi: Fix blanking for non-16 bit formats (bsc#1012628).
  - net: dlink: Correct endianness handling of led_mode
    (bsc#1012628).
  - net: mdio: mux-meson-gxl: set reversed bit when using internal
    phy (bsc#1012628).
  - idpf: fix potential memory leak on kcalloc() failure
    (bsc#1012628).
  - idpf: protect shutdown from reset (bsc#1012628).
  - igc: fix lock order in igc_ptp_reset (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix SER panic with 4GB+ RAM
    (bsc#1012628).
  - net: dsa: felix: fix broken taprio gate states after clock jump
    (bsc#1012628).
  - net: ipv6: fix UDPv6 GSO segmentation with NAT (bsc#1012628).
  - ALSA: hda/realtek: Fix built-mic regression on other ASUS models
    (bsc#1012628).
  - bnxt_en: Fix error handling path in bnxt_init_chip()
    (bsc#1012628).
  - bnxt_en: Fix ethtool selftest output in one of the failure cases
    (bsc#1012628).
  - bnxt_en: Add missing skb_mark_for_recycle() in bnxt_rx_vlan()
    (bsc#1012628).
  - bnxt_en: call pci_alloc_irq_vectors() after bnxt_reserve_rings()
    (bsc#1012628).
  - bnxt_en: Fix coredump logic to free allocated buffer
    (bsc#1012628).
  - bnxt_en: Fix out-of-bound memcpy() during ethtool -w
    (bsc#1012628).
  - bnxt_en: Fix ethtool -d byte order for 32-bit values
    (bsc#1012628).
  - nvme-tcp: fix premature queue removal and I/O failover
    (bsc#1012628).
  - nvme-tcp: select CONFIG_TLS from CONFIG_NVME_TCP_TLS
    (bsc#1012628).
  - nvmet-tcp: select CONFIG_TLS from CONFIG_NVME_TARGET_TCP_TLS
    (bsc#1012628).
  - ASoC: stm32: sai: skip useless iterations on kernel rate loop
    (bsc#1012628).
  - ASoC: stm32: sai: add a check on minimal kernel frequency
    (bsc#1012628).
  - ASoC: simple-card-utils: Fix pointer check in
    graph_util_parse_link_direction (bsc#1012628).
  - bnxt_en: fix module unload sequence (bsc#1012628).
  - net: use sock_gen_put() when sk_state is TCP_TIME_WAIT
    (bsc#1012628).
  - ptp: ocp: Fix NULL dereference in Adva board SMA sysfs
    operations (bsc#1012628).
  - net: lan743x: Fix memleak issue when GSO enabled (bsc#1012628).
  - net: fec: ERR007885 Workaround for conventional TX
    (bsc#1012628).
  - octeon_ep: Fix host hang issue during device reboot
    (bsc#1012628).
  - net: hns3: store rx VLAN tag offload state for VF (bsc#1012628).
  - net: hns3: fix an interrupt residual problem (bsc#1012628).
  - net: hns3: fixed debugfs tm_qset size (bsc#1012628).
  - net: hns3: defer calling ptp_clock_register() (bsc#1012628).
  - net: vertexcom: mse102x: Fix possible stuck of SPI interrupt
    (bsc#1012628).
  - net: vertexcom: mse102x: Fix LEN_MASK (bsc#1012628).
  - net: vertexcom: mse102x: Add range check for CMD_RTS
    (bsc#1012628).
  - net: vertexcom: mse102x: Fix RX error handling (bsc#1012628).
  - mm, slab: clean up slab->obj_exts always (bsc#1012628).
  - accel/ivpu: Abort all jobs after command queue unregister
    (bsc#1012628).
  - accel/ivpu: Fix locking order in ivpu_job_submit (bsc#1012628).
  - accel/ivpu: Add handling of
    VPU_JSM_STATUS_MVNCI_CONTEXT_VIOLATION_HW (bsc#1012628).
  - drm/xe: Invalidate L3 read-only cachelines for geometry streams
    too (bsc#1012628).
  - platform/x86: alienware-wmi-wmax: Add support for Alienware
    m15 R7 (bsc#1012628).
  - ublk: add helper of ublk_need_map_io() (bsc#1012628).
  - ublk: properly serialize all FETCH_REQs (bsc#1012628).
  - ublk: move device reset into ublk_ch_release() (bsc#1012628).
  - ublk: improve detection and handling of ublk server exit
    (bsc#1012628).
  - ublk: remove __ublk_quiesce_dev() (bsc#1012628).
  - ublk: simplify aborting ublk request (bsc#1012628).
  - ublk: fix race between io_uring_cmd_complete_in_task and
    ublk_cancel_cmd (bsc#1012628).
  - sch_htb: make htb_qlen_notify() idempotent (bsc#1012628).
  - sch_drr: make drr_qlen_notify() idempotent (bsc#1012628).
  - sch_hfsc: make hfsc_qlen_notify() idempotent (bsc#1012628).
  - sch_qfq: make qfq_qlen_notify() idempotent (bsc#1012628).
  - sch_ets: make est_qlen_notify() idempotent (bsc#1012628).
  - firmware: arm_scmi: Balance device refcount when destroying
    devices (bsc#1012628).
  - firmware: arm_ffa: Skip Rx buffer ownership release if not
    acquired (bsc#1012628).
  - arm64: dts: imx95: Correct the range of PCIe app-reg region
    (bsc#1012628).
  - ARM: dts: opos6ul: add ksz8081 phy properties (bsc#1012628).
  - arm64: dts: st: Adjust interrupt-controller for stm32mp25 SoCs
    (bsc#1012628).
  - arm64: dts: st: Use 128kB size for aliased GIC400 register
    access on stm32mp25 SoCs (bsc#1012628).
  - block: introduce zone capacity helper (bsc#1012628).
  - btrfs: zoned: skip reporting zone for new block group
    (bsc#1012628).
  - kernel: param: rename locate_module_kobject (bsc#1012628).
  - kernel: globalize lookup_or_create_module_kobject()
    (bsc#1012628).
  - drivers: base: handle module_kobject creation (bsc#1012628).
  - btrfs: expose per-inode stable writes flag (bsc#1012628).
  - btrfs: pass struct btrfs_inode to btrfs_read_locked_inode()
    (bsc#1012628).
  - btrfs: pass struct btrfs_inode to btrfs_iget_locked()
    (bsc#1012628).
  - btrfs: fix the inode leak in btrfs_iget() (bsc#1012628).
  - drm/amd/display: Add scoped mutexes for amdgpu_dm_dhcp
    (bsc#1012628).
  - drm/amd/display: Fix slab-use-after-free in hdcp (bsc#1012628).
  - bcachefs: Change btree_insert_node() assertion to error
    (bsc#1012628).
  - dm: fix copying after src array boundaries (bsc#1012628).
  - Rename to
    patches.kernel.org/6.14.6-108-ALSA-ump-Fix-buffer-overflow-at-UMP-SysEx-mess.patch.
  - commit 2544d32
* Mon May 05 2025 mkubecek@suse.cz
  - update to 6.15-rc5
  - commit d1b9455
* Sat May 03 2025 jslaby@suse.cz
  - Linux 6.14.5 (bsc#1012628).
  - mm/vmscan: don't try to reclaim hwpoison folio (bsc#1012628).
  - soc: qcom: ice: introduce devm_of_qcom_ice_get (bsc#1012628).
  - mmc: sdhci-msm: fix dev reference leaked through of_qcom_ice_get
    (bsc#1012628).
  - PM: EM: use kfree_rcu() to simplify the code (bsc#1012628).
  - PM: EM: Address RCU-related sparse warnings (bsc#1012628).
  - media: i2c: imx214: Use subdev active state (bsc#1012628).
  - media: i2c: imx214: Simplify with dev_err_probe() (bsc#1012628).
  - media: i2c: imx214: Convert to CCI register access helpers
    (bsc#1012628).
  - media: i2c: imx214: Replace register addresses with macros
    (bsc#1012628).
  - media: i2c: imx214: Check number of lanes from device tree
    (bsc#1012628).
  - media: i2c: imx214: Fix link frequency validation (bsc#1012628).
  - media: ov08x40: Move ov08x40_identify_module() function up
    (bsc#1012628).
  - media: ov08x40: Add missing ov08x40_identify_module() call on
    stream-start (bsc#1012628).
  - iio: adc: ad7768-1: Move setting of val a bit later to avoid
    unnecessary return value check (bsc#1012628).
  - iio: adc: ad7768-1: Fix conversion result sign (bsc#1012628).
  - of: resolver: Simplify of_resolve_phandles() using __free()
    (bsc#1012628).
  - of: resolver: Fix device node refcount leakage in
    of_resolve_phandles() (bsc#1012628).
  - scsi: ufs: qcom: fix dev reference leaked through
    of_qcom_ice_get (bsc#1012628).
  - PCI/MSI: Convert pci_msi_ignore_mask to per MSI domain flag
    (bsc#1012628).
  - PCI/MSI: Handle the NOMASK flag correctly for all PCI/MSI
    backends (bsc#1012628).
  - PCI/MSI: Add an option to write MSIX ENTRY_DATA before any reads
    (bsc#1012628).
  - irqchip/renesas-rzv2h: Simplify rzv2h_icu_init() (bsc#1012628).
  - irqchip/renesas-rzv2h: Add struct rzv2h_hw_info with t_offs
    variable (bsc#1012628).
  - irqchip/renesas-rzv2h: Prevent TINT spurious interrupt
    (bsc#1012628).
  - net/niu: Niu requires MSIX ENTRY_DATA fields touch before
    entry reads (bsc#1012628).
  - drm/xe/ptl: Apply Wa_14023061436 (bsc#1012628).
  - drm/xe/xe3lpg: Add Wa_13012615864 (bsc#1012628).
  - drm/xe: Add performance tunings to debugfs (bsc#1012628).
  - drm/xe: Ensure fixed_slice_mode gets set after ccs_mode change
    (bsc#1012628).
  - lib/Kconfig.ubsan: Remove 'default UBSAN' from
    UBSAN_INTEGER_WRAP (bsc#1012628).
  - ceph: Fix incorrect flush end position calculation
    (bsc#1012628).
  - cpufreq: sun50i: prevent out-of-bounds access (bsc#1012628).
  - dma/contiguous: avoid warning about unused size_bytes
    (bsc#1012628).
  - cpufreq: apple-soc: Fix null-ptr-deref in
    apple_soc_cpufreq_get_rate() (bsc#1012628).
  - cpufreq: scmi: Fix null-ptr-deref in scmi_cpufreq_get_rate()
    (bsc#1012628).
  - cpufreq: scpi: Fix null-ptr-deref in scpi_cpufreq_get_rate()
    (bsc#1012628).
  - scsi: ufs: mcq: Add NULL check in ufshcd_mcq_abort()
    (bsc#1012628).
  - virtio_pci: Use self group type for cap commands (bsc#1012628).
  - cpufreq: cppc: Fix invalid return value in .get() callback
    (bsc#1012628).
  - cpufreq: Do not enable by default during compile testing
    (bsc#1012628).
  - cpufreq: fix compile-test defaults (bsc#1012628).
  - btrfs: avoid page_lockend underflow in
    btrfs_punch_hole_lock_range() (bsc#1012628).
  - btrfs: zoned: return EIO on RAID1 block group write pointer
    mismatch (bsc#1012628).
  - cgroup/cpuset-v1: Add missing support for cpuset_v2_mode
    (bsc#1012628).
  - vhost-scsi: Add better resource allocation failure handling
    (bsc#1012628).
  - vhost-scsi: Fix vhost_scsi_send_bad_target() (bsc#1012628).
  - vhost-scsi: Fix vhost_scsi_send_status() (bsc#1012628).
  - net/mlx5: Fix null-ptr-deref in mlx5_create_{inner_,}ttc_table()
    (bsc#1012628).
  - net/mlx5: Move ttc allocation after switch case to prevent leaks
    (bsc#1012628).
  - scsi: core: Clear flags for scsi_cmnd that did not complete
    (bsc#1012628).
  - scsi: ufs: core: Add NULL check in
    ufshcd_mcq_compl_pending_transfer() (bsc#1012628).
  - net: enetc: register XDP RX queues with frag_size (bsc#1012628).
  - net: enetc: refactor bulk flipping of RX buffers to separate
    function (bsc#1012628).
  - net: enetc: fix frame corruption on bpf_xdp_adjust_head/tail()
    and XDP_PASS (bsc#1012628).
  - nvmet: fix out-of-bounds access in nvmet_enable_port
    (bsc#1012628).
  - net: lwtunnel: disable BHs when required (bsc#1012628).
  - net: phylink: force link down on major_config failure
    (bsc#1012628).
  - net: phylink: fix suspend/resume with WoL enabled and link down
    (bsc#1012628).
  - net: phy: leds: fix memory leak (bsc#1012628).
  - virtio-net: Refactor napi_enable paths (bsc#1012628).
  - virtio-net: Refactor napi_disable paths (bsc#1012628).
  - virtio-net: disable delayed refill when pausing rx
    (bsc#1012628).
  - tipc: fix NULL pointer dereference in tipc_mon_reinit_self()
    (bsc#1012628).
  - net: ethernet: mtk_eth_soc: net: revise NETSYSv3 hardware
    configuration (bsc#1012628).
  - fix a couple of races in MNT_TREE_BENEATH handling by
    do_move_mount() (bsc#1012628).
  - net_sched: hfsc: Fix a UAF vulnerability in class handling
    (bsc#1012628).
  - net_sched: hfsc: Fix a potential UAF in hfsc_dequeue() too
    (bsc#1012628).
  - net: dsa: mt7530: sync driver-specific behavior of MT7531
    variants (bsc#1012628).
  - pds_core: Prevent possible adminq overflow/stuck condition
    (bsc#1012628).
  - pds_core: handle unsupported PDS_CORE_CMD_FW_CONTROL result
    (bsc#1012628).
  - pds_core: Remove unnecessary check in pds_client_adminq_cmd()
    (bsc#1012628).
  - pds_core: make wait_context part of q_info (bsc#1012628).
  - net: phy: Add helper for getting tx amplitude gain
    (bsc#1012628).
  - net: phy: dp83822: Add support for changing the transmit
    amplitude voltage (bsc#1012628).
  - net: dp83822: Fix OF_MDIO config check (bsc#1012628).
  - net: stmmac: fix dwmac1000 ptp timestamp status offset
    (bsc#1012628).
  - net: stmmac: fix multiplication overflow when reading timestamp
    (bsc#1012628).
  - block: never reduce ra_pages in blk_apply_bdi_limits
    (bsc#1012628).
  - bdev: use bdev_io_min() for statx block size (bsc#1012628).
  - block: move blkdev_{get,put} _no_open prototypes out of blkdev.h
    (bsc#1012628).
  - block: remove the backing_inode variable in bdev_statx
    (bsc#1012628).
  - block: don't autoload drivers on stat (bsc#1012628).
  - iommu/amd: Return an error if vCPU affinity is set for non-vCPU
    IRTE (bsc#1012628).
  - riscv: Replace function-like macro by static inline function
    (bsc#1012628).
  - riscv: uprobes: Add missing fence.i after building the XOL
    buffer (bsc#1012628).
  - ublk: remove io_cmds list in ublk_queue (bsc#1012628).
  - ublk: comment on ubq->canceling handling in ublk_queue_rq()
    (bsc#1012628).
  - ublk: implement ->queue_rqs() (bsc#1012628).
  - ublk: remove unused cmd argument to ublk_dispatch_req()
    (bsc#1012628).
  - ublk: call ublk_dispatch_req() for handling
    UBLK_U_IO_NEED_GET_DATA (bsc#1012628).
  - splice: remove duplicate noinline from pipe_clear_nowait
    (bsc#1012628).
  - fs/xattr: Fix handling of AT_FDCWD in setxattrat(2) and
    getxattrat(2) (bsc#1012628).
  - bpf: Add namespace to BPF internal symbols (bsc#1012628).
  - Revert "drm/meson: vclk: fix calculation of 59.94 fractional
    rates" (bsc#1012628).
  - drm/meson: use unsigned long long / Hz for frequency types
    (bsc#1012628).
  - perf/x86: Fix non-sampling (counting) events on certain x86
    platforms (bsc#1012628).
  - LoongArch: Select ARCH_USE_MEMTEST (bsc#1012628).
  - LoongArch: Make regs_irqs_disabled() more clear (bsc#1012628).
  - LoongArch: Make do_xyz() exception handlers more robust
    (bsc#1012628).
  - sched/eevdf: Fix se->slice being set to U64_MAX and resulting
    crash (bsc#1012628).
  - net: stmmac: simplify phylink_suspend() and phylink_resume()
    calls (bsc#1012628).
  - net: phylink: add phylink_prepare_resume() (bsc#1012628).
  - net: stmmac: address non-LPI resume failures properly
    (bsc#1012628).
  - net: stmmac: socfpga: remove phy_resume() call (bsc#1012628).
  - net: phylink: add functions to block/unblock rx clock stop
    (bsc#1012628).
  - net: stmmac: block PHY RXC clock-stop (bsc#1012628).
  - netfilter: fib: avoid lookup if socket is available
    (bsc#1012628).
  - virtio_console: fix missing byte order handling for cols and
    rows (bsc#1012628).
  - sched_ext: Use kvzalloc for large exit_dump allocation
    (bsc#1012628).
  - crypto: atmel-sha204a - Set hwrng quality to lowest possible
    (bsc#1012628).
  - xen-netfront: handle NULL returned by
    xdp_convert_buff_to_frame() (bsc#1012628).
  - net: selftests: initialize TCP header and skb payload with zero
    (bsc#1012628).
  - net: phy: microchip: force IRQ polling mode for lan88xx
    (bsc#1012628).
  - mptcp: pm: Defer freeing of MPTCP userspace path manager entries
    (bsc#1012628).
  - scsi: mpi3mr: Fix pending I/O counter (bsc#1012628).
  - rust: firmware: Use `ffi::c_char` type in `FwFunc`
    (bsc#1012628).
  - drm: panel: jd9365da: fix reset signal polarity in unprepare
    (bsc#1012628).
  - drm/amd/display: Fix gpu reset in multidisplay config
    (bsc#1012628).
  - drm/amd/display: Force full update in gpu reset (bsc#1012628).
  - drm/amd/display: Fix ACPI edid parsing on some Lenovo systems
    (bsc#1012628).
  - x86/insn: Fix CTEST instruction decoding (bsc#1012628).
  - x86/mm: Fix _pgd_alloc() for Xen PV mode (bsc#1012628).
  - selftests/pcie_bwctrl: Fix test progs list (bsc#1012628).
  - irqchip/gic-v2m: Prevent use after free of gicv2m_get_fwnode()
    (bsc#1012628).
  - binder: fix offset calculation in debug log (bsc#1012628).
  - LoongArch: Handle fp, lsx, lasx and lbt assembly symbols
    (bsc#1012628).
  - LoongArch: Return NULL from huge_pte_offset() for invalid PMD
    (bsc#1012628).
  - LoongArch: Remove a bogus reference to ZONE_DMA (bsc#1012628).
  - LoongArch: KVM: Fix multiple typos of KVM code (bsc#1012628).
  - LoongArch: KVM: Fully clear some CSRs when VM reboot
    (bsc#1012628).
  - LoongArch: KVM: Fix PMU pass-through issue if VM exits to host
    finally (bsc#1012628).
  - io_uring: fix 'sync' handling of io_fallback_tw() (bsc#1012628).
  - KVM: SVM: Allocate IR data using atomic allocation
    (bsc#1012628).
  - cxl/core/regs.c: Skip Memory Space Enable check for RCD and
    RCH Ports (bsc#1012628).
  - mcb: fix a double free bug in chameleon_parse_gdd()
    (bsc#1012628).
  - ata: libata-scsi: Improve CDL control (bsc#1012628).
  - ata: libata-scsi: Fix ata_mselect_control_ata_feature() return
    type (bsc#1012628).
  - ata: libata-scsi: Fix ata_msense_control_ata_feature()
    (bsc#1012628).
  - USB: storage: quirk for ADATA Portable HDD CH94 (bsc#1012628).
  - scsi: Improve CDL control (bsc#1012628).
  - mei: me: add panther lake H DID (bsc#1012628).
  - mei: vsc: Fix fortify-panic caused by invalid counted_by()
    use (bsc#1012628).
  - KVM: x86: Explicitly treat routing entry type changes as changes
    (bsc#1012628).
  - KVM: x86: Reset IRTE to host control if *new* route isn't
    postable (bsc#1012628).
  - KVM: x86: Take irqfds.lock when adding/deleting IRQ bypass
    producer (bsc#1012628).
  - char: misc: register chrdev region with all possible minors
    (bsc#1012628).
  - misc: microchip: pci1xxxx: Fix Kernel panic during IRQ handler
    registration (bsc#1012628).
  - misc: microchip: pci1xxxx: Fix incorrect IRQ status handling
    during ack (bsc#1012628).
  - firmware: stratix10-svc: Add of_platform_default_populate()
    (bsc#1012628).
  - tty: Require CAP_SYS_ADMIN for all usages of
    TIOCL_SELMOUSEREPORT (bsc#1012628).
  - serial: msm: Configure correct working mode before starting
    earlycon (bsc#1012628).
  - serial: sifive: lock port in startup()/shutdown() callbacks
    (bsc#1012628).
  - USB: serial: ftdi_sio: add support for Abacus Electrics Optical
    Probe (bsc#1012628).
  - USB: serial: option: add Sierra Wireless EM9291 (bsc#1012628).
  - USB: serial: simple: add OWON HDS200 series oscilloscope support
    (bsc#1012628).
  - xhci: Limit time spent with xHC interrupts disabled during
    bus resume (bsc#1012628).
  - usb: xhci: Fix invalid pointer dereference in Etron workaround
    (bsc#1012628).
  - usb: cdns3: Fix deadlock when using NCM gadget (bsc#1012628).
  - usb: chipidea: ci_hdrc_imx: fix usbmisc handling (bsc#1012628).
  - usb: chipidea: ci_hdrc_imx: fix call balance of regulator
    routines (bsc#1012628).
  - usb: chipidea: ci_hdrc_imx: implement usb_phy_init() error
    handling (bsc#1012628).
  - USB: OHCI: Add quirk for LS7A OHCI controller (rev 0x02)
    (bsc#1012628).
  - usb: dwc3: gadget: check that event count does not exceed
    event buffer length (bsc#1012628).
  - usb: dwc3: xilinx: Prevent spike in reset signal (bsc#1012628).
  - usb: quirks: add DELAY_INIT quirk for Silicon Motion Flash Drive
    (bsc#1012628).
  - usb: quirks: Add delay init quirk for SanDisk 3.2Gen1 Flash
    Drive (bsc#1012628).
  - USB: VLI disk crashes if LPM is used (bsc#1012628).
  - usb: typec: class: Fix NULL pointer access (bsc#1012628).
  - usb: typec: class: Invalidate USB device pointers on partner
    unregistration (bsc#1012628).
  - usb: typec: class: Unlocked on error in typec_register_partner()
    (bsc#1012628).
  - USB: wdm: handle IO errors in wdm_wwan_port_start (bsc#1012628).
  - USB: wdm: close race between wdm_open and wdm_wwan_port_stop
    (bsc#1012628).
  - USB: wdm: wdm_wwan_port_tx_complete mutex in atomic context
    (bsc#1012628).
  - USB: wdm: add annotation (bsc#1012628).
  - crypto: ecdsa - Harden against integer overflows in
    DIV_ROUND_UP() (bsc#1012628).
  - selftests/bpf: Fix stdout race condition in traffic monitor
    (bsc#1012628).
  - pinctrl: renesas: rza2: Fix potential NULL pointer dereference
    (bsc#1012628).
  - pinctrl: mcp23s08: Get rid of spurious level interrupts
    (bsc#1012628).
  - MIPS: cm: Detect CM quirks from device tree (bsc#1012628).
  - crypto: ccp - Add support for PCI device 0x1134 (bsc#1012628).
  - crypto: lib/Kconfig - Fix lib built-in failure when arch is
    modular (bsc#1012628).
  - crypto: null - Use spin lock instead of mutex (bsc#1012628).
  - bpf: Fix kmemleak warning for percpu hashmap (bsc#1012628).
  - bpf: Fix deadlock between rcu_tasks_trace and event_mutex
    (bsc#1012628).
  - clk: check for disabled clock-provider in
    of_clk_get_hw_from_clkspec() (bsc#1012628).
  - parisc: PDT: Fix missing prototype warning (bsc#1012628).
  - s390/sclp: Add check for get_zeroed_page() (bsc#1012628).
  - s390/tty: Fix a potential memory leak bug (bsc#1012628).
  - clk: renesas: rzv2h: Adjust for CPG_BUS_m_MSTOP starting from
    m = 1 (bsc#1012628).
  - selftests/bpf: Fix cap_enable_effective() return code
    (bsc#1012628).
  - bpf: bpftool: Setting error code in do_loader() (bsc#1012628).
  - bpf: Only fails the busy counter check in bpf_cgrp_storage_get
    if it creates storage (bsc#1012628).
  - bpf: Reject attaching fexit/fmod_ret to __noreturn functions
    (bsc#1012628).
  - mailbox: pcc: Fix the possible race in updation of chan_in_use
    flag (bsc#1012628).
  - mailbox: pcc: Always clear the platform ack interrupt first
    (bsc#1012628).
  - staging: gpib: Use min for calculating transfer length
    (bsc#1012628).
  - usb: host: max3421-hcd: Add missing spi_device_id table
    (bsc#1012628).
  - usb: typec: ucsi: return CCI and message from sync_control
    callback (bsc#1012628).
  - usb: typec: ucsi: ccg: move command quirks to
    ucsi_ccg_sync_control() (bsc#1012628).
  - iio: adc: ad4695: make ad4695_exit_conversion_mode() more robust
    (bsc#1012628).
  - fs/ntfs3: Keep write operations atomic (bsc#1012628).
  - fs/ntfs3: Fix WARNING in ntfs_extend_initialized_size
    (bsc#1012628).
  - usb: dwc3: gadget: Refactor loop to avoid NULL endpoints
    (bsc#1012628).
  - usb: dwc3: gadget: Avoid using reserved endpoints on Intel
    Merrifield (bsc#1012628).
  - sound/virtio: Fix cancel_sync warnings on uninitialized
    work_structs (bsc#1012628).
  - dmaengine: bcm2835-dma: fix warning when CONFIG_PM=n
    (bsc#1012628).
  - usb: xhci: Complete 'error mid TD' transfers when handling
    Missed Service (bsc#1012628).
  - usb: xhci: Fix isochronous Ring Underrun/Overrun event handling
    (bsc#1012628).
  - xhci: Handle spurious events on Etron host isoc enpoints
    (bsc#1012628).
  - i3c: master: svc: Add support for Nuvoton npcm845 i3c
    (bsc#1012628).
  - dmaengine: dmatest: Fix dmatest waiting less when interrupted
    (bsc#1012628).
  - usb: xhci: Avoid Stop Endpoint retry loop if the endpoint
    seems Running (bsc#1012628).
  - phy: rockchip: usbdp: Avoid call hpd_event_trigger in
    dp_phy_init (bsc#1012628).
  - usb: gadget: aspeed: Add NULL pointer check in
    ast_vhub_init_dev() (bsc#1012628).
  - usb: host: xhci-plat: mvebu: use ->quirks instead of
    - >init_quirk() func (bsc#1012628).
  - thunderbolt: Scan retimers after device router has been
    enumerated (bsc#1012628).
  - um: work around sched_yield not yielding in time-travel mode
    (bsc#1012628).
  - iommu/arm-smmu-v3: Set MEV bit in nested STE for DoS mitigations
    (bsc#1012628).
  - objtool: Silence more KCOV warnings (bsc#1012628).
  - objtool, panic: Disable SMAP in __stack_chk_fail()
    (bsc#1012628).
  - objtool, ASoC: codecs: wcd934x: Remove potential undefined
    behavior in wcd934x_slim_irq_handler() (bsc#1012628).
  - objtool, regulator: rk808: Remove potential undefined behavior
    in rk806_set_mode_dcdc() (bsc#1012628).
  - objtool, lkdtm: Obfuscate the do_nothing() pointer
    (bsc#1012628).
  - qibfs: fix _another_ leak (bsc#1012628).
  - riscv: tracing: Fix __write_overflow_field in
    ftrace_partial_regs() (bsc#1012628).
  - ntb: reduce stack usage in idt_scan_mws (bsc#1012628).
  - ntb_hw_amd: Add NTB PCI ID for new gen CPU (bsc#1012628).
  - 9p/net: fix improper handling of bogus negative read/write
    replies (bsc#1012628).
  - 9p/trans_fd: mark concurrent read and writes to p9_conn->err
    (bsc#1012628).
  - rtc: pcf85063: do a SW reset if POR failed (bsc#1012628).
  - tracing: Enforce the persistent ring buffer to be page aligned
    (bsc#1012628).
  - io_uring: always do atomic put from iowq (bsc#1012628).
  - kbuild, rust: use -fremap-path-prefix to make paths relative
    (bsc#1012628).
  - kbuild: add dependency from vmlinux to sorttable (bsc#1012628).
  - sched/isolation: Make CONFIG_CPU_ISOLATION depend on CONFIG_SMP
    (bsc#1012628).
  - KVM: s390: Don't use %pK through tracepoints (bsc#1012628).
  - KVM: s390: Don't use %pK through debug printing (bsc#1012628).
  - udmabuf: fix a buf size overflow issue during udmabuf creation
    (bsc#1012628).
  - cgroup/cpuset: Don't allow creation of local partition over
    a remote one (bsc#1012628).
  - selftests: ublk: fix test_stripe_04 (bsc#1012628).
  - perf/core: Fix WARN_ON(!ctx) in __free_event() for partial init
    (bsc#1012628).
  - xen: Change xen-acpi-processor dom0 dependency (bsc#1012628).
  - pwm: Let pwm_set_waveform() succeed even if lowlevel driver
    rounded up (bsc#1012628).
  - pwm: axi-pwmgen: Let .round_waveform_tohw() signal when request
    was rounded up (bsc#1012628).
  - nvme: requeue namespace scan on missed AENs (bsc#1012628).
  - ACPI: EC: Set ec_no_wakeup for Lenovo Go S (bsc#1012628).
  - ACPI PPTT: Fix coding mistakes in a couple of sizeof() calls
    (bsc#1012628).
  - drm/amdkfd: sriov doesn't support per queue reset (bsc#1012628).
  - drm/amdgpu: Increase KIQ invalidate_tlbs timeout (bsc#1012628).
  - drm/xe/xe3lpg: Apply Wa_14022293748, Wa_22019794406
    (bsc#1012628).
  - nvme: re-read ANA log page after ns scan completes
    (bsc#1012628).
  - nvme: multipath: fix return value of nvme_available_path
    (bsc#1012628).
  - objtool: Stop UNRET validation on UD2 (bsc#1012628).
  - gpiolib: of: Move Atmel HSMCI quirk up out of the regulator
    comment (bsc#1012628).
  - x86/xen: disable CPU idle and frequency drivers for PVH dom0
    (bsc#1012628).
  - selftests/mincore: Allow read-ahead pages to reach the end of
    the file (bsc#1012628).
  - x86/bugs: Use SBPB in write_ibpb() if applicable (bsc#1012628).
  - x86/bugs: Don't fill RSB on VMEXIT with eIBRS+retpoline
    (bsc#1012628).
  - x86/bugs: Don't fill RSB on context switch with eIBRS
    (bsc#1012628).
  - nvmet-fc: take tgtport reference only once (bsc#1012628).
  - nvmet-fc: put ref when assoc->del_work is already scheduled
    (bsc#1012628).
  - cifs: Fix encoding of SMB1 Session Setup Kerberos Request in
    non-UNICODE mode (bsc#1012628).
  - timekeeping: Add a lockdep override in tick_freeze()
    (bsc#1012628).
  - cifs: Fix querying of WSL CHR and BLK reparse points over SMB1
    (bsc#1012628).
  - iommu: Clear iommu-dma ops on cleanup (bsc#1012628).
  - ext4: make block validity check resistent to sb bh corruption
    (bsc#1012628).
  - scsi: hisi_sas: Fix I/O errors caused by hardware port ID
    changes (bsc#1012628).
  - scsi: ufs: exynos: Ensure pre_link() executes before
    exynos_ufs_phy_init() (bsc#1012628).
  - scsi: ufs: exynos: Enable PRDT pre-fetching with
    UFSHCD_CAP_CRYPTO (bsc#1012628).
  - scsi: ufs: exynos: Move phy calls to .exit() callback
    (bsc#1012628).
  - scsi: ufs: exynos: gs101: Put UFS device in reset on .suspend()
    (bsc#1012628).
  - scsi: pm80xx: Set phy_attached to zero when device is gone
    (bsc#1012628).
  - ASoC: fsl_asrc_dma: get codec or cpu dai from backend
    (bsc#1012628).
  - ASoC: codecs: Add of_match_table for aw888081 driver
    (bsc#1012628).
  - x86/i8253: Call clockevent_i8253_disable() with interrupts
    disabled (bsc#1012628).
  - platform/x86: x86-android-tablets: Add "9v" to Vexia EDU ATLA
    10 tablet symbols (bsc#1012628).
  - platform/x86: x86-android-tablets: Add Vexia Edu Atla 10 tablet
    5V data (bsc#1012628).
  - netfs: Only create /proc/fs/netfs with CONFIG_PROC_FS
    (bsc#1012628).
  - iomap: skip unnecessary ifs_block_is_uptodate check
    (bsc#1012628).
  - riscv: Provide all alternative macros all the time
    (bsc#1012628).
  - ksmbd: fix WARNING "do not call blocking ops when !TASK_RUNNING"
    (bsc#1012628).
  - spi: tegra210-quad: use WARN_ON_ONCE instead of WARN_ON for
    timeouts (bsc#1012628).
  - spi: tegra210-quad: add rate limiting and simplify timeout
    error message (bsc#1012628).
  - ubsan: Fix panic from test_ubsan_out_of_bounds (bsc#1012628).
  - nvmet: pci-epf: cleanup link state management (bsc#1012628).
  - x86/cpu: Add CPU model number for Bartlett Lake CPUs with
    Raptor Cove cores (bsc#1012628).
  - md/raid1: Add check for missing source disk in process_checks()
    (bsc#1012628).
  - drm/amdgpu: use a dummy owner for sysfs triggered cleaner
    shaders v4 (bsc#1012628).
  - drm/amd: Forbid suspending into non-default suspend states
    (bsc#1012628).
  - drm/amdgpu: Use the right function for hdp flush (bsc#1012628).
  - ublk: add ublk_force_abort_dev() (bsc#1012628).
  - ublk: rely on ->canceling for dealing with
    ublk_nosrv_dev_should_queue_io (bsc#1012628).
  - spi: spi-imx: Add check for spi_imx_setupxfer() (bsc#1012628).
  - Revert "drivers: core: synchronize really_probe() and
    dev_uevent()" (bsc#1012628).
  - driver core: introduce device_set_driver() helper (bsc#1012628).
  - driver core: fix potential NULL pointer dereference in
    dev_uevent() (bsc#1012628).
  - vmxnet3: Fix malformed packet sizing in vmxnet3_process_xdp
    (bsc#1012628).
  - comedi: jr3_pci: Fix synchronous deletion of timer
    (bsc#1012628).
  - crypto: lib/Kconfig - Hide arch options from user (bsc#1012628).
  - media: i2c: imx214: Fix uninitialized variable in
    imx214_set_ctrl() (bsc#1012628).
  - MIPS: cm: Fix warning if MIPS_CM is disabled (bsc#1012628).
  - net: phy: dp83822: fix transmit amplitude if CONFIG_OF_MDIO
    not defined (bsc#1012628).
  - rust: kbuild: skip `--remap-path-prefix` for `rustdoc`
    (bsc#1012628).
  - ublk: don't fail request for recovery & reissue in case of
    ubq->canceling (bsc#1012628).
  - nvme: fixup scan failure for non-ANA multipath controllers
    (bsc#1012628).
  - usb: xhci: Fix Short Packet handling rework ignoring errors
    (bsc#1012628).
  - objtool: Ignore end-of-section jumps for KCOV/GCOV
    (bsc#1012628).
  - objtool: Silence more KCOV warnings, part 2 (bsc#1012628).
  - crypto: Kconfig - Select LIB generic option (bsc#1012628).
  - Update config files.
  - Rename to
    patches.kernel.org/6.14.5-029-drm-xe-rtp-Drop-sentinels-from-arg-to-xe_rtp_p.patch.
  - commit 74808df
* Wed Apr 30 2025 tiwai@suse.de
  - ALSA: ump: Fix buffer overflow at UMP SysEx message conversion
    (bsc#1242044).
  - commit 5d6f327
* Wed Apr 30 2025 jslaby@suse.cz
  - Refresh
    patches.suse/drm-xe-rtp-Drop-sentinels-from-arg-to-xe_rtp_process.patch.
    Update upstream status.
  - commit 0f3d58a
* Tue Apr 29 2025 msuchanek@suse.de
  - Require zstd in kernel-default-devel when module compression is zstd
    To use ksym-provides tool modules need to be uncompressed.
    Without zstd at least kernel-default-base does not have provides.
    Link: https://github.com/openSUSE/rpm-config-SUSE/pull/82
  - commit a3262dd
* Tue Apr 29 2025 msuchanek@suse.de
  - Update config files (bsc#1241057 ltc#211774).
    CONFIG_PCI_DYNAMIC_OF_NODES=n
  - commit d37dd4b
* Mon Apr 28 2025 mkubecek@suse.cz
  - config: disable LATENCYTOP also on arm64
    Commit 6717c028359a ("config: Disable CONFIG_LATENCYTOP (jsc#PED-12529)")
    disabled CONFIG_LATENCYTOP on all architectures but arm64 was disabled at
    the moment so that it stayed enabled there. Sync with other architectures.
  - commit dc0aa58
* Mon Apr 28 2025 jslaby@suse.cz
  - Refresh
    patches.suse/0001-net-introduce-OpenVPN-Data-Channel-Offload-ovpn.patch.
  - Refresh patches.suse/0002-ovpn-add-basic-netlink-support.patch.
  - Refresh
    patches.suse/0003-ovpn-add-basic-interface-creation-destruction-manage.patch.
  - Refresh
    patches.suse/0004-ovpn-keep-carrier-always-on-for-MP-interfaces.patch.
  - Refresh
    patches.suse/0005-ovpn-introduce-the-ovpn_peer-object.patch.
  - Refresh
    patches.suse/0006-ovpn-introduce-the-ovpn_socket-object.patch.
  - Refresh
    patches.suse/0007-ovpn-implement-basic-TX-path-UDP.patch.
  - Refresh
    patches.suse/0008-ovpn-implement-basic-RX-path-UDP.patch.
  - Refresh
    patches.suse/0009-ovpn-implement-packet-processing.patch.
  - Refresh
    patches.suse/0010-ovpn-store-tunnel-and-transport-statistics.patch.
  - Refresh patches.suse/0011-ovpn-implement-TCP-transport.patch.
  - Refresh
    patches.suse/0012-skb-implement-skb_send_sock_locked_with_flags.patch.
  - Refresh
    patches.suse/0013-ovpn-add-support-for-MSG_NOSIGNAL-in-tcp_sendmsg.patch.
  - Refresh
    patches.suse/0014-ovpn-implement-multi-peer-support.patch.
  - Refresh
    patches.suse/0015-ovpn-implement-peer-lookup-logic.patch.
  - Refresh
    patches.suse/0016-ovpn-implement-keepalive-mechanism.patch.
  - Refresh
    patches.suse/0017-ovpn-add-support-for-updating-local-or-remote-UDP-en.patch.
  - Refresh
    patches.suse/0018-ovpn-implement-peer-add-get-dump-delete-via-netlink.patch.
  - Refresh
    patches.suse/0019-ovpn-implement-key-add-get-del-swap-via-netlink.patch.
  - Refresh
    patches.suse/0020-ovpn-kill-key-and-notify-userspace-in-case-of-IV-exh.patch.
  - Refresh
    patches.suse/0021-ovpn-notify-userspace-when-a-peer-is-deleted.patch.
  - Refresh patches.suse/0022-ovpn-add-basic-ethtool-support.patch.
  - Refresh
    patches.suse/0023-testing-selftests-add-test-tool-and-scripts-for-ovpn.patch.
    Update to upstream version (only tags, no code change).
  - commit 724984a
* Mon Apr 28 2025 mkubecek@suse.cz
  - update to 6.15-rc4
  - commit 62ec7c7
* Sun Apr 27 2025 tiwai@suse.de
  - Refresh patches.suse/iommu-Allow-attaching-static-domains-in-iommu_attach.patch (bsc#1241193)
    Refreshed to v3 patch
  - commit 5489a9f
* Fri Apr 25 2025 jslaby@suse.cz
  - Linux 6.14.4 (bsc#1012628).
  - scsi: hisi_sas: Enable force phy when SATA disk directly
    connected (bsc#1012628).
  - wifi: at76c50x: fix use after free access in at76_disconnect
    (bsc#1012628).
  - wifi: mac80211: Update skb's control block key in
    ieee80211_tx_dequeue() (bsc#1012628).
  - wifi: mac80211: Purge vif txq in ieee80211_do_stop()
    (bsc#1012628).
  - wifi: brcmfmac: fix memory leak in brcmf_get_module_param
    (bsc#1012628).
  - wifi: wl1251: fix memory leak in wl1251_tx_work (bsc#1012628).
  - scsi: iscsi: Fix missing scsi_host_put() in error path
    (bsc#1012628).
  - scsi: smartpqi: Use is_kdump_kernel() to check for kdump
    (bsc#1012628).
  - md/raid10: fix missing discard IO accounting (bsc#1012628).
  - md/md-bitmap: fix stats collection for external bitmaps
    (bsc#1012628).
  - ASoC: dwc: always enable/disable i2s irqs (bsc#1012628).
  - ASoC: Intel: avs: Fix null-ptr-deref in avs_component_probe()
    (bsc#1012628).
  - crypto: tegra - Fix IV usage for AES ECB (bsc#1012628).
  - ovl: remove unused forward declaration (bsc#1012628).
  - RDMA/bnxt_re: Fix budget handling of notification queue
    (bsc#1012628).
  - RDMA/usnic: Fix passing zero to PTR_ERR in usnic_ib_pci_probe()
    (bsc#1012628).
  - RDMA/hns: Fix wrong maximum DMA segment size (bsc#1012628).
  - ALSA: hda/cirrus_scodec_test: Don't select dependencies
    (bsc#1012628).
  - ALSA: hda/realtek - Fixed ASUS platform headset Mic issue
    (bsc#1012628).
  - ASoC: cs42l43: Reset clamp override on jack removal
    (bsc#1012628).
  - RDMA/core: Silence oversized kvmalloc() warning (bsc#1012628).
  - firmware: cs_dsp: test_bin_error: Fix uninitialized data used
    as fw version (bsc#1012628).
  - Bluetooth: hci_event: Fix sending MGMT_EV_DEVICE_FOUND for
    invalid address (bsc#1012628).
  - Bluetooth: btrtl: Prevent potential NULL dereference
    (bsc#1012628).
  - Bluetooth: l2cap: Check encryption key size on incoming
    connection (bsc#1012628).
  - RDMA/bnxt_re: Remove unusable nq variable (bsc#1012628).
  - ipv6: add exception routes to GC list in rt6_insert_exception
    (bsc#1012628).
  - xen: fix multicall debug feature (bsc#1012628).
  - mlxbf-bootctl: use sysfs_emit_at() in
    secure_boot_fuse_state_show() (bsc#1012628).
  - wifi: iwlwifi: pcie: set state to no-FW before reset handshake
    (bsc#1012628).
  - Revert "wifi: mac80211: Update skb's control block key in
    ieee80211_tx_dequeue()" (bsc#1012628).
  - igc: fix PTM cycle trigger logic (bsc#1012628).
  - igc: increase wait time before retrying PTM (bsc#1012628).
  - igc: move ktime snapshot into PTM retry loop (bsc#1012628).
  - igc: handle the IGC_PTP_ENABLED flag correctly (bsc#1012628).
  - igc: cleanup PTP module if probe fails (bsc#1012628).
  - igc: add lock preventing multiple simultaneous PTM transactions
    (bsc#1012628).
  - perf tools: Remove evsel__handle_error_quirks() (bsc#1012628).
  - dt-bindings: soc: fsl: fsl,ls1028a-reset: Fix maintainer entry
    (bsc#1012628).
  - smc: Fix lockdep false-positive for IPPROTO_SMC (bsc#1012628).
  - test suite: use %zu to print size_t (bsc#1012628).
  - selftests: mincore: fix tmpfs mincore test failure
    (bsc#1012628).
  - pds_core: fix memory leak in pdsc_debugfs_add_qcq()
    (bsc#1012628).
  - ethtool: cmis_cdb: use correct rpl size in
    ethtool_cmis_module_poll() (bsc#1012628).
  - net: mctp: Set SOCK_RCU_FREE (bsc#1012628).
  - net: hibmcge: fix incorrect pause frame statistics issue
    (bsc#1012628).
  - net: hibmcge: fix incorrect multicast filtering issue
    (bsc#1012628).
  - net: hibmcge: fix wrong mtu log issue (bsc#1012628).
  - net: hibmcge: fix not restore rx pause mac addr after reset
    issue (bsc#1012628).
  - block: fix resource leak in blk_register_queue() error path
    (bsc#1012628).
  - netlink: specs: ovs_vport: align with C codegen capabilities
    (bsc#1012628).
  - net: openvswitch: fix nested key length validation in the set()
    action (bsc#1012628).
  - can: rockchip_canfd: fix broken quirks checks (bsc#1012628).
  - net: ngbe: fix memory leak in ngbe_probe() error path
    (bsc#1012628).
  - octeontx2-pf: handle otx2_mbox_get_rsp errors (bsc#1012628).
  - net: ethernet: ti: am65-cpsw: fix port_np reference counting
    (bsc#1012628).
  - eth: bnxt: fix missing ring index trim on error path
    (bsc#1012628).
  - loop: aio inherit the ioprio of original request (bsc#1012628).
  - loop: stop using vfs_iter_{read,write} for buffered I/O
    (bsc#1012628).
  - nvmet: pci-epf: always fully initialize completion entries
    (bsc#1012628).
  - nvmet: pci-epf: clear CC and CSTS when disabling the controller
    (bsc#1012628).
  - ata: libata-sata: Save all fields from sense data descriptor
    (bsc#1012628).
  - cxgb4: fix memory leak in cxgb4_init_ethtool_filters() error
    path (bsc#1012628).
  - netlink: specs: rt-link: add an attr layer around alt-ifname
    (bsc#1012628).
  - netlink: specs: rtnetlink: attribute naming corrections
    (bsc#1012628).
  - netlink: specs: rt-link: adjust mctp attribute naming
    (bsc#1012628).
  - netlink: specs: rt-neigh: prefix struct nfmsg members with ndm
    (bsc#1012628).
  - net: b53: enable BPDU reception for management port
    (bsc#1012628).
  - net: bridge: switchdev: do not notify new brentries as changed
    (bsc#1012628).
  - net: txgbe: fix memory leak in txgbe_probe() error path
    (bsc#1012628).
  - net: dsa: mv88e6xxx: avoid unregistering devlink regions which
    were never registered (bsc#1012628).
  - net: dsa: mv88e6xxx: fix -ENOENT when deleting VLANs and MST
    is unsupported (bsc#1012628).
  - net: dsa: clean up FDB, MDB, VLAN entries on unbind
    (bsc#1012628).
  - net: dsa: free routing table on probe failure (bsc#1012628).
  - net: dsa: avoid refcount warnings when
    ds->ops->tag_8021q_vlan_del() fails (bsc#1012628).
  - ptp: ocp: fix start time alignment in ptp_ocp_signal_set
    (bsc#1012628).
  - netfilter: conntrack: fix erronous removal of offload bit
    (bsc#1012628).
  - net: ti: icss-iep: Add pwidth configuration for perout signal
    (bsc#1012628).
  - net: ti: icss-iep: Add phase offset configuration for perout
    signal (bsc#1012628).
  - net: ti: icss-iep: Fix possible NULL pointer dereference for
    perout request (bsc#1012628).
  - net: ethernet: mtk_eth_soc: reapply mdc divider on reset
    (bsc#1012628).
  - net: ethernet: mtk_eth_soc: correct the max weight of the
    queue limit for 100Mbps (bsc#1012628).
  - net: ethernet: mtk_eth_soc: revise QDMA packet scheduler
    settings (bsc#1012628).
  - riscv: Use kvmalloc_array on relocation_hashtable (bsc#1012628).
  - riscv: Properly export reserved regions in /proc/iomem
    (bsc#1012628).
  - riscv: module: Fix out-of-bounds relocation access
    (bsc#1012628).
  - riscv: module: Allocate PLT entries for R_RISCV_PLT32
    (bsc#1012628).
  - kunit: qemu_configs: SH: Respect kunit cmdline (bsc#1012628).
  - thermal: intel: int340x: Fix Panther Lake DLVR support
    (bsc#1012628).
  - riscv: KGDB: Do not inline arch_kgdb_breakpoint() (bsc#1012628).
  - riscv: KGDB: Remove ".option norvc/.option rvc" for
    kgdb_compiled_break (bsc#1012628).
  - cpufreq/sched: Fix the usage of CPUFREQ_NEED_UPDATE_LIMITS
    (bsc#1012628).
  - objtool/rust: add one more `noreturn` Rust function for Rust
    1.86.0 (bsc#1012628).
  - rust: helpers: Remove volatile qualifier from io helpers
    (bsc#1012628).
  - rust: kasan/kbuild: fix missing flags on first build
    (bsc#1012628).
  - rust: disable `clippy::needless_continue` (bsc#1012628).
  - rust: kbuild: Don't export __pfx symbols (bsc#1012628).
  - rust: kbuild: use `pound` to support GNU Make < 4.3
    (bsc#1012628).
  - writeback: fix false warning in inode_to_wb() (bsc#1012628).
  - Revert "PCI: Avoid reset when disabled via sysfs" (bsc#1012628).
  - ASoC: fsl: fsl_qmc_audio: Reset audio data pointers on
    TRIGGER_START event (bsc#1012628).
  - ASoC: codecs:lpass-wsa-macro: Fix vi feedback rate
    (bsc#1012628).
  - ASoC: codecs:lpass-wsa-macro: Fix logic of enabling vi channels
    (bsc#1012628).
  - ASoC: Intel: sof_sdw: Add quirk for Asus Zenbook S16
    (bsc#1012628).
  - ASoC: qcom: Fix sc7280 lpass potential buffer overflow
    (bsc#1012628).
  - accel/ivpu: Fix the NPU's DPU frequency calculation
    (bsc#1012628).
  - alloc_tag: handle incomplete bulk allocations in
    vm_module_tags_populate (bsc#1012628).
  - asus-laptop: Fix an uninitialized variable (bsc#1012628).
  - block: integrity: Do not call set_page_dirty_lock()
    (bsc#1012628).
  - drm/v3d: Fix Indirect Dispatch configuration for V3D 7.1.6
    and later (bsc#1012628).
  - drm/msm/dpu: Fix error pointers in
    dpu_plane_virtual_atomic_check (bsc#1012628).
  - drm/msm/dpu: drop rogue intr_tear_rd_ptr values (bsc#1012628).
  - dma-buf/sw_sync: Decrement refcount on error in
    sw_sync_ioctl_get_deadline() (bsc#1012628).
  - nfs: add missing selections of CONFIG_CRC32 (bsc#1012628).
  - nfsd: decrease sc_count directly if fail to queue dl_recall
    (bsc#1012628).
  - i2c: atr: Fix wrong include (bsc#1012628).
  - eventpoll: abstract out ep_try_send_events() helper
    (bsc#1012628).
  - eventpoll: Set epoll timeout if it's in the future
    (bsc#1012628).
  - ftrace: fix incorrect hash size in register_ftrace_direct()
    (bsc#1012628).
  - drm/msm/a6xx+: Don't let IB_SIZE overflow (bsc#1012628).
  - Bluetooth: l2cap: Process valid commands in too long frame
    (bsc#1012628).
  - Bluetooth: vhci: Avoid needless snprintf() calls (bsc#1012628).
  - btrfs: ioctl: don't free iov when btrfs_encoded_read() returns
    - EAGAIN (bsc#1012628).
  - btrfs: correctly escape subvol in btrfs_show_options()
    (bsc#1012628).
  - cpufreq/sched: Explicitly synchronize limits_changed flag
    handling (bsc#1012628).
  - crypto: caam/qi - Fix drv_ctx refcount bug (bsc#1012628).
  - hfs/hfsplus: fix slab-out-of-bounds in hfs_bnode_read_key
    (bsc#1012628).
  - i2c: cros-ec-tunnel: defer probe if parent EC is not present
    (bsc#1012628).
  - isofs: Prevent the use of too small fid (bsc#1012628).
  - lib/iov_iter: fix to increase non slab folio refcount
    (bsc#1012628).
  - loop: properly send KOBJ_CHANGED uevent for disk device
    (bsc#1012628).
  - loop: LOOP_SET_FD: send uevents for partitions (bsc#1012628).
  - mm/compaction: fix bug in hugetlb handling pathway
    (bsc#1012628).
  - mm/gup: fix wrongly calculated returned value in
    fault_in_safe_writeable() (bsc#1012628).
  - mm: fix filemap_get_folios_contig returning batches of identical
    folios (bsc#1012628).
  - mm: fix apply_to_existing_page_range() (bsc#1012628).
  - ovl: don't allow datadir only (bsc#1012628).
  - ksmbd: Fix dangling pointer in krb_authenticate (bsc#1012628).
  - ksmbd: fix use-after-free in __smb2_lease_break_noti()
    (bsc#1012628).
  - ksmbd: fix use-after-free in smb_break_all_levII_oplock()
    (bsc#1012628).
  - ksmbd: Prevent integer overflow in calculation of deadtime
    (bsc#1012628).
  - ksmbd: fix the warning from __kernel_write_iter (bsc#1012628).
  - Revert "smb: client: Fix netns refcount imbalance causing
    leaks and use-after-free" (bsc#1012628).
  - Revert "smb: client: fix TCP timers deadlock after rmmod"
    (bsc#1012628).
  - riscv: Avoid fortify warning in syscall_get_arguments()
    (bsc#1012628).
  - selftests/mm: generate a temporary mountpoint for cgroup
    filesystem (bsc#1012628).
  - slab: ensure slab->obj_exts is clear in a newly allocated slab
    page (bsc#1012628).
  - smb3 client: fix open hardlink on deferred close file error
    (bsc#1012628).
  - string: Add load_unaligned_zeropad() code path to
    sized_strscpy() (bsc#1012628).
  - tracing: Fix filter string testing (bsc#1012628).
  - virtiofs: add filesystem context source name check
    (bsc#1012628).
  - x86/microcode/AMD: Extend the SHA check to Zen5, block
    loading of any unreleased standalone Zen5 microcode patches
    (bsc#1012628).
  - x86/cpu/amd: Fix workaround for erratum 1054 (bsc#1012628).
  - x86/boot/sev: Avoid shared GHCB page for early memory acceptance
    (bsc#1012628).
  - scsi: megaraid_sas: Block zero-length ATA VPD inquiry
    (bsc#1012628).
  - scsi: ufs: exynos: Move UFS shareability value to drvdata
    (bsc#1012628).
  - scsi: ufs: exynos: Disable iocc if dma-coherent property isn't
    set (bsc#1012628).
  - scsi: ufs: exynos: Ensure consistent phy reference counts
    (bsc#1012628).
  - RDMA/cma: Fix workqueue crash in cma_netevent_work_handler
    (bsc#1012628).
  - RAS/AMD/ATL: Include row[13] bit in row retirement
    (bsc#1012628).
  - RAS/AMD/FMPM: Get masked address (bsc#1012628).
  - platform/x86: amd: pmf: Fix STT limits (bsc#1012628).
  - perf/x86/intel: Allow to update user space GPRs from PEBS
    records (bsc#1012628).
  - perf/x86/intel/uncore: Fix the scale of IIO free running
    counters on SNR (bsc#1012628).
  - perf/x86/intel/uncore: Fix the scale of IIO free running
    counters on ICX (bsc#1012628).
  - perf/x86/intel/uncore: Fix the scale of IIO free running
    counters on SPR (bsc#1012628).
  - drm/repaper: fix integer overflows in repeat functions
    (bsc#1012628).
  - drm/ast: Fix ast_dp connection status (bsc#1012628).
  - drm/msm/dsi: Add check for devm_kstrdup() (bsc#1012628).
  - drm/msm/a6xx: Fix stale rpmh votes from GPU (bsc#1012628).
  - drm/amdgpu: Prefer shadow rom when available (bsc#1012628).
  - drm/amd/display: prevent hang on link training fail
    (bsc#1012628).
  - drm/amd: Handle being compiled without SI or CIK support better
    (bsc#1012628).
  - drm/amd/display: Actually do immediate vblank disable
    (bsc#1012628).
  - drm/amd/display: Increase vblank offdelay for PSR panels
    (bsc#1012628).
  - drm/amd/pm: Prevent division by zero (bsc#1012628).
  - drm/amd/pm/powerplay: Prevent division by zero (bsc#1012628).
  - drm/amd/pm: Add zero RPM enabled OD setting support for
    SMU14.0.2 (bsc#1012628).
  - drm/amd/pm/smu11: Prevent division by zero (bsc#1012628).
  - drm/amd/pm/powerplay/hwmgr/smu7_thermal: Prevent division by
    zero (bsc#1012628).
  - drm/amd/pm/swsmu/smu13/smu_v13_0: Prevent division by zero
    (bsc#1012628).
  - drm/amd/pm/powerplay/hwmgr/vega20_thermal: Prevent division
    by zero (bsc#1012628).
  - drm/amdgpu/mes12: optimize MES pipe FW version fetching
    (bsc#1012628).
  - drm/i915/vrr: Add vrr.vsync_{start, end} in vrr_params_changed
    (bsc#1012628).
  - drm/xe: Use local fence in error path of xe_migrate_clear
    (bsc#1012628).
  - drm/virtio: Don't attach GEM to a non-created context in
    gem_object_open() (bsc#1012628).
  - drm/amd/display: Add HP Elitebook 645 to the quirk list for
    eDP on DP1 (bsc#1012628).
  - drm/amd/display: Protect FPU in dml2_validate()/dml21_validate()
    (bsc#1012628).
  - drm/amd/display: Protect FPU in dml21_copy() (bsc#1012628).
  - drm/amdgpu/mes11: optimize MES pipe FW version fetching
    (bsc#1012628).
  - drm/amdgpu/dma_buf: fix page_link check (bsc#1012628).
  - drm/nouveau: prime: fix ttm_bo_delayed_delete oops
    (bsc#1012628).
  - drm/imagination: fix firmware memory leaks (bsc#1012628).
  - drm/imagination: take paired job reference (bsc#1012628).
  - drm/virtio: Fix missed dmabuf unpinning in error path of
    prepare_fb() (bsc#1012628).
  - drm/sti: remove duplicate object names (bsc#1012628).
  - drm/i915: Fix scanline_offset for LNL+ and BMG+ (bsc#1012628).
  - drm/xe: Fix an out-of-bounds shift when invalidating TLB
    (bsc#1012628).
  - drm/xe/bmg: Add one additional PCI ID (bsc#1012628).
  - drm/i915/gvt: fix unterminated-string-initialization warning
    (bsc#1012628).
  - drm/i915/xe2hpd: Identify the memory type for SKUs with GDDR +
    ECC (bsc#1012628).
  - drm/i915/dp: Reject HBR3 when sink doesn't support TPS4
    (bsc#1012628).
  - drm/amdgpu: immediately use GTT for new allocations
    (bsc#1012628).
  - drm/amd/display: Do not enable Replay and PSR while VRR is on
    in amdgpu_dm_commit_planes() (bsc#1012628).
  - drm/amd/display: Protect FPU in dml2_init()/dml21_init()
    (bsc#1012628).
  - drm/amd/display: Add HP Probook 445 and 465 to the quirk list
    for eDP on DP1 (bsc#1012628).
  - drm/xe/dma_buf: stop relying on placement in unmap
    (bsc#1012628).
  - drm/xe/userptr: fix notifier vs folio deadlock (bsc#1012628).
  - drm/xe: Set LRC addresses before guc load (bsc#1012628).
  - drm/i915/display: Add macro for checking 3 DSC engines
    (bsc#1012628).
  - drm/i915/dp: Check for HAS_DSC_3ENGINES while configuring DSC
    slices (bsc#1012628).
  - drm/amdgpu: fix warning of drm_mm_clean (bsc#1012628).
  - drm/mgag200: Fix value in <VBLKSTR> register (bsc#1012628).
  - io_uring: don't post tag CQEs on file/buffer registration
    failure (bsc#1012628).
  - arm64/sysreg: Update register fields for ID_AA64MMFR0_EL1
    (bsc#1012628).
  - arm64/sysreg: Add register fields for HDFGRTR2_EL2
    (bsc#1012628).
  - arm64/sysreg: Add register fields for HDFGWTR2_EL2
    (bsc#1012628).
  - arm64/sysreg: Add register fields for HFGITR2_EL2 (bsc#1012628).
  - arm64/sysreg: Add register fields for HFGRTR2_EL2 (bsc#1012628).
  - arm64/sysreg: Add register fields for HFGWTR2_EL2 (bsc#1012628).
  - arm64/boot: Enable EL2 requirements for FEAT_PMUv3p9
    (bsc#1012628).
  - cpufreq: Reference count policy in cpufreq_update_limits()
    (bsc#1012628).
  - scripts: generate_rust_analyzer: Add ffi crate (bsc#1012628).
  - platform/x86: alienware-wmi-wmax: Add G-Mode support to
    Alienware m16 R1 (bsc#1012628).
  - platform/x86: alienware-wmi-wmax: Extend support to more laptops
    (bsc#1012628).
  - platform/x86: msi-wmi-platform: Rename "data" variable
    (bsc#1012628).
  - platform/x86: msi-wmi-platform: Workaround a ACPI firmware bug
    (bsc#1012628).
  - drm/amd/display: Temporarily disable hostvm on DCN31
    (bsc#1012628).
  - nvmet-fc: Remove unused functions (bsc#1012628).
  - mm/vma: add give_up_on_oom option on modify/merge, use in uffd
    release (bsc#1012628).
  - Revert "wifi: ath12k: Fix invalid entry fetch in
    ath12k_dp_mon_srng_process" (bsc#1012628).
  - MIPS: dec: Declare which_prom() as static (bsc#1012628).
  - MIPS: cevt-ds1287: Add missing ds1287.h include (bsc#1012628).
  - MIPS: ds1287: Match ds1287_set_base_clock() function types
    (bsc#1012628).
  - wifi: ath12k: Fix invalid entry fetch in
    ath12k_dp_mon_srng_process (bsc#1012628).
  - Rename to
    patches.kernel.org/6.14.4-025-Bluetooth-qca-fix-NV-variant-for-one-of-WCN395.patch.
  - Rename to
    patches.kernel.org/6.14.4-213-drm-amd-display-dml2-use-vzalloc-rather-than-k.patch.
  - commit 584fafa
* Thu Apr 24 2025 msuchanek@suse.de
  - Test the correct macro to detect RT kernel build
    Fixes: 470cd1a41502 ("kernel-binary: Support livepatch_rt with merged RT branch")
  - commit 50e863e
* Wed Apr 23 2025 msuchanek@suse.de
  - kernel-source: Also update the search to match bin/env
    Fixes: dc2037cd8f94 ("kernel-source: Also replace bin/env"
  - commit bae6b69
* Wed Apr 23 2025 msuchanek@suse.de
  - rpm/check-for-config-changes: Add GCC_ASM_FLAG_OUTPUT_BROKEN
    Both spellings are actually used
  - rpm/check-for-config-changes: Add GCC_ASM_FLAG_OUTPUT_BROKEN
  - commit d9e0b30
* Wed Apr 23 2025 vbabka@suse.cz
  - Update config files.
  - enable CONFIG_DAMON (jsc#PED-12520)
  - commit 3e74a5e
* Wed Apr 23 2025 tiwai@suse.de
  - iommu: Allow attaching static domains in
    iommu_attach_device_pasid() (bsc#1241193).
    [js] Update upstream status.
  - iommu: Allow attaching static domains in
    iommu_attach_device_pasid() (bsc#1241193).
  - commit 8625759
* Tue Apr 22 2025 tiwai@suse.de
  - drm/amd/display/dml2: use vzalloc rather than kzalloc
    (bsc#1241568).
  - commit 60c2449
* Tue Apr 22 2025 svarbanov@suse.de
  - config: update to 6.15-rc4 and reenable armv6hl
    Mirror x86/arm64.
  - commit 0e22e3a
* Tue Apr 22 2025 svarbanov@suse.de
  - config: update to 6.15-rc4 and reenable armv7hl
    Mirror x86/arm64.
  - commit 32cb1ed
* Tue Apr 22 2025 svarbanov@suse.de
  - config: update to 6.15-rc4 and reenable arm64
    - new config options
    - Memory Management options
      NO_PAGE_MAPCOUNT=n
    - File systems
      NFSD_V4_DELEG_TIMESTAMPS=n
    - Security options
      BIG_KEYS=y
      HARDENED_USERCOPY_DEFAULT_ON=n
    - Cryptographic API
      CRYPTO_KRB5ENC=m
      CRYPTO_KRB5=m
      CRYPTO_KRB5_SELFTESTS=n
    - Kernel hacking
      DEBUG_VFS=n
      DETECT_HUNG_TASK_BLOCKER=y
      RCU_TORTURE_TEST_LOG_GP=n
    - PCI support
      PCI_PWRCTL_SLOT=m
      CXL_FEATURES=y
      FWCTL=m
      FWCTL_MLX5=m
      FWCTL_PDS=m
    - Network device support
      MCTP_TRANSPORT_USB=m
      IWLMLD=m
      RTW88_8814AE=m
      RTW88_8814AU=m
    - Hardware Monitoring support
      SENSORS_HTU31=m
      SENSORS_INA233=m
    - Graphics support
      DRM_NOUVEAU_CH7006=m
      DRM_NOUVEAU_SIL164=m
      DRM_XE_DP_TUNNEL=y
      DRM_XE_DEVMEM_MIRROR=y
      DRM_APPLETBDRM=n
    - Sound card support
      SND_SOC_INTEL_AVS_MACH_PCM3168A=n
      SND_SOC_AW88166=n
    - HID bus support
      HID_APPLETB_BL=m
      HID_APPLETB_KBD=m
      HID_UNIVERSAL_PIDFF=m
    - EDAC (Error Detection And Correction) reporting
      EDAC_SCRUB=y
      EDAC_ECS=y
      EDAC_MEM_REPAIR=y
    - Industrial I/O support
      AD4030=m
      AD4851=m
      AD7191=m
      TI_ADS7138=m
      ADIS16550=m
      AL3000A=m
      APDS9160=m
      SI7210=m
    - Misc devices
      PINCTRL_AMDISP=m
      MFD_MAX77705=n
      REGULATOR_PF9453=m
      CEC_NXP_TDA9950=m
      VIDEO_LT6911UXE=m
      TYPEC_MUX_PS883X=m
      MSHV_ROOT=m
    - OF dependent
    - DRM_PANEL_RAYDIUM_RM67200=n
    - DRM_PANEL_SUMMIT=n
    - DRM_PANEL_VISIONOX_RM692E5=n
    - arm64
    - SERIAL_TEGRA_UTC=y
    - SERIAL_TEGRA_UTC_CONSOLE=y
    - TCG_ARM_CRB_FFA=m
    - SPI_OFFLOAD=m
    - SPI_QPIC_SNAND=m
    - SPI_STM32_OSPI=m
    - SPI_OFFLOAD_TRIGGER_PWM=m
    - CONFIG_PINCTRL_AMLOGIC_A4=y
    - PINCTRL_SUN55I_A523=y
    - DEV_SYNC_PROBE=m
    - THERMAL_GOV_POWER_ALLOCATOR=y
    - NIC7018_WDT=m
    - VIDEO_QCOM_IRIS=m
    - VIDEO_SYNOPSYS_HDMIRX=m
    - VIDEO_SYNOPSYS_HDMIRX_LOAD_DEFAULT_EDID=y
    - DRM_DISPLAY_DP_TUNNEL=y
    - DRM_GPUSVM=m
    - DRM_I2C_NXP_TDA998X=m
    - DRM_ADP=m
    - BACKLIGHT_APPLE_DWI=m
    - SND_SOC_SOF_IMX9=m
    - SCSI_UFS_ROCKCHIP=m
    - MLX_PLATFORM=m
    - EC_HUAWEI_GAOKUN=m
    - CLK_RK3528=y
    - CLK_RK3562=y
    - SUN55I_A523_CCU=y
    - SUN55I_A523_R_CCU=y
    - SYSC_RZ=y
    - SYSC_R9A08G045=y
    - SYS_R9A09G047=y
    - SYS_R9A09G057=y
    - BCM2712_MIP=m
    - RESET_IMX_SCU=m
    - PHY_QCOM_UNIPHY_PCIE_28LP=y
    - PHY_ROCKCHIP_SAMSUNG_DCPHY=m
    - FORTIFY_SOURCE=y
    - EXYNOS_ACPM_PROTOCOL=n
    - NET_VENDOR_AIROHA=n
    - MFD_MAX77705=n
    - REGULATOR_PF9453=n
    - DRM_PANEL_RAYDIUM_RM67200=n
    - DRM_PANEL_SUMMIT=n
    - DRM_PANEL_VISIONOX_RM692E5=n
    - SND_SOC_AW88166=n
    - CRYPTO_KRB5_SELFTESTS=n
    - FTRACE_SORT_STARTUP_TEST=n
  - commit 75308ba
* Tue Apr 22 2025 tiwai@suse.de
  - video: screen_info: Update framebuffers behind PCI bridges
    (bsc#1240696).
  - commit 3e6ca1c
* Tue Apr 22 2025 jslaby@suse.cz
  - drm/xe/rtp: Drop sentinels from arg to xe_rtp_process_to_sr()
    (git-fixes).
  - commit f04c2d4
* Mon Apr 21 2025 jslaby@suse.cz
  - Bluetooth: qca: fix NV variant for one of WCN3950 SoCs
    (git-fixes).
  - commit 493ad77
* Sun Apr 20 2025 mkubecek@suse.cz
  - update to 6.15-rc3
  - refresh
    - patches.suse/vfs-add-super_operations-get_inode_dev
  - refresh configs
  - commit 34bdb6e
* Sun Apr 20 2025 jslaby@suse.cz
  - Linux 6.14.3 (bsc#1012628).
  - ASoC: Intel: adl: add 2xrt1316 audio configuration
    (bsc#1012628).
  - cgroup/cpuset: Fix incorrect isolated_cpus update in
    update_parent_effective_cpumask() (bsc#1012628).
  - cgroup/cpuset: Fix error handling in remote_partition_disable()
    (bsc#1012628).
  - cgroup/cpuset: Fix race between newly created partition and
    dying one (bsc#1012628).
  - tracing: fprobe: Cleanup fprobe hash when module unloading
    (bsc#1012628).
  - gpiolib: of: Fix the choice for Ingenic NAND quirk
    (bsc#1012628).
  - selftests/futex: futex_waitv wouldblock test should fail
    (bsc#1012628).
  - ublk: fix handling recovery & reissue in ublk_abort_queue()
    (bsc#1012628).
  - drm/virtio: Fix flickering issue seen with imported dmabufs
    (bsc#1012628).
  - drm/i915: Disable RPG during live selftest (bsc#1012628).
  - x86/acpi: Don't limit CPUs to 1 for Xen PV guests due to
    disabled ACPI (bsc#1012628).
  - net: ethtool: fix ethtool_ringparam_get_cfg() returns a
    hds_thresh value always as 0 (bsc#1012628).
  - drm/xe/hw_engine: define sysfs_ops on all directories
    (bsc#1012628).
  - drm/xe: Restore EIO errno return when GuC PC start fails
    (bsc#1012628).
  - ata: pata_pxa: Fix potential NULL pointer dereference in
    pxa_ata_probe() (bsc#1012628).
  - objtool: Fix INSN_CONTEXT_SWITCH handling in validate_unret()
    (bsc#1012628).
  - tipc: fix memory leak in tipc_link_xmit (bsc#1012628).
  - codel: remove sch->q.qlen check before
    qdisc_tree_reduce_backlog() (bsc#1012628).
  - net: tls: explicitly disallow disconnect (bsc#1012628).
  - octeontx2-pf: qos: fix VF root node parent queue index
    (bsc#1012628).
  - tc: Ensure we have enough buffer space when sending filter
    netlink notifications (bsc#1012628).
  - net: ethtool: Don't call .cleanup_data when prepare_data fails
    (bsc#1012628).
  - drm/tests: modeset: Fix drm_display_mode memory leak
    (bsc#1012628).
  - drm/tests: helpers: Create kunit helper to destroy a
    drm_display_mode (bsc#1012628).
  - drm/tests: cmdline: Fix drm_display_mode memory leak
    (bsc#1012628).
  - drm/tests: modes: Fix drm_display_mode memory leak
    (bsc#1012628).
  - drm/tests: probe-helper: Fix drm_display_mode memory leak
    (bsc#1012628).
  - net: libwx: handle page_pool_dev_alloc_pages error
    (bsc#1012628).
  - cifs: Fix support for WSL-style symlinks (bsc#1012628).
  - ata: sata_sx4: Add error handling in pdc20621_i2c_read()
    (bsc#1012628).
  - drm/i915/huc: Fix fence not released on early probe errors
    (bsc#1012628).
  - s390/cpumf: Fix double free on error in cpumf_pmu_event_init()
    (bsc#1012628).
  - nvmet-fcloop: swap list_add_tail arguments (bsc#1012628).
  - net_sched: sch_sfq: use a temporary work area for validating
    configuration (bsc#1012628).
  - net_sched: sch_sfq: move the limit validation (bsc#1012628).
  - smb: client: fix UAF in decryption with multichannel
    (bsc#1012628).
  - net: phy: move phy_link_change() prior to
    mdio_bus_phy_may_suspend() (bsc#1012628).
  - net: phy: allow MDIO bus PM ops to start/stop state machine
    for phylink-controlled PHY (bsc#1012628).
  - net: ppp: Add bound checking for skb data on ppp_sync_txmung
    (bsc#1012628).
  - nft_set_pipapo: fix incorrect avx2 match of 5th field octet
    (bsc#1012628).
  - ethtool: cmis_cdb: Fix incorrect read / write length extension
    (bsc#1012628).
  - iommu/exynos: Fix suspend/resume with IDENTITY domain
    (bsc#1012628).
  - iommu/mediatek: Fix NULL pointer deference in
    mtk_iommu_device_group (bsc#1012628).
  - net: libwx: Fix the wrong Rx descriptor field (bsc#1012628).
  - perf/core: Simplify the perf_event_alloc() error path
    (bsc#1012628).
  - perf: Fix hang while freeing sigtrap event (bsc#1012628).
  - fs: consistently deref the files table with
    rcu_dereference_raw() (bsc#1012628).
  - umount: Allow superblock owners to force umount (bsc#1012628).
  - srcu: Force synchronization for srcu_get_delay() (bsc#1012628).
  - pm: cpupower: bench: Prevent NULL dereference on malloc failure
    (bsc#1012628).
  - irqchip/gic-v3: Add Rockchip 3568002 erratum workaround
    (bsc#1012628).
  - x86/mm: Clear _PAGE_DIRTY for kernel mappings when we clear
    _PAGE_RW (bsc#1012628).
  - x86/percpu: Disable named address spaces for UBSAN_BOOL with
    KASAN for GCC < 14.2 (bsc#1012628).
  - x86/ia32: Leave NULL selector values 0~3 unchanged
    (bsc#1012628).
  - x86/cpu: Don't clear X86_FEATURE_LAHF_LM flag in init_amd_k8()
    on AMD when running in a virtual machine (bsc#1012628).
  - perf: arm_pmu: Don't disable counter in armpmu_add()
    (bsc#1012628).
  - perf/dwc_pcie: fix some unreleased resources (bsc#1012628).
  - perf/dwc_pcie: fix duplicate pci_dev devices (bsc#1012628).
  - PM: hibernate: Avoid deadlock in
    hibernate_compressor_param_set() (bsc#1012628).
  - Flush console log from kernel_power_off() (bsc#1012628).
  - cpufreq/amd-pstate: Invalidate cppc_req_cached during suspend
    (bsc#1012628).
  - arm64: cputype: Add QCOM_CPU_PART_KRYO_3XX_GOLD (bsc#1012628).
  - xen/mcelog: Add __nonstring annotations for unterminated strings
    (bsc#1012628).
  - zstd: Increase DYNAMIC_BMI2 GCC version cutoff from 4.8 to
    11.0 to work around compiler segfault (bsc#1012628).
  - tracing: Disable branch profiling in noinstr code (bsc#1012628).
  - platform/chrome: cros_ec_lpc: Match on Framework ACPI device
    (bsc#1012628).
  - ASoC: SOF: topology: Use krealloc_array() to replace krealloc()
    (bsc#1012628).
  - HID: pidff: Convert infinite length from Linux API to PID
    standard (bsc#1012628).
  - HID: pidff: Do not send effect envelope if it's empty
    (bsc#1012628).
  - HID: pidff: Add MISSING_DELAY quirk and its detection
    (bsc#1012628).
  - HID: pidff: Add MISSING_PBO quirk and its detection
    (bsc#1012628).
  - HID: pidff: Add PERMISSIVE_CONTROL quirk (bsc#1012628).
  - HID: pidff: Add hid_pidff_init_with_quirks and export as GPL
    symbol (bsc#1012628).
  - HID: pidff: Add FIX_WHEEL_DIRECTION quirk (bsc#1012628).
  - HID: Add hid-universal-pidff driver and supported device ids
    (bsc#1012628).
  - HID: pidff: Add PERIODIC_SINE_ONLY quirk (bsc#1012628).
  - HID: pidff: Fix null pointer dereference in pidff_find_fields
    (bsc#1012628).
  - ASoC: amd: ps: use macro for ACP6.3 pci revision id
    (bsc#1012628).
  - ASoC: amd: amd_sdw: Add quirks for Dell SKU's (bsc#1012628).
  - ALSA: hda: intel: Fix Optimus when GPU has no sound
    (bsc#1012628).
  - ALSA: hda: intel: Add Lenovo IdeaPad Z570 to probe denylist
    (bsc#1012628).
  - ASoC: fsl_audmix: register card device depends on 'dais'
    property (bsc#1012628).
  - media: uvcvideo: Add quirk for Actions UVC05 (bsc#1012628).
  - HID: lenovo: Fix to ensure the data as __le32 instead of u32
    (bsc#1012628).
  - media: s5p-mfc: Corrected NV12M/NV21M plane-sizes (bsc#1012628).
  - mmc: dw_mmc: add a quirk for accessing 64-bit FIFOs in two
    halves (bsc#1012628).
  - ALSA: usb-audio: Fix CME quirk for UF series keyboards
    (bsc#1012628).
  - ASoC: amd: Add DMI quirk for ACP6X mic support (bsc#1012628).
  - ALSA: hda/realtek: fix micmute LEDs on HP Laptops with ALC3315
    (bsc#1012628).
  - ALSA: hda/realtek: fix micmute LEDs on HP Laptops with ALC3247
    (bsc#1012628).
  - ASoC: amd: yc: update quirk data for new Lenovo model
    (bsc#1012628).
  - platform/x86: x86-android-tablets: Add select POWER_SUPPLY to
    Kconfig (bsc#1012628).
  - wifi: ath9k: use unsigned long for activity check timestamp
    (bsc#1012628).
  - wifi: ath11k: Fix DMA buffer allocation to resolve SWIOTLB
    issues (bsc#1012628).
  - wifi: ath12k: fix memory leak in ath12k_pci_remove()
    (bsc#1012628).
  - wifi: ath12k: Fix invalid entry fetch in
    ath12k_dp_mon_srng_process (bsc#1012628).
  - wifi: ath12k: Avoid memory leak while enabling statistics
    (bsc#1012628).
  - ata: libata-core: Add 'external' to the libata.force kernel
    parameter (bsc#1012628).
  - scsi: mpi3mr: Avoid reply queue full condition (bsc#1012628).
  - scsi: mpi3mr: Synchronous access b/w reset and tm thread for
    reply queue (bsc#1012628).
  - net: page_pool: don't cast mp param to devmem (bsc#1012628).
  - f2fs: don't retry IO for corrupted data scenario (bsc#1012628).
  - wifi: mac80211: add strict mode disabling workarounds
    (bsc#1012628).
  - wifi: mac80211: ensure sdata->work is canceled before
    initialized (bsc#1012628).
  - scsi: target: spc: Fix RSOC parameter data header size
    (bsc#1012628).
  - net: usb: asix_devices: add FiberGecko DeviceID (bsc#1012628).
  - page_pool: avoid infinite loop to schedule delayed worker
    (bsc#1012628).
  - can: flexcan: Add quirk to handle separate interrupt lines
    for mailboxes (bsc#1012628).
  - can: flexcan: add NXP S32G2/S32G3 SoC support (bsc#1012628).
  - jfs: Fix uninit-value access of imap allocated in the diMount()
    function (bsc#1012628).
  - fs/jfs: cast inactags to s64 to prevent potential overflow
    (bsc#1012628).
  - fs/jfs: Prevent integer overflow in AG size calculation
    (bsc#1012628).
  - jfs: Prevent copying of nlink with value 0 from disk inode
    (bsc#1012628).
  - jfs: add sanity check for agwidth in dbMount (bsc#1012628).
  - wifi: rtw88: Add support for Mercusys MA30N and D-Link DWA-T185
    rev. A1 (bsc#1012628).
  - ata: libata-eh: Do not use ATAPI DMA for a device limited to
    PIO mode (bsc#1012628).
  - net: sfp: add quirk for 2.5G OEM BX SFP (bsc#1012628).
  - wifi: ath12k: Fix invalid data access in
    ath12k_dp_rx_h_undecap_nwifi (bsc#1012628).
  - f2fs: fix to avoid out-of-bounds access in
    f2fs_truncate_inode_blocks() (bsc#1012628).
  - net: sfp: add quirk for FS SFP-10GM-T copper SFP+ module
    (bsc#1012628).
  - ahci: add PCI ID for Marvell 88SE9215 SATA Controller
    (bsc#1012628).
  - ext4: protect ext4_release_dquot against freezing (bsc#1012628).
  - Revert "f2fs: rebuild nat_bits during umount" (bsc#1012628).
  - wifi: mac80211: fix userspace_selectors corruption
    (bsc#1012628).
  - ext4: ignore xattrs past end (bsc#1012628).
  - cdc_ether|r8152: ThinkPad Hybrid USB-C/A Dock quirk
    (bsc#1012628).
  - scsi: st: Fix array overflow in st_setup() (bsc#1012628).
  - ahci: Marvell 88SE9215 controllers prefer DMA for ATAPI
    (bsc#1012628).
  - btrfs: harden block_group::bg_list against list_del() races
    (bsc#1012628).
  - wifi: mt76: mt76x2u: add TP-Link TL-WDN6200 ID to device table
    (bsc#1012628).
  - net: vlan: don't propagate flags on open (bsc#1012628).
  - tracing: fix return value in __ftrace_event_enable_disable
    for TRACE_REG_UNREGISTER (bsc#1012628).
  - Bluetooth: btusb: Add new VID/PID for WCN785x (bsc#1012628).
  - Bluetooth: btintel_pcie: Add device id of Whale Peak
    (bsc#1012628).
  - Bluetooth: btusb: Add 13 USB device IDs for Qualcomm WCN785x
    (bsc#1012628).
  - Bluetooth: hci_uart: fix race during initialization
    (bsc#1012628).
  - Bluetooth: btusb: Add 2 HWIDs for MT7922 (bsc#1012628).
  - Bluetooth: hci_qca: use the power sequencer for wcn6750
    (bsc#1012628).
  - Bluetooth: qca: simplify WCN399x NVM loading (bsc#1012628).
  - Bluetooth: qca: add WCN3950 support (bsc#1012628).
  - drm: allow encoder mode_set even when connectors change for crtc
    (bsc#1012628).
  - drm/virtio: Set missing bo->attached flag (bsc#1012628).
  - drm/rockchip: Don't change hdmi reference clock rate
    (bsc#1012628).
  - drm/xe/bmg: Add new PCI IDs (bsc#1012628).
  - drm/xe/ptl: Update the PTL pci id table (bsc#1012628).
  - drm/xe/pf: Don't send BEGIN_ID if VF has no context/doorbells
    (bsc#1012628).
  - drm/xe/vf: Don't try to trigger a full GT reset if VF
    (bsc#1012628).
  - drm/amd/display: Update Cursor request mode to the beginning
    prefetch always (bsc#1012628).
  - drm/amd/display: Guard Possible Null Pointer Dereference
    (bsc#1012628).
  - drm/amd/display: add workaround flag to link to force FFE preset
    (bsc#1012628).
  - drm/amdgpu: Unlocked unmap only clear page table leaves
    (bsc#1012628).
  - drm: panel-orientation-quirks: Add support for AYANEO 2S
    (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirks for AYA NEO Flip DS
    and KB (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirk for AYA NEO Slide
    (bsc#1012628).
  - drm: panel-orientation-quirks: Add new quirk for GPD Win 2
    (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirk for OneXPlayer Mini
    (Intel) (bsc#1012628).
  - drm/debugfs: fix printk format for bridge index (bsc#1012628).
  - drm/bridge: panel: forbid initializing a panel with unknown
    connector type (bsc#1012628).
  - drm/amd/display: Update FIXED_VS Link Rate Toggle Workaround
    Usage (bsc#1012628).
  - drm/amd/display: stop DML2 from removing pipes based on planes
    (bsc#1012628).
  - drivers: base: devres: Allow to release group on device release
    (bsc#1012628).
  - drm/amdkfd: clamp queue size to minimum (bsc#1012628).
  - drm/amdkfd: Fix mode1 reset crash issue (bsc#1012628).
  - drm/amdkfd: Fix pqm_destroy_queue race with GPU reset
    (bsc#1012628).
  - drm/amdkfd: debugfs hang_hws skip GPU with MES (bsc#1012628).
  - drm/xe/xelp: Move Wa_16011163337 from tunings to workarounds
    (bsc#1012628).
  - drm/mediatek: mtk_dpi: Move the input_2p_en bit to platform data
    (bsc#1012628).
  - drm/mediatek: mtk_dpi: Explicitly manage TVD clock in power
    on/off (bsc#1012628).
  - drm/rockchip: stop passing non struct drm_device to drm_err()
    and friends (bsc#1012628).
  - PCI: Add Rockchip Vendor ID (bsc#1012628).
  - drm/amdgpu: handle amdgpu_cgs_create_device() errors in
    amd_powerplay_create() (bsc#1012628).
  - drm/amd/display: Prevent VStartup Overflow (bsc#1012628).
  - PCI: Enable Configuration RRS SV early (bsc#1012628).
  - drm/amdgpu: Fix the race condition for draining retry fault
    (bsc#1012628).
  - PCI: Check BAR index for validity (bsc#1012628).
  - PCI: vmd: Make vmd_dev::cfg_lock a raw_spinlock_t type
    (bsc#1012628).
  - drm/amdgpu: grab an additional reference on the gang fence v2
    (bsc#1012628).
  - fbdev: omapfb: Add 'plane' value check (bsc#1012628).
  - tracing: probe-events: Log error for exceeding the number of
    arguments (bsc#1012628).
  - tracing: probe-events: Add comments about entry data storing
    code (bsc#1012628).
  - ktest: Fix Test Failures Due to Missing LOG_FILE Directories
    (bsc#1012628).
  - tpm, tpm_tis: Workaround failed command reception on Infineon
    devices (bsc#1012628).
  - tpm: End any active auth session before shutdown (bsc#1012628).
  - pwm: mediatek: Prevent divide-by-zero in pwm_mediatek_config()
    (bsc#1012628).
  - pwm: rcar: Improve register calculation (bsc#1012628).
  - pwm: fsl-ftm: Handle clk_get_rate() returning 0 (bsc#1012628).
  - pwm: stm32: Search an appropriate duty_cycle if period cannot
    be modified (bsc#1012628).
  - erofs: set error to bio if file-backed IO fails (bsc#1012628).
  - bpf: support SKF_NET_OFF and SKF_LL_OFF on skb frags
    (bsc#1012628).
  - ext4: don't treat fhandle lookup of ea_inode as FS corruption
    (bsc#1012628).
  - s390/pci: Fix s390_mmio_read/write syscall page fault handling
    (bsc#1012628).
  - HID: pidff: Clamp PERIODIC effect period to device's logical
    range (bsc#1012628).
  - HID: pidff: Stop all effects before enabling actuators
    (bsc#1012628).
  - HID: pidff: Completely rework and fix pidff_reset function
    (bsc#1012628).
  - HID: pidff: Simplify pidff_upload_effect function (bsc#1012628).
  - HID: pidff: Define values used in pidff_find_special_fields
    (bsc#1012628).
  - HID: pidff: Rescale time values to match field units
    (bsc#1012628).
  - HID: pidff: Factor out code for setting gain (bsc#1012628).
  - HID: pidff: Move all hid-pidff definitions to a dedicated header
    (bsc#1012628).
  - HID: pidff: Simplify pidff_rescale_signed (bsc#1012628).
  - HID: pidff: Use macros instead of hardcoded min/max values
    for shorts (bsc#1012628).
  - HID: pidff: Factor out pool report fetch and remove excess
    declaration (bsc#1012628).
  - HID: pidff: Make sure to fetch pool before checking
    SIMULTANEOUS_MAX (bsc#1012628).
  - HID: hid-universal-pidff: Add Asetek wheelbases support
    (bsc#1012628).
  - HID: pidff: Comment and code style update (bsc#1012628).
  - HID: pidff: Support device error response from PID_BLOCK_LOAD
    (bsc#1012628).
  - HID: pidff: Remove redundant call to pidff_find_special_keys
    (bsc#1012628).
  - HID: pidff: Rename two functions to align them with naming
    convention (bsc#1012628).
  - HID: pidff: Clamp effect playback LOOP_COUNT value
    (bsc#1012628).
  - HID: pidff: Compute INFINITE value instead of using hardcoded
    0xffff (bsc#1012628).
  - HID: pidff: Fix 90 degrees direction name North -> East
    (bsc#1012628).
  - HID: pidff: Fix set_device_control() (bsc#1012628).
  - auxdisplay: hd44780: Fix an API misuse in hd44780.c
    (bsc#1012628).
  - dt-bindings: media: st,stmipid02: correct lane-polarities
    maxItems (bsc#1012628).
  - media: mediatek: vcodec: Fix a resource leak related to the
    scp device in FW initialization (bsc#1012628).
  - media: mtk-vcodec: venc: avoid -Wenum-compare-conditional
    warning (bsc#1012628).
  - media: uapi: rkisp1-config: Fix typo in extensible params
    example (bsc#1012628).
  - media: mgb4: Fix CMT registers update logic (bsc#1012628).
  - media: i2c: adv748x: Fix test pattern selection mask
    (bsc#1012628).
  - media: mgb4: Fix switched CMT frequency range "magic values"
    sets (bsc#1012628).
  - media: intel/ipu6: set the dev_parent of video device to pdev
    (bsc#1012628).
  - media: venus: hfi: add a check to handle OOB in sfr region
    (bsc#1012628).
  - media: venus: hfi: add check to handle incorrect queue size
    (bsc#1012628).
  - media: vim2m: print device name after registering device
    (bsc#1012628).
  - media: siano: Fix error handling in smsdvb_module_init()
    (bsc#1012628).
  - media: rockchip: rga: fix rga offset lookup (bsc#1012628).
  - xenfs/xensyms: respect hypervisor's "next" indication
    (bsc#1012628).
  - KVM: arm64: PMU: Set raw values from user to
    PM{C,I}NTEN{SET,CLR}, PMOVS{SET,CLR} (bsc#1012628).
  - arm64: cputype: Add MIDR_CORTEX_A76AE (bsc#1012628).
  - arm64: errata: Add QCOM_KRYO_4XX_GOLD to the
    spectre_bhb_k24_list (bsc#1012628).
  - arm64: errata: Assume that unknown CPUs _are_ vulnerable to
    Spectre BHB (bsc#1012628).
  - arm64: errata: Add KRYO 2XX/3XX/4XX silver cores to Spectre
    BHB safe list (bsc#1012628).
  - KVM: arm64: Tear down vGIC on failed vCPU creation
    (bsc#1012628).
  - KVM: arm64: Set HCR_EL2.TID1 unconditionally (bsc#1012628).
  - spi: cadence-qspi: Fix probe on AM62A LP SK (bsc#1012628).
  - mtd: rawnand: brcmnand: fix PM resume warning (bsc#1012628).
  - tpm, tpm_tis: Fix timeout handling when waiting for TPM status
    (bsc#1012628).
  - accel/ivpu: Fix PM related deadlocks in MS IOCTLs (bsc#1012628).
  - media: ov08x40: Properly turn sensor on/off when
    runtime-suspended (bsc#1012628).
  - media: streamzap: prevent processing IR data on URB failure
    (bsc#1012628).
  - media: hi556: Fix memory leak (on error) in hi556_check_hwcfg()
    (bsc#1012628).
  - media: visl: Fix ERANGE error when setting enum controls
    (bsc#1012628).
  - media: platform: stm32: Add check for clk_enable()
    (bsc#1012628).
  - media: xilinx-tpg: fix double put in xtpg_parse_of()
    (bsc#1012628).
  - media: imx219: Adjust PLL settings based on the number of MIPI
    lanes (bsc#1012628).
  - media: v4l2-dv-timings: prevent possible overflow in
    v4l2_detect_gtf() (bsc#1012628).
  - Revert "media: imx214: Fix the error handling in imx214_probe()"
    (bsc#1012628).
  - media: i2c: ccs: Set the device's runtime PM status correctly
    in remove (bsc#1012628).
  - media: i2c: ccs: Set the device's runtime PM status correctly
    in probe (bsc#1012628).
  - media: i2c: ov7251: Set enable GPIO low in probe (bsc#1012628).
  - media: i2c: ov7251: Introduce 1 ms delay between regulators
    and en GPIO (bsc#1012628).
  - media: nuvoton: Fix reference handling of ece_node
    (bsc#1012628).
  - media: nuvoton: Fix reference handling of ece_pdev
    (bsc#1012628).
  - media: venus: hfi_parser: add check to avoid out of bound access
    (bsc#1012628).
  - media: venus: hfi_parser: refactor hfi packet parsing logic
    (bsc#1012628).
  - media: i2c: imx319: Rectify runtime PM handling probe and remove
    (bsc#1012628).
  - media: i2c: imx219: Rectify runtime PM handling in probe and
    remove (bsc#1012628).
  - media: i2c: imx214: Rectify probe error handling related to
    runtime PM (bsc#1012628).
  - media: chips-media: wave5: Fix gray color on screen
    (bsc#1012628).
  - media: chips-media: wave5: Avoid race condition in the interrupt
    handler (bsc#1012628).
  - media: chips-media: wave5: Fix a hang after seeking
    (bsc#1012628).
  - media: chips-media: wave5: Fix timeout while testing 10bit
    hevc fluster (bsc#1012628).
  - irqchip/renesas-rzv2h: Fix wrong variable usage in
    rzv2h_tint_set_type() (bsc#1012628).
  - gve: unlink old napi only if page pool exists (bsc#1012628).
  - mptcp: sockopt: fix getting IPV6_V6ONLY (bsc#1012628).
  - mptcp: sockopt: fix getting freebind & transparent
    (bsc#1012628).
  - block: make sure ->nr_integrity_segments is cloned in
    blk_rq_prep_clone (bsc#1012628).
  - mtd: Add check for devm_kcalloc() (bsc#1012628).
  - net: dsa: mv88e6xxx: workaround RGMII transmit delay erratum
    for 6320 family (bsc#1012628).
  - net: dsa: mv88e6xxx: fix internal PHYs for 6320 family
    (bsc#1012628).
  - mtd: Replace kcalloc() with devm_kcalloc() (bsc#1012628).
  - clocksource/drivers/stm32-lptimer: Use wakeup capable instead
    of init wakeup (bsc#1012628).
  - Revert "wifi: mt76: mt7925: Update mt7925_mcu_uni_[tx,rx]_ba
    for MLO" (bsc#1012628).
  - wifi: mt76: Add check for devm_kstrdup() (bsc#1012628).
  - wifi: mt76: mt792x: re-register CHANCTX_STA_CSA only for the
    mt7921 series (bsc#1012628).
  - wifi: mac80211: fix integer overflow in hwmp_route_info_get()
    (bsc#1012628).
  - wifi: mt76: mt7925: ensure wow pattern command align fw format
    (bsc#1012628).
  - wifi: mt76: mt7925: fix country count limitation for CLC
    (bsc#1012628).
  - wifi: mt76: mt7925: fix the wrong link_idx when a p2p_device
    is present (bsc#1012628).
  - wifi: mt76: mt7925: fix the wrong simultaneous cap for MLO
    (bsc#1012628).
  - wifi: mt76: mt7925: adjust rm BSS flow to prevent next
    connection failure (bsc#1012628).
  - wifi: mt76: mt7925: integrate *mlo_sta_cmd and *sta_cmd
    (bsc#1012628).
  - wifi: mt76: mt7925: update the power-saving flow (bsc#1012628).
  - scsi: lpfc: Restore clearing of NLP_UNREG_INP in ndlp->nlp_flag
    (bsc#1012628).
  - net: stmmac: Fix accessing freed irq affinity_hint
    (bsc#1012628).
  - io_uring/net: fix accept multishot handling (bsc#1012628).
  - io_uring/net: fix io_req_post_cqe abuse by send bundle
    (bsc#1012628).
  - io_uring/kbuf: reject zero sized provided buffers (bsc#1012628).
  - ASoC: codecs: wcd937x: fix a potential memory leak in
    wcd937x_soc_codec_probe() (bsc#1012628).
  - ASoC: q6apm: add q6apm_get_hw_pointer helper (bsc#1012628).
  - ASoC: q6apm-dai: schedule all available frames to avoid dsp
    under-runs (bsc#1012628).
  - ASoC: q6apm-dai: make use of q6apm_get_hw_pointer (bsc#1012628).
  - ASoC: qdsp6: q6apm-dai: set 10 ms period and buffer alignment
    (bsc#1012628).
  - ASoC: qdsp6: q6apm-dai: fix capture pipeline overruns
    (bsc#1012628).
  - ASoC: qdsp6: q6asm-dai: fix q6asm_dai_compr_set_params error
    path (bsc#1012628).
  - ALSA: hda/realtek: Enable Mute LED on HP OMEN 16 Laptop xd000xx
    (bsc#1012628).
  - accel/ivpu: Fix warning in ivpu_ipc_send_receive_internal()
    (bsc#1012628).
  - accel/ivpu: Fix deadlock in ivpu_ms_cleanup() (bsc#1012628).
  - arm/crc-t10dif: fix use of out-of-scope array in
    crc_t10dif_arch() (bsc#1012628).
  - arm64/crc-t10dif: fix use of out-of-scope array in
    crc_t10dif_arch() (bsc#1012628).
  - bus: mhi: host: Fix race between unprepare and queue_buf
    (bsc#1012628).
  - ext4: fix off-by-one error in do_split (bsc#1012628).
  - f2fs: fix the missing write pointer correction (bsc#1012628).
  - f2fs: fix to avoid atomicity corruption of atomic file
    (bsc#1012628).
  - vdpa/mlx5: Fix oversized null mkey longer than 32bit
    (bsc#1012628).
  - udf: Fix inode_getblk() return value (bsc#1012628).
  - tpm: do not start chip while suspended (bsc#1012628).
  - svcrdma: do not unregister device for listeners (bsc#1012628).
  - soc: samsung: exynos-chipid: Add NULL pointer check in
    exynos_chipid_probe() (bsc#1012628).
  - smb311 client: fix missing tcon check when mounting with
    linux/posix extensions (bsc#1012628).
  - ima: limit the number of open-writers integrity violations
    (bsc#1012628).
  - ima: limit the number of ToMToU integrity violations
    (bsc#1012628).
  - igc: Fix XSK queue NAPI ID mapping (bsc#1012628).
  - i3c: master: svc: Use readsb helper for reading MDB
    (bsc#1012628).
  - i3c: Add NULL pointer check in i3c_master_queue_ibi()
    (bsc#1012628).
  - jbd2: remove wrong sb->s_sequence check (bsc#1012628).
  - kbuild: exclude .rodata.(cst|str)* when building ranges
    (bsc#1012628).
  - kbuild: Add '-fno-builtin-wcslen' (bsc#1012628).
  - leds: rgb: leds-qcom-lpg: Fix pwm resolution max for Hi-Res PWMs
    (bsc#1012628).
  - leds: rgb: leds-qcom-lpg: Fix calculation of best period Hi-Res
    PWMs (bsc#1012628).
  - mfd: ene-kb3930: Fix a potential NULL pointer dereference
    (bsc#1012628).
  - mailbox: tegra-hsp: Define dimensioning masks in SoC data
    (bsc#1012628).
  - locking/lockdep: Decrease nr_unused_locks if lock unused in
    zap_class() (bsc#1012628).
  - lib: scatterlist: fix sg_split_phys to preserve original
    scatterlist offsets (bsc#1012628).
  - mptcp: fix NULL pointer in can_accept_new_subflow (bsc#1012628).
  - mptcp: only inc MPJoinAckHMacFailure for HMAC failures
    (bsc#1012628).
  - mtd: inftlcore: Add error check for inftl_read_oob()
    (bsc#1012628).
  - mtd: rawnand: Add status chack in r852_ready() (bsc#1012628).
  - mtd: spinand: Fix build with gcc < 7.5 (bsc#1012628).
  - arm64: mops: Do not dereference src reg for a set operation
    (bsc#1012628).
  - arm64: tegra: Remove the Orin NX/Nano suspend key (bsc#1012628).
  - arm64: mm: Correct the update of max_pfn (bsc#1012628).
  - arm64: dts: ti: k3-j784s4-j742s2-main-common: Correct the GICD
    size (bsc#1012628).
  - arm64: dts: ti: k3-j784s4-j742s2-main-common: Fix serdes_ln_ctrl
    reg-masks (bsc#1012628).
  - arm64: dts: mediatek: mt8188: Assign apll1 clock as parent to
    avoid hang (bsc#1012628).
  - arm64: dts: mediatek: mt8173: Fix disp-pwm compatible string
    (bsc#1012628).
  - arm64: dts: exynos: gs101: disable pinctrl_gsacore node
    (bsc#1012628).
  - backlight: led_bl: Hold led_access lock when calling
    led_sysfs_disable() (bsc#1012628).
  - btrfs: fix non-empty delayed iputs list on unmount due to
    compressed write workers (bsc#1012628).
  - btrfs: tests: fix chunk map leak after failure to add it to
    the tree (bsc#1012628).
  - btrfs: zoned: fix zone activation with missing devices
    (bsc#1012628).
  - btrfs: zoned: fix zone finishing with missing devices
    (bsc#1012628).
  - iommufd: Fix uninitialized rc in iommufd_access_rw()
    (bsc#1012628).
  - iommu/tegra241-cmdqv: Fix warnings due to dmam_free_coherent()
    (bsc#1012628).
  - iommu/vt-d: Put IRTE back into posted MSI mode if vCPU posting
    is disabled (bsc#1012628).
  - iommu/vt-d: Don't clobber posted vCPU IRTE when host IRQ
    affinity changes (bsc#1012628).
  - iommu/vt-d: Fix possible circular locking dependency
    (bsc#1012628).
  - iommu/vt-d: Wire up irq_ack() to irq_move_irq() for posted MSIs
    (bsc#1012628).
  - sparc/mm: disable preemption in lazy mmu mode (bsc#1012628).
  - sparc/mm: avoid calling arch_enter/leave_lazy_mmu() in set_ptes
    (bsc#1012628).
  - net: Fix null-ptr-deref by sock_lock_init_class_and_name()
    and rmmod (bsc#1012628).
  - mm/damon/ops: have damon_get_folio return folio even for tail
    pages (bsc#1012628).
  - mm/damon: avoid applying DAMOS action to same entity multiple
    times (bsc#1012628).
  - mm/rmap: reject hugetlb folios in folio_make_device_exclusive()
    (bsc#1012628).
  - mm: make page_mapped_in_vma() hugetlb walk aware (bsc#1012628).
  - mm: fix lazy mmu docs and usage (bsc#1012628).
  - mm/mremap: correctly handle partial mremap() of VMA starting
    at 0 (bsc#1012628).
  - mm: add missing release barrier on PGDAT_RECLAIM_LOCKED unlock
    (bsc#1012628).
  - mm/userfaultfd: fix release hang over concurrent GUP
    (bsc#1012628).
  - mm/hwpoison: do not send SIGBUS to processes with recovered
    clean pages (bsc#1012628).
  - mm/hugetlb: move hugetlb_sysctl_init() to the __init section
    (bsc#1012628).
  - mm/hwpoison: introduce folio_contain_hwpoisoned_page() helper
    (bsc#1012628).
  - sctp: detect and prevent references to a freed transport in
    sendmsg (bsc#1012628).
  - x86/xen: fix balloon target initialization for PVH dom0
    (bsc#1012628).
  - uprobes: Avoid false-positive lockdep splat on
    CONFIG_PREEMPT_RT=y in the ri_timer() uprobe timer callback,
    use raw_write_seqcount_*() (bsc#1012628).
  - tracing: fprobe: Fix to lock module while registering fprobe
    (bsc#1012628).
  - tracing: fprobe events: Fix possible UAF on modules
    (bsc#1012628).
  - tracing: Do not add length to print format in synthetic events
    (bsc#1012628).
  - thermal/drivers/rockchip: Add missing rk3328 mapping entry
    (bsc#1012628).
  - CIFS: Propagate min offload along with other parameters from
    primary to secondary channels (bsc#1012628).
  - cifs: avoid NULL pointer dereference in dbg call (bsc#1012628).
  - cifs: fix integer overflow in match_server() (bsc#1012628).
  - cifs: Ensure that all non-client-specific reparse points are
    processed by the server (bsc#1012628).
  - clk: renesas: r9a07g043: Fix HP clock source for RZ/Five
    (bsc#1012628).
  - clk: qcom: clk-branch: Fix invert halt status bit check for
    votable clocks (bsc#1012628).
  - clk: qcom: gdsc: Release pm subdomains in reverse add order
    (bsc#1012628).
  - clk: qcom: gdsc: Capture pm_genpd_add_subdomain result code
    (bsc#1012628).
  - clk: qcom: gdsc: Set retain_ff before moving to HW CTRL
    (bsc#1012628).
  - crypto: ccp - Fix check for the primary ASP device
    (bsc#1012628).
  - crypto: ccp - Fix uAPI definitions of PSP errors (bsc#1012628).
  - dlm: fix error if inactive rsb is not hashed (bsc#1012628).
  - dlm: fix error if active rsb is not hashed (bsc#1012628).
  - dm-ebs: fix prefetch-vs-suspend race (bsc#1012628).
  - dm-integrity: set ti->error on memory allocation failure
    (bsc#1012628).
  - dm-integrity: fix non-constant-time tag verification
    (bsc#1012628).
  - dm-verity: fix prefetch-vs-suspend race (bsc#1012628).
  - dt-bindings: coresight: qcom,coresight-tpda: Fix too many 'reg'
    (bsc#1012628).
  - dt-bindings: coresight: qcom,coresight-tpdm: Fix too many 'reg'
    (bsc#1012628).
  - firmware: cs_dsp: test_control_parse: null-terminate test
    strings (bsc#1012628).
  - ftrace: Add cond_resched() to ftrace_graph_set_hash()
    (bsc#1012628).
  - ftrace: Properly merge notrace hashes (bsc#1012628).
  - fuse: {io-uring} Fix a possible req cancellation race
    (bsc#1012628).
  - gpio: mpc8xxx: Fix wakeup source leaks on device unbind
    (bsc#1012628).
  - gpio: tegra186: fix resource handling in ACPI probe path
    (bsc#1012628).
  - gpio: zynq: Fix wakeup source leaks on device unbind
    (bsc#1012628).
  - gve: handle overflow when reporting TX consumed descriptors
    (bsc#1012628).
  - KVM: Allow building irqbypass.ko as as module when kvm.ko is
    a module (bsc#1012628).
  - KVM: PPC: Enable CAP_SPAPR_TCE_VFIO on pSeries KVM guests
    (bsc#1012628).
  - KVM: x86: Explicitly zero-initialize on-stack CPUID unions
    (bsc#1012628).
  - KVM: x86: Acquire SRCU in KVM_GET_MP_STATE to protect guest
    memory accesses (bsc#1012628).
  - landlock: Move code to ease future backports (bsc#1012628).
  - landlock: Add the errata interface (bsc#1012628).
  - landlock: Add erratum for TCP fix (bsc#1012628).
  - landlock: Always allow signals between threads of the same
    process (bsc#1012628).
  - landlock: Prepare to add second errata (bsc#1012628).
  - selftests/landlock: Split signal_scoping_threads tests
    (bsc#1012628).
  - selftests/landlock: Add a new test for setuid() (bsc#1012628).
  - misc: pci_endpoint_test: Avoid issue of interrupts remaining
    after request_irq error (bsc#1012628).
  - misc: pci_endpoint_test: Fix displaying 'irq_type' after
    'request_irq' error (bsc#1012628).
  - misc: pci_endpoint_test: Fix 'irq_type' to convey the correct
    type (bsc#1012628).
  - net: mana: Switch to page pool for jumbo frames (bsc#1012628).
  - ntb: use 64-bit arithmetic for the MSI doorbell mask
    (bsc#1012628).
  - of/irq: Fix device node refcount leakage in API
    of_irq_parse_one() (bsc#1012628).
  - of/irq: Fix device node refcount leakage in API
    of_irq_parse_raw() (bsc#1012628).
  - of/irq: Fix device node refcount leakages in of_irq_count()
    (bsc#1012628).
  - of/irq: Fix device node refcount leakage in API
    irq_of_parse_and_map() (bsc#1012628).
  - of/irq: Fix device node refcount leakages in of_irq_init()
    (bsc#1012628).
  - PCI: brcmstb: Fix missing of_node_put() in brcm_pcie_probe()
    (bsc#1012628).
  - PCI: j721e: Fix the value of .linkdown_irq_regfield for J784S4
    (bsc#1012628).
  - PCI: layerscape: Fix arg_count to
    syscon_regmap_lookup_by_phandle_args() (bsc#1012628).
  - PCI: pciehp: Avoid unnecessary device replacement check
    (bsc#1012628).
  - PCI: Fix reference leak in pci_alloc_child_bus() (bsc#1012628).
  - PCI: Fix reference leak in pci_register_host_bridge()
    (bsc#1012628).
  - PCI: Fix wrong length of devres array (bsc#1012628).
  - phy: freescale: imx8m-pcie: assert phy reset and perst in
    power off (bsc#1012628).
  - pinctrl: qcom: Clear latched interrupt status when changing
    IRQ type (bsc#1012628).
  - pinctrl: samsung: add support for eint_fltcon_offset
    (bsc#1012628).
  - ring-buffer: Use flush_kernel_vmap_range() over
    flush_dcache_folio() (bsc#1012628).
  - s390/pci: Fix zpci_bus_is_isolated_vf() for non-VFs
    (bsc#1012628).
  - s390/virtio_ccw: Don't allocate/assign airqs for non-existing
    queues (bsc#1012628).
  - s390: Fix linker error when -no-pie option is unavailable
    (bsc#1012628).
  - sched_ext: create_dsq: Return -EEXIST on duplicate request
    (bsc#1012628).
  - selftests: mptcp: close fd_in before returning in main_loop
    (bsc#1012628).
  - selftests: mptcp: fix incorrect fd checks in main_loop
    (bsc#1012628).
  - spi: fsl-qspi: use devm function instead of driver remove
    (bsc#1012628).
  - spi: fsl-qspi: Fix double cleanup in probe error path
    (bsc#1012628).
  - thermal/drivers/mediatek/lvts: Disable monitor mode during
    suspend (bsc#1012628).
  - thermal/drivers/mediatek/lvts: Disable Stage 3 thermal threshold
    (bsc#1012628).
  - arm64: errata: Add newer ARM cores to the
    spectre_bhb_loop_affected() lists (bsc#1012628).
  - iommufd: Make attach_handle generic than fault specific
    (bsc#1012628).
  - iommufd: Fail replace if device has not been attached
    (bsc#1012628).
  - x86/e820: Fix handling of subpage regions when calculating
    nosave ranges in e820__register_nosave_regions() (bsc#1012628).
  - Bluetooth: hci_uart: Fix another race during initialization
    (bsc#1012628).
  - HSI: ssi_protocol: Fix use after free vulnerability in
    ssi_protocol Driver Due to Race Condition (bsc#1012628).
  - Rename to
    patches.kernel.org/6.14.3-036-x86-cpu-Avoid-running-off-the-end-of-an-AMD-er.patch.
  - Rename to
    patches.kernel.org/6.14.3-040-ipv6-Align-behavior-across-nexthops-during-pat.patch.
  - Rename to
    patches.kernel.org/6.14.3-097-wifi-ath11k-fix-memory-leak-in-ath11k_xxx_remo.patch.
  - Rename to
    patches.kernel.org/6.14.3-442-wifi-ath11k-update-channel-list-in-worker-when.patch.
  - Update config files.
  - commit b955976
* Thu Apr 17 2025 msuchanek@suse.de
  - rpm/kernel-binary.spec.in: Also order against update-bootloader
    (boo#1228659, boo#1240785, boo#1241038).
  - commit fe0a8c9
* Thu Apr 17 2025 jslaby@suse.cz
  - Refresh
    patches.suse/0001-net-introduce-OpenVPN-Data-Channel-Offload-ovpn.patch.
  - Refresh patches.suse/0002-ovpn-add-basic-netlink-support.patch.
  - Refresh
    patches.suse/0003-ovpn-add-basic-interface-creation-destruction-manage.patch.
  - Refresh
    patches.suse/0004-ovpn-keep-carrier-always-on-for-MP-interfaces.patch.
  - Refresh
    patches.suse/0005-ovpn-introduce-the-ovpn_peer-object.patch.
  - Refresh
    patches.suse/0006-ovpn-introduce-the-ovpn_socket-object.patch.
  - Refresh
    patches.suse/0007-ovpn-implement-basic-TX-path-UDP.patch.
  - Refresh
    patches.suse/0008-ovpn-implement-basic-RX-path-UDP.patch.
  - Refresh
    patches.suse/0009-ovpn-implement-packet-processing.patch.
  - Refresh
    patches.suse/0010-ovpn-store-tunnel-and-transport-statistics.patch.
  - Refresh patches.suse/0011-ovpn-implement-TCP-transport.patch.
  - Refresh
    patches.suse/0012-skb-implement-skb_send_sock_locked_with_flags.patch.
  - Refresh
    patches.suse/0013-ovpn-add-support-for-MSG_NOSIGNAL-in-tcp_sendmsg.patch.
  - Refresh
    patches.suse/0014-ovpn-implement-multi-peer-support.patch.
  - Refresh
    patches.suse/0015-ovpn-implement-peer-lookup-logic.patch.
  - Refresh
    patches.suse/0016-ovpn-implement-keepalive-mechanism.patch.
  - Refresh
    patches.suse/0017-ovpn-add-support-for-updating-local-or-remote-UDP-en.patch.
  - Refresh
    patches.suse/0018-ovpn-implement-peer-add-get-dump-delete-via-netlink.patch.
  - Refresh
    patches.suse/0019-ovpn-implement-key-add-get-del-swap-via-netlink.patch.
  - Refresh
    patches.suse/0020-ovpn-kill-key-and-notify-userspace-in-case-of-IV-exh.patch.
  - Refresh
    patches.suse/0021-ovpn-notify-userspace-when-a-peer-is-deleted.patch.
  - Refresh patches.suse/0022-ovpn-add-basic-ethtool-support.patch.
  - Refresh
    patches.suse/0023-testing-selftests-add-test-tool-and-scripts-for-ovpn.patch.
    Update to v26: <20250415-b4-ovpn-v26-0-577f6097b964@openvpn.net>
  - commit 9881558
* Wed Apr 16 2025 mgorman@suse.de
  - rpm/package-descriptions: Add rt and rt_debug descriptions
  - commit 09573c0
* Tue Apr 15 2025 jslaby@suse.cz
  - Disable patches.kernel.org/6.14.2-308-PCI-Avoid-reset-when-disabled-via-sysfs.patch
    https://lore.kernel.org/all/20250414211828.3530741-1-alex.williamson@redhat.com/
  - commit a13f257
* Tue Apr 15 2025 jslaby@suse.cz
  - Disable patches.kernel.org/6.14.2-607-e1000e-change-k1-configuration-on-MTP-and-late.patch
    https://lore.kernel.org/all/Z_z9EjcKtwHCQcZR@mail-itl/
  - commit 607aeeb
* Mon Apr 14 2025 vbabka@suse.cz
  - Update config files.
  - Disabled CONFIG_SYSFS_SYSCALL (jsc#PED-12651)
  - Had to enable CONFIG_EXPERT for config/s390x/zfcpdump to expose
    CONFIG_SYSFS_SYSCALL. The rest of new options exposed by CONFIG_EXPERT
    were set to match the other configs.
  - commit a5a63de
* Mon Apr 14 2025 vbabka@suse.cz
  - Update config files.
  - Disabled CONFIG_SYSFS_SYSCALL (jsc#PED-12651)
  - Had to enable CONFIG_EXPERT for config/s390x/zfcpdump to expose
    CONFIG_SYSFS_SYSCALL. The rest of new options exposed by CONFIG_EXPERT
    were set to match the other configs.
  - commit 4105d66
* Mon Apr 14 2025 jslaby@suse.cz
  - wifi: ath11k: update channel list in worker when wait flag is
    set (bsc#1241134).
  - commit 3b45a43
* Sun Apr 13 2025 mkubecek@suse.cz
  - update to 6.15-rc2
  - drop mainline patch
    - patches.suse/x86-cpu-Avoid-running-off-the-end-of-an-AMD-erratum-.patch
  - refresh configs
  - commit 2e8a181
* Sun Apr 13 2025 mkubecek@suse.cz
  - config: refresh
  - commit 1facd49
* Fri Apr 11 2025 pfalcato@suse.de
  - config: Disable CONFIG_LATENCYTOP (jsc#PED-12529)
  - commit 409aca3
* Fri Apr 11 2025 pfalcato@suse.de
  - config: Disable CONFIG_LATENCYTOP (jsc#PED-12529)
  - commit 6717c02
* Fri Apr 11 2025 mkubecek@suse.cz
  - config: disable NFSD_V4_DELEG_TIMESTAMPS
    Reportedly this new feature is still quite broken.
  - commit 483ee10
* Fri Apr 11 2025 jslaby@suse.cz
  - ipv6: Align behavior across nexthops during path selection
    (git-fixes).
  - commit 885421b
* Fri Apr 11 2025 jslaby@suse.cz
  - Linux 6.14.2 (bsc#1012628).
  - fs: support O_PATH fds with FSCONFIG_SET_FD (bsc#1012628).
  - watch_queue: fix pipe accounting mismatch (bsc#1012628).
  - x86/mm/pat: cpa-test: fix length for CPA_ARRAY test
    (bsc#1012628).
  - m68k: sun3: Use str_read_write() helper in
    mmu_emu_handle_fault() (bsc#1012628).
  - m68k: sun3: Fix DEBUG_MMU_EMU build (bsc#1012628).
  - cpufreq: scpi: compare kHz instead of Hz (bsc#1012628).
  - seccomp: fix the __secure_computing() stub for
    !HAVE_ARCH_SECCOMP_FILTER (bsc#1012628).
  - smack: dont compile ipv6 code unless ipv6 is configured
    (bsc#1012628).
  - smack: ipv4/ipv6: tcp/dccp/sctp: fix incorrect child socket
    label (bsc#1012628).
  - sched: Cancel the slice protection of the idle entity
    (bsc#1012628).
  - sched/eevdf: Force propagating min_slice of cfs_rq when
    {en,de}queue tasks (bsc#1012628).
  - cpufreq: governor: Fix negative 'idle_time' handling in
    dbs_update() (bsc#1012628).
  - EDAC/{skx_common,i10nm}: Fix some missing error reports on
    Emerald Rapids (bsc#1012628).
  - x86/vdso: Fix latent bug in vclock_pages calculation
    (bsc#1012628).
  - x86/fpu: Fix guest FPU state buffer allocation size
    (bsc#1012628).
  - cpufreq/amd-pstate: Modify the min_perf calculation in
    adjust_perf callback (bsc#1012628).
  - cpufreq/amd-pstate: Pass min/max_limit_perf as min/max_perf
    to amd_pstate_update (bsc#1012628).
  - cpufreq/amd-pstate: Convert all perf values to u8 (bsc#1012628).
  - cpufreq/amd-pstate: Add missing NULL ptr check in
    amd_pstate_update (bsc#1012628).
  - x86/fpu: Avoid copying dynamic FP state from init_task in
    arch_dup_task_struct() (bsc#1012628).
  - rseq: Update kernel fields in lockstep with CONFIG_DEBUG_RSEQ=y
    (bsc#1012628).
  - x86/platform: Only allow CONFIG_EISA for 32-bit (bsc#1012628).
  - x86/sev: Add missing RIP_REL_REF() invocations during
    sme_enable() (bsc#1012628).
  - lockdep/mm: Fix might_fault() lockdep check of
    current->mm->mmap_lock (bsc#1012628).
  - PM: sleep: Adjust check before setting power.must_resume
    (bsc#1012628).
  - cpufreq: tegra194: Allow building for Tegra234 (bsc#1012628).
  - RISC-V: KVM: Disable the kernel perf counter during configure
    (bsc#1012628).
  - kunit/stackinit: Use fill byte different from Clang i386 pattern
    (bsc#1012628).
  - watchdog/hardlockup/perf: Fix perf_event memory leak
    (bsc#1012628).
  - x86/split_lock: Fix the delayed detection logic (bsc#1012628).
  - selinux: Chain up tool resolving errors in install_policy.sh
    (bsc#1012628).
  - EDAC/ie31200: Fix the size of EDAC_MC_LAYER_CHIP_SELECT layer
    (bsc#1012628).
  - EDAC/ie31200: Fix the DIMM size mask for several SoCs
    (bsc#1012628).
  - EDAC/ie31200: Fix the error path order of ie31200_init()
    (bsc#1012628).
  - dma: Fix encryption bit clearing for dma_to_phys (bsc#1012628).
  - dma: Introduce generic dma_addr_*crypted helpers (bsc#1012628).
  - arm64: realm: Use aliased addresses for device DMA to shared
    buffers (bsc#1012628).
  - x86/resctrl: Fix allocation of cleanest CLOSID on platforms
    with no monitors (bsc#1012628).
  - cpuidle: Init cpuidle only for present CPUs (bsc#1012628).
  - thermal: int340x: Add NULL check for adev (bsc#1012628).
  - PM: sleep: Fix handling devices with direct_complete set on
    errors (bsc#1012628).
  - lockdep: Don't disable interrupts on RT in
    disable_irq_nosync_lockdep.*() (bsc#1012628).
  - cpufreq: Init cpufreq only for present CPUs (bsc#1012628).
  - perf/ring_buffer: Allow the EPOLLRDNORM flag for poll
    (bsc#1012628).
  - perf: Save PMU specific data in task_struct (bsc#1012628).
  - perf: Supply task information to sched_task() (bsc#1012628).
  - perf/x86/lbr: Fix shorter LBRs call stacks for the system-wide
    mode (bsc#1012628).
  - sched/deadline: Ignore special tasks when rebuilding domains
    (bsc#1012628).
  - sched/topology: Wrappers for sched_domains_mutex (bsc#1012628).
  - sched/deadline: Generalize unique visiting of root domains
    (bsc#1012628).
  - sched/deadline: Rebuild root domain accounting after every
    update (bsc#1012628).
  - x86/traps: Make exc_double_fault() consistently noreturn
    (bsc#1012628).
  - x86/fpu/xstate: Fix inconsistencies in guest FPU xfeatures
    (bsc#1012628).
  - x86/entry: Add __init to ia32_emulation_override_cmdline()
    (bsc#1012628).
  - RISC-V: KVM: Teardown riscv specific bits after kvm_exit
    (bsc#1012628).
  - regulator: pca9450: Fix enable register for LDO5 (bsc#1012628).
  - auxdisplay: MAX6959 should select BITREVERSE (bsc#1012628).
  - media: verisilicon: HEVC: Initialize start_bit field
    (bsc#1012628).
  - media: platform: allgro-dvt: unregister v4l2_device on the
    error path (bsc#1012628).
  - auxdisplay: panel: Fix an API misuse in panel.c (bsc#1012628).
  - platform/x86: lenovo-yoga-tab2-pro-1380-fastcharger: Make
    symbol static (bsc#1012628).
  - platform/x86: dell-uart-backlight: Make
    dell_uart_bl_serdev_driver static (bsc#1012628).
  - platform/x86: dell-ddv: Fix temperature calculation
    (bsc#1012628).
  - ASoC: cs35l41: check the return value from spi_setup()
    (bsc#1012628).
  - ASoC: amd: acp: Fix for enabling DMIC on acp platforms via
    _DSD entry (bsc#1012628).
  - HID: remove superfluous (and wrong) Makefile entry for
    CONFIG_INTEL_ISH_FIRMWARE_DOWNLOADER (bsc#1012628).
  - ASoC: simple-card-utils: Don't use __free(device_node) at
    graph_util_parse_dai() (bsc#1012628).
  - dt-bindings: vendor-prefixes: add GOcontroll (bsc#1012628).
  - ALSA: hda/realtek: Always honor no_shutup_pins (bsc#1012628).
  - ASoC: tegra: Use non-atomic timeout for ADX status register
    (bsc#1012628).
  - ASoC: ti: j721e-evm: Fix clock configuration for
    ti,j7200-cpb-audio compatible (bsc#1012628).
  - ALSA: usb-audio: separate DJM-A9 cap lvl options (bsc#1012628).
  - ALSA: timer: Don't take register_mutex with copy_from/to_user()
    (bsc#1012628).
  - ALSA: hda/realtek: Fix built-in mic assignment on ASUS VivoBook
    X515UA (bsc#1012628).
  - wifi: rtw89: Correct immediate cfg_len calculation for
    scan_offload_be (bsc#1012628).
  - wifi: ath12k: fix skb_ext_desc leak in ath12k_dp_tx() error path
    (bsc#1012628).
  - wifi: ath12k: encode max Tx power in scan channel list command
    (bsc#1012628).
  - wifi: ath12k: Fix pdev lookup in WBM error processing
    (bsc#1012628).
  - wifi: ath9k: do not submit zero bytes to the entropy pool
    (bsc#1012628).
  - wifi: ath11k: fix wrong overriding for VHT Beamformee STS
    Capability (bsc#1012628).
  - arm64: dts: mediatek: mt8173-elm: Drop pmic's #address-cells
    and #size-cells (bsc#1012628).
  - arm64: dts: mediatek: mt8173: Fix some node names (bsc#1012628).
  - wifi: ath11k: update channel list in reg notifier instead reg
    worker (bsc#1012628).
  - ARM: dts: omap4-panda-a4: Add missing model and compatible
    properties (bsc#1012628).
  - f2fs: quota: fix to avoid warning in dquot_writeback_dquots()
    (bsc#1012628).
  - dlm: prevent NPD when writing a positive value to event_done
    (bsc#1012628).
  - wifi: ath11k: fix RCU stall while reaping monitor destination
    ring (bsc#1012628).
  - wifi: ath11k: add srng->lock for ath11k_hal_srng_* in monitor
    mode (bsc#1012628).
  - wifi: ath12k: Fix locking in "QMI firmware ready" error paths
    (bsc#1012628).
  - f2fs: fix to avoid panic once fallocation fails for pinfile
    (bsc#1012628).
  - scsi: mpt3sas: Reduce log level of ignore_delay_remove message
    to KERN_INFO (bsc#1012628).
  - md: ensure resync is prioritized over recovery (bsc#1012628).
  - md/raid1: fix memory leak in raid1_run() if no active rdev
    (bsc#1012628).
  - coredump: Fixes core_pipe_limit sysctl proc_handler
    (bsc#1012628).
  - io_uring/io-wq: eliminate redundant io_work_get_acct() calls
    (bsc#1012628).
  - io_uring/io-wq: cache work->flags in variable (bsc#1012628).
  - io_uring/io-wq: do not use bogus hash value (bsc#1012628).
  - io_uring: check for iowq alloc_workqueue failure (bsc#1012628).
  - io_uring/net: improve recv bundles (bsc#1012628).
  - firmware: arm_ffa: Refactor addition of partition information
    into XArray (bsc#1012628).
  - firmware: arm_ffa: Unregister the FF-A devices when cleaning
    up the partitions (bsc#1012628).
  - arm64: dts: mediatek: mt6359: fix dtbs_check error for
    audio-codec (bsc#1012628).
  - scsi: mpi3mr: Fix locking in an error path (bsc#1012628).
  - scsi: mpt3sas: Fix a locking bug in an error path (bsc#1012628).
  - can: rockchip_canfd: rkcanfd_chip_fifo_setup(): remove
    duplicated setup of RX FIFO (bsc#1012628).
  - jfs: reject on-disk inodes of an unsupported type (bsc#1012628).
  - jfs: add check read-only before txBeginAnon() call
    (bsc#1012628).
  - jfs: add check read-only before truncation in
    jfs_truncate_nolock() (bsc#1012628).
  - wifi: ath12k: Add missing htt_metadata flag in ath12k_dp_tx()
    (bsc#1012628).
  - wifi: rtw89: rtw8852b{t}: fix TSSI debug timestamps
    (bsc#1012628).
  - xfrm: delay initialization of offload path till its actually
    requested (bsc#1012628).
  - iommu/io-pgtable-dart: Only set subpage protection disable
    for DART 1 (bsc#1012628).
  - firmware: arm_ffa: Explicitly cast return value from FFA_VERSION
    before comparison (bsc#1012628).
  - firmware: arm_ffa: Explicitly cast return value from
    NOTIFICATION_INFO_GET (bsc#1012628).
  - arm64: dts: renesas: r8a774c0: Re-add voltages to OPP table
    (bsc#1012628).
  - arm64: dts: renesas: r8a77990: Re-add voltages to OPP table
    (bsc#1012628).
  - firmware: arm_ffa: Skip the first/partition ID when parsing
    vCPU list (bsc#1012628).
  - arm64: dts: ti: k3-j722s-evm: Fix USB2.0_MUX_SEL to select
    Type-C (bsc#1012628).
  - wifi: ath12k: use link specific bss_conf as well in
    ath12k_mac_vif_cache_flush() (bsc#1012628).
  - arm64: dts: imx8mp-skov: correct PMIC board limits
    (bsc#1012628).
  - arm64: dts: imx8mp-skov: operate CPU at 850 mV by default
    (bsc#1012628).
  - arm64: dts: mediatek: mt8390-genio-700-evk: Move common parts
    to dtsi (bsc#1012628).
  - arm64: dts: mediatek: mt8390-genio-common: Fix duplicated
    regulator name (bsc#1012628).
  - wifi: ath11k: Clear affinity hint before calling
    ath11k_pcic_free_irq() in error path (bsc#1012628).
  - wifi: ath12k: Clear affinity hint before calling
    ath12k_pci_free_irq() in error path (bsc#1012628).
  - f2fs: fix to set .discard_granularity correctly (bsc#1012628).
  - f2fs: add check for deleted inode (bsc#1012628).
  - arm64: dts: ti: k3-am62-verdin-dahlia: add Microphone Jack to
    sound card (bsc#1012628).
  - f2fs: fix potential deadloop in prepare_compress_overwrite()
    (bsc#1012628).
  - f2fs: fix to call f2fs_recover_quota_end() correctly
    (bsc#1012628).
  - md: fix mddev uaf while iterating all_mddevs list (bsc#1012628).
  - md/raid1,raid10: don't ignore IO flags (bsc#1012628).
  - md/md-bitmap: fix wrong bitmap_limit for clustermd when write sb
    (bsc#1012628).
  - tracing: Fix DECLARE_TRACE_CONDITION (bsc#1012628).
  - tools/rv: Keep user LDFLAGS in build (bsc#1012628).
  - arm64: dts: ti: k3-am62p: Enable AUDIO_REFCLKx (bsc#1012628).
  - arm64: dts: ti: k3-am62p: fix pinctrl settings (bsc#1012628).
  - arm64: dts: ti: k3-j722s: fix pinctrl settings (bsc#1012628).
  - wifi: rtw89: fw: correct debug message format in
    rtw89_build_txpwr_trk_tbl_from_elm() (bsc#1012628).
  - wifi: rtw89: pci: correct ISR RDU bit for 8922AE (bsc#1012628).
  - blk-throttle: fix lower bps rate by throtl_trim_slice()
    (bsc#1012628).
  - soc: mediatek: mtk-mmsys: Fix MT8188 VDO1 DPI1 output selection
    (bsc#1012628).
  - soc: mediatek: mt8167-mmsys: Fix missing regval in all entries
    (bsc#1012628).
  - soc: mediatek: mt8365-mmsys: Fix routing table masks and values
    (bsc#1012628).
  - md/raid10: wait barrier before returning discard request with
    REQ_NOWAIT (bsc#1012628).
  - block: ensure correct integrity capability propagation in
    stacked devices (bsc#1012628).
  - block: Correctly initialize BLK_INTEGRITY_NOGENERATE and
    BLK_INTEGRITY_NOVERIFY (bsc#1012628).
  - badblocks: Fix error shitf ops (bsc#1012628).
  - badblocks: factor out a helper try_adjacent_combine
    (bsc#1012628).
  - badblocks: attempt to merge adjacent badblocks during
    ack_all_badblocks (bsc#1012628).
  - badblocks: return error directly when setting badblocks exceeds
    512 (bsc#1012628).
  - badblocks: return error if any badblock set fails (bsc#1012628).
  - badblocks: fix the using of MAX_BADBLOCKS (bsc#1012628).
  - badblocks: fix merge issue when new badblocks align with pre+1
    (bsc#1012628).
  - badblocks: fix missing bad blocks on retry in _badblocks_check()
    (bsc#1012628).
  - badblocks: return boolean from badblocks_set() and
    badblocks_clear() (bsc#1012628).
  - badblocks: use sector_t instead of int to avoid truncation of
    badblocks length (bsc#1012628).
  - firmware: arm_scmi: use ioread64() instead of ioread64_hi_lo()
    (bsc#1012628).
  - net: airoha: Fix lan4 support in airoha_qdma_get_gdm_port()
    (bsc#1012628).
  - iommu/amd: Fix header file (bsc#1012628).
  - iommu/vt-d: Fix system hang on reboot -f (bsc#1012628).
  - memory: mtk-smi: Add ostd setting for mt8192 (bsc#1012628).
  - gfs2: minor evict fix (bsc#1012628).
  - gfs2: skip if we cannot defer delete (bsc#1012628).
  - ARM: dts: imx6ul-tqma6ul1: Change include order to disable
    fec2 node (bsc#1012628).
  - arm64: dts: imx8mp: add AUDIO_AXI_CLK_ROOT to AUDIOMIX block
    (bsc#1012628).
  - arm64: dts: imx8mp: change AUDIO_AXI_CLK_ROOT freq. to 800MHz
    (bsc#1012628).
  - f2fs: fix to avoid accessing uninitialized curseg (bsc#1012628).
  - iommu: Handle race with default domain setup (bsc#1012628).
  - wifi: mac80211: remove SSID from ML reconf (bsc#1012628).
  - f2fs: fix to avoid running out of free segments (bsc#1012628).
  - block: fix adding folio to bio (bsc#1012628).
  - ext4: fix potential null dereference in ext4 kunit test
    (bsc#1012628).
  - ext4: convert EXT4_FLAGS_* defines to enum (bsc#1012628).
  - ext4: add EXT4_FLAGS_EMERGENCY_RO bit (bsc#1012628).
  - ext4: correct behavior under errors=remount-ro mode
    (bsc#1012628).
  - ext4: show 'emergency_ro' when EXT4_FLAGS_EMERGENCY_RO is set
    (bsc#1012628).
  - arm64: dts: rockchip: Move rk356x scmi SHMEM to reserved memory
    (bsc#1012628).
  - arm64: dts: rockchip: Remove bluetooth node from rock-3a
    (bsc#1012628).
  - bus: qcom-ssc-block-bus: Remove some duplicated iounmap()
    calls (bsc#1012628).
  - bus: qcom-ssc-block-bus: Fix the error handling path of
    qcom_ssc_block_bus_probe() (bsc#1012628).
  - arm64: dts: rockchip: Fix pcie reset gpio on Orange Pi 5 Max
    (bsc#1012628).
  - arm64: dts: rockchip: Fix PWM pinctrl names (bsc#1012628).
  - arm64: dts: rockchip: remove ethm0_clk0_25m_out from Sige5 gmac0
    (bsc#1012628).
  - erofs: allow 16-byte volume name again (bsc#1012628).
  - ext4: add missing brelse() for bh2 in ext4_dx_add_entry()
    (bsc#1012628).
  - ext4: verify fast symlink length (bsc#1012628).
  - f2fs: fix missing discard for active segments (bsc#1012628).
  - scsi: hisi_sas: Fixed failure to issue vendor specific commands
    (bsc#1012628).
  - scsi: target: tcm_loop: Fix wrong abort tag (bsc#1012628).
  - ext4: introduce ITAIL helper (bsc#1012628).
  - ext4: fix out-of-bound read in ext4_xattr_inode_dec_ref_all()
    (bsc#1012628).
  - ext4: goto right label 'out_mmap_sem' in ext4_setattr()
    (bsc#1012628).
  - jbd2: fix off-by-one while erasing journal (bsc#1012628).
  - ata: libata: Fix NCQ Non-Data log not supported print
    (bsc#1012628).
  - wifi: nl80211: store chandef on the correct link when starting
    CAC (bsc#1012628).
  - wifi: mac80211: check basic rates validity in
    sta_link_apply_parameters (bsc#1012628).
  - wifi: cfg80211: init wiphy_work before allocating rfkill fails
    (bsc#1012628).
  - wifi: mwifiex: Fix premature release of RF calibration data
    (bsc#1012628).
  - wifi: mwifiex: Fix RF calibration data download from file
    (bsc#1012628).
  - ice: health.c: fix compilation on gcc 7.5 (bsc#1012628).
  - ice: ensure periodic output start time is in the future
    (bsc#1012628).
  - ice: fix reservation of resources for RDMA when disabled
    (bsc#1012628).
  - virtchnl: make proto and filter action count unsigned
    (bsc#1012628).
  - ice: stop truncating queue ids when checking (bsc#1012628).
  - ice: validate queue quanta parameters to prevent OOB access
    (bsc#1012628).
  - ice: fix input validation for virtchnl BW (bsc#1012628).
  - ice: fix using untrusted value of pkt_len in
    ice_vc_fdir_parse_raw() (bsc#1012628).
  - idpf: check error for register_netdev() on init (bsc#1012628).
  - btrfs: get used bytes while holding lock at
    btrfs_reclaim_bgs_work() (bsc#1012628).
  - btrfs: fix reclaimed bytes accounting after automatic block
    group reclaim (bsc#1012628).
  - btrfs: fix block group refcount race in
    btrfs_create_pending_block_groups() (bsc#1012628).
  - btrfs: don't clobber ret in btrfs_validate_super()
    (bsc#1012628).
  - wifi: mt76: mt7915: fix possible integer overflows in
    mt7915_muru_stats_show() (bsc#1012628).
  - igb: reject invalid external timestamp requests for 82580-based
    HW (bsc#1012628).
  - renesas: reject PTP_STRICT_FLAGS as unsupported (bsc#1012628).
  - net: lan743x: reject unsupported external timestamp requests
    (bsc#1012628).
  - broadcom: fix supported flag check in periodic output function
    (bsc#1012628).
  - ptp: ocp: reject unsupported periodic output flags
    (bsc#1012628).
  - nvmet: pci-epf: Always configure BAR0 as 64-bit (bsc#1012628).
  - jbd2: add a missing data flush during file and fs
    synchronization (bsc#1012628).
  - ext4: define ext4_journal_destroy wrapper (bsc#1012628).
  - ext4: avoid journaling sb update on error if journal is
    destroying (bsc#1012628).
  - eth: bnxt: fix out-of-range access of vnic_info array
    (bsc#1012628).
  - net: Remove RTNL dance for SIOCBRADDIF and SIOCBRDELIF
    (bsc#1012628).
  - netfilter: nfnetlink_queue: Initialize ctx to avoid memory
    allocation error (bsc#1012628).
  - netfilter: nf_tables: Only use nf_skip_indirect_calls() when
    MITIGATION_RETPOLINE (bsc#1012628).
  - ax25: Remove broken autobind (bsc#1012628).
  - net/mlx5e: Fix ethtool -N flow-type ip4 to RSS context
    (bsc#1012628).
  - bnxt_en: Mask the bd_cnt field in the TX BD properly
    (bsc#1012628).
  - bnxt_en: Linearize TX SKB if the fragments exceed the max
    (bsc#1012628).
  - net: dsa: mv88e6xxx: fix atu_move_port_mask for 6341 family
    (bsc#1012628).
  - net: dsa: mv88e6xxx: enable PVT for 6321 switch (bsc#1012628).
  - net: dsa: mv88e6xxx: enable .port_set_policy() for 6320 family
    (bsc#1012628).
  - net: dsa: mv88e6xxx: fix VTU methods for 6320 family
    (bsc#1012628).
  - net: dsa: mv88e6xxx: enable STU methods for 6320 family
    (bsc#1012628).
  - mlxsw: spectrum_acl_bloom_filter: Workaround for some LLVM
    versions (bsc#1012628).
  - net: dsa: sja1105: fix displaced ethtool statistics counters
    (bsc#1012628).
  - net: dsa: sja1105: reject other RX filters than
    HWTSTAMP_FILTER_PTP_V2_L2_EVENT (bsc#1012628).
  - net: dsa: sja1105: fix kasan out-of-bounds warning in
    sja1105_table_delete_entry() (bsc#1012628).
  - net/mlx5: LAG, reload representors on LAG creation failure
    (bsc#1012628).
  - net/mlx5: Start health poll after enable hca (bsc#1012628).
  - vmxnet3: unregister xdp rxq info in the reset path
    (bsc#1012628).
  - bonding: check xdp prog when set bond mode (bsc#1012628).
  - ibmvnic: Use kernel helpers for hex dumps (bsc#1012628).
  - net: fix NULL pointer dereference in l3mdev_l3_rcv
    (bsc#1012628).
  - virtio_net: Fix endian with virtio_net_ctrl_rss (bsc#1012628).
  - Bluetooth: Add quirk for broken READ_VOICE_SETTING
    (bsc#1012628).
  - Bluetooth: Add quirk for broken READ_PAGE_SCAN_TYPE
    (bsc#1012628).
  - Bluetooth: btusb: Fix regression in the initialization of fake
    Bluetooth controllers (bsc#1012628).
  - Bluetooth: hci_core: Enable buffer flow control for SCO/eSCO
    (bsc#1012628).
  - Bluetooth: HCI: Add definition of hci_rp_remote_name_req_cancel
    (bsc#1012628).
  - rwonce: handle KCSAN like KASAN in read_word_at_a_time()
    (bsc#1012628).
  - net: dsa: microchip: fix DCB apptrust configuration on KSZ88x3
    (bsc#1012628).
  - Bluetooth: btnxpuart: Fix kernel panic during FW release
    (bsc#1012628).
  - net: Fix the devmem sock opts and msgs for parisc (bsc#1012628).
  - net: libwx: fix Tx descriptor content for some tunnel packets
    (bsc#1012628).
  - net: libwx: fix Tx L4 checksum (bsc#1012628).
  - rwonce: fix crash by removing READ_ONCE() for unaligned read
    (bsc#1012628).
  - drm/bridge: ti-sn65dsi86: Fix multiple instances (bsc#1012628).
  - drm/ssd130x: Set SPI .id_table to prevent an SPI core warning
    (bsc#1012628).
  - accel/amdxdna: Return error when setting clock failed for npu1
    (bsc#1012628).
  - drm/panthor: Fix a race between the reset and suspend path
    (bsc#1012628).
  - drm/ssd130x: fix ssd132x encoding (bsc#1012628).
  - drm/ssd130x: ensure ssd132x pitch is correct (bsc#1012628).
  - drm/dp_mst: Fix drm RAD print (bsc#1012628).
  - drm/bridge: it6505: fix HDCP V match check is not performed
    correctly (bsc#1012628).
  - drm/panthor: Fix race condition when gathering fdinfo group
    samples (bsc#1012628).
  - drm: xlnx: zynqmp: Fix max dma segment size (bsc#1012628).
  - drm: xlnx: zynqmp_dpsub: Add NULL check in zynqmp_audio_init
    (bsc#1012628).
  - drm: zynqmp_dp: Fix a deadlock in zynqmp_dp_ignore_hpd_set()
    (bsc#1012628).
  - drm/vkms: Fix use after free and double free on init error
    (bsc#1012628).
  - gpu: cdns-mhdp8546: fix call balance of mhdp->clk handling
    routines (bsc#1012628).
  - drm/amdgpu: refine smu send msg debug log format (bsc#1012628).
  - drm/amdgpu/umsch: remove vpe test from umsch (bsc#1012628).
  - drm/amdgpu/umsch: declare umsch firmware (bsc#1012628).
  - drm/amdgpu/umsch: fix ucode check (bsc#1012628).
  - drm/amdgpu/vcn5.0.1: use correct dpm helper (bsc#1012628).
  - PCI: Remove add_align overwrite unrelated to size0
    (bsc#1012628).
  - PCI: Simplify size1 assignment logic (bsc#1012628).
  - PCI: Allow relaxed bridge window tail sizing for optional
    resources (bsc#1012628).
  - drm/mediatek: mtk_hdmi: Unregister audio platform device on
    failure (bsc#1012628).
  - drm/mediatek: mtk_hdmi: Fix typo for aud_sampe_size member
    (bsc#1012628).
  - drm/amdgpu: Replace Mutex with Spinlock for RLCG register
    access to avoid Priority Inversion in SRIOV (bsc#1012628).
  - PCI/ASPM: Fix link state exit during switch upstream function
    removal (bsc#1012628).
  - drm/panel: ilitek-ili9882t: fix GPIO name in error message
    (bsc#1012628).
  - PCI/ACS: Fix 'pci=config_acs=' parameter (bsc#1012628).
  - drm/amd/display: fix an indent issue in DML21 (bsc#1012628).
  - drm/msm/dpu: don't use active in atomic_check() (bsc#1012628).
  - drm/msm/dsi/phy: Program clock inverters in correct register
    (bsc#1012628).
  - drm/msm/dsi: Use existing per-interface slice count in DSC
    timing (bsc#1012628).
  - drm/msm/dsi: Set PHY usescase (and mode) before registering
    DSI host (bsc#1012628).
  - drm/msm/dpu: Fall back to a single DSC encoder (1:1:1) on
    small SoCs (bsc#1012628).
  - drm/msm/dpu: Remove arbitrary limit of 1 interface in DSC
    topology (bsc#1012628).
  - drm/msm/gem: Fix error code msm_parse_deps() (bsc#1012628).
  - drm/amdkfd: Fix Circular Locking Dependency in
    'svm_range_cpu_invalidate_pagetables' (bsc#1012628).
  - PCI: mediatek-gen3: Configure PBUS_CSR registers for EN7581 SoC
    (bsc#1012628).
  - PCI: cadence-ep: Fix the driver to send MSG TLP for INTx
    without data payload (bsc#1012628).
  - PCI: brcmstb: Set generation limit before PCIe link up
    (bsc#1012628).
  - PCI: brcmstb: Use internal register to change link capability
    (bsc#1012628).
  - PCI: brcmstb: Fix error path after a call to
    regulator_bulk_get() (bsc#1012628).
  - PCI: brcmstb: Fix potential premature regulator disabling
    (bsc#1012628).
  - selftests/pcie_bwctrl: Add 'set_pcie_speed.sh' to TEST_PROGS
    (bsc#1012628).
  - PCI/portdrv: Only disable pciehp interrupts early when needed
    (bsc#1012628).
  - PCI: Avoid reset when disabled via sysfs (bsc#1012628).
  - drm/msm/dpu: move needs_cdm setting to
    dpu_encoder_get_topology() (bsc#1012628).
  - drm/msm/dpu: simplify dpu_encoder_get_topology() interface
    (bsc#1012628).
  - drm/msm/dpu: don't set crtc_state->mode_changed from
    atomic_check() (bsc#1012628).
  - drm/panthor: Update CS_STATUS_ defines to correct values
    (bsc#1012628).
  - drm/file: Add fdinfo helper for printing regions with prefix
    (bsc#1012628).
  - drm/panthor: Expose size of driver internal BO's over fdinfo
    (bsc#1012628).
  - drm/panthor: Replace sleep locks with spinlocks in fdinfo path
    (bsc#1012628).
  - drm/panthor: Avoid sleep locking in the internal BO size path
    (bsc#1012628).
  - drm/panthor: Clean up FW version information display
    (bsc#1012628).
  - drm/amd/display: fix type mismatch in
    CalculateDynamicMetadataParameters() (bsc#1012628).
  - drm/msm/a6xx: Fix a6xx indexed-regs in devcoreduump
    (bsc#1012628).
  - powerpc/perf: Fix ref-counting on the PMU 'vpa_pmu'
    (bsc#1012628).
  - misc: pci_endpoint_test: Fix pci_endpoint_test_bars_read_bar()
    error handling (bsc#1012628).
  - misc: pci_endpoint_test: Handle BAR sizes larger than INT_MAX
    (bsc#1012628).
  - PCI: endpoint: pci-epf-test: Handle endianness properly
    (bsc#1012628).
  - crypto: powerpc: Mark ghashp8-ppc.o as an
    OBJECT_FILES_NON_STANDARD (bsc#1012628).
  - powerpc/kexec: fix physical address calculation in
    clear_utlb_entry() (bsc#1012628).
  - PCI: Remove stray put_device() in pci_register_host_bridge()
    (bsc#1012628).
  - PCI: xilinx-cpm: Fix IRQ domain leak in error path of probe
    (bsc#1012628).
  - drm/mediatek: Fix config_updating flag never false when no
    mbox channel (bsc#1012628).
  - drm/mediatek: dp: drm_err => dev_err in HPD path to avoid NULL
    ptr (bsc#1012628).
  - drm/mediatek: dsi: fix error codes in mtk_dsi_host_transfer()
    (bsc#1012628).
  - drm/amd/display: avoid NPD when ASIC does not support DMUB
    (bsc#1012628).
  - PCI: dwc: ep: Return -ENOMEM for allocation failures
    (bsc#1012628).
  - PCI: histb: Fix an error handling path in histb_pcie_probe()
    (bsc#1012628).
  - PCI: Fix BAR resizing when VF BARs are assigned (bsc#1012628).
  - drm/amdgpu/mes: optimize compute loop handling (bsc#1012628).
  - drm/amdgpu/mes: enable compute pipes across all MEC
    (bsc#1012628).
  - PCI: pciehp: Don't enable HPIE when resuming in poll mode
    (bsc#1012628).
  - PCI/bwctrl: Fix pcie_bwctrl_select_speed() return type
    (bsc#1012628).
  - io_uring/net: only import send_zc buffer once (bsc#1012628).
  - PCI: Fix NULL dereference in SR-IOV VF creation error path
    (bsc#1012628).
  - io_uring: use lockless_cq flag in io_req_complete_post()
    (bsc#1012628).
  - io_uring: fix retry handling off iowq (bsc#1012628).
  - fbdev: au1100fb: Move a variable assignment behind a null
    pointer check (bsc#1012628).
  - dummycon: fix default rows/cols (bsc#1012628).
  - mdacon: rework dependency list (bsc#1012628).
  - fbdev: sm501fb: Add some geometry checks (bsc#1012628).
  - crypto: iaa - Test the correct request flag (bsc#1012628).
  - crypto: qat - set parity error mask for qat_420xx (bsc#1012628).
  - crypto: tegra - Use separate buffer for setkey (bsc#1012628).
  - crypto: tegra - Do not use fixed size buffers (bsc#1012628).
  - crypto: tegra - check return value for hash do_one_req
    (bsc#1012628).
  - crypto: tegra - Transfer HASH init function to crypto engine
    (bsc#1012628).
  - crypto: tegra - Fix HASH intermediate result handling
    (bsc#1012628).
  - crypto: bpf - Add MODULE_DESCRIPTION for skcipher (bsc#1012628).
  - crypto: tegra - Use HMAC fallback when keyslots are full
    (bsc#1012628).
  - clk: amlogic: gxbb: drop incorrect flag on 32k clock
    (bsc#1012628).
  - crypto: hisilicon/sec2 - fix for aead authsize alignment
    (bsc#1012628).
  - crypto: hisilicon/sec2 - fix for sec spec check (bsc#1012628).
  - RDMA/mlx5: Fix page_size variable overflow (bsc#1012628).
  - remoteproc: core: Clear table_sz when rproc_shutdown
    (bsc#1012628).
  - of: property: Increase NR_FWNODE_REFERENCE_ARGS (bsc#1012628).
  - pinctrl: renesas: rzg2l: Suppress binding attributes
    (bsc#1012628).
  - remoteproc: qcom_q6v5_pas: Make single-PD handling more robust
    (bsc#1012628).
  - libbpf: Fix hypothetical STT_SECTION extern NULL deref case
    (bsc#1012628).
  - drivers: clk: qcom: ipq5424: fix the freq table of sdcc1_apps
    clock (bsc#1012628).
  - selftests/bpf: Fix string read in strncmp benchmark
    (bsc#1012628).
  - x86/mm/pat: Fix VM_PAT handling when fork() fails in
    copy_page_range() (bsc#1012628).
  - clk: renesas: r8a08g045: Check the source of the CPU PLL
    settings (bsc#1012628).
  - remoteproc: qcom: pas: add minidump_id to SC7280 WPSS
    (bsc#1012628).
  - clk: samsung: Fix UBSAN panic in samsung_clk_init()
    (bsc#1012628).
  - pinctrl: nuvoton: npcm8xx: Fix error handling in
    npcm8xx_gpio_fw() (bsc#1012628).
  - crypto: tegra - Fix CMAC intermediate result handling
    (bsc#1012628).
  - clk: qcom: gcc-msm8953: fix stuck venus0_core0 clock
    (bsc#1012628).
  - selftests/bpf: Fix runqslower cross-endian build (bsc#1012628).
  - s390: Remove ioremap_wt() and pgprot_writethrough()
    (bsc#1012628).
  - RDMA/mana_ib: Ensure variable err is initialized (bsc#1012628).
  - crypto: tegra - Set IV to NULL explicitly for AES ECB
    (bsc#1012628).
  - remoteproc: qcom_q6v5_pas: Use resource with CX PD for MSM8226
    (bsc#1012628).
  - clk: qcom: gcc-x1e80100: Unregister
    GCC_GPU_CFG_AHB_CLK/GCC_DISP_XO_CLK (bsc#1012628).
  - crypto: tegra - finalize crypto req on error (bsc#1012628).
  - crypto: tegra - Reserve keyslots to allocate dynamically
    (bsc#1012628).
  - bpf: Use preempt_count() directly in bpf_send_signal_common()
    (bsc#1012628).
  - lib: 842: Improve error handling in sw842_compress()
    (bsc#1012628).
  - pinctrl: renesas: rza2: Fix missing of_node_put() call
    (bsc#1012628).
  - pinctrl: renesas: rzg2l: Fix missing of_node_put() call
    (bsc#1012628).
  - RDMA/mlx5: Fix MR cache initialization error flow (bsc#1012628).
  - selftests/bpf: Fix freplace_link segfault in tailcalls prog test
    (bsc#1012628).
  - clk: rockchip: rk3328: fix wrong clk_ref_usb3otg parent
    (bsc#1012628).
  - RDMA/core: Don't expose hw_counters outside of init net
    namespace (bsc#1012628).
  - RDMA/mlx5: Fix calculation of total invalidated pages
    (bsc#1012628).
  - RDMA/erdma: Prevent use-after-free in erdma_accept_newconn()
    (bsc#1012628).
  - remoteproc: qcom_q6v5_mss: Handle platforms with one power
    domain (bsc#1012628).
  - power: supply: bq27xxx_battery: do not update cached flags
    prematurely (bsc#1012628).
  - leds: st1202: Check for error code from devm_mutex_init() call
    (bsc#1012628).
  - crypto: api - Fix larval relookup type and mask (bsc#1012628).
  - IB/mad: Check available slots before posting receive WRs
    (bsc#1012628).
  - pinctrl: tegra: Set SFIO mode to Mux Register (bsc#1012628).
  - clk: amlogic: g12b: fix cluster A parent data (bsc#1012628).
  - clk: amlogic: gxbb: drop non existing 32k clock parent
    (bsc#1012628).
  - selftests/bpf: Select NUMA_NO_NODE to create map (bsc#1012628).
  - rust: fix signature of rust_fmt_argument (bsc#1012628).
  - crypto: tegra - Fix format specifier in tegra_sha_prep_cmd()
    (bsc#1012628).
  - libbpf: Add namespace for errstr making it libbpf_errstr
    (bsc#1012628).
  - clk: mmp: Fix NULL vs IS_ERR() check (bsc#1012628).
  - pinctrl: npcm8xx: Fix incorrect struct npcm8xx_pincfg assignment
    (bsc#1012628).
  - samples/bpf: Fix broken vmlinux path for VMLINUX_BTF
    (bsc#1012628).
  - crypto: qat - remove access to parity register for QAT GEN4
    (bsc#1012628).
  - clk: clk-imx8mp-audiomix: fix dsp/ocram_a clock parents
    (bsc#1012628).
  - clk: amlogic: g12a: fix mmc A peripheral clock (bsc#1012628).
  - pinctrl: bcm2835: don't -EINVAL on alternate funcs from
    get_direction() (bsc#1012628).
  - x86/entry: Fix ORC unwinder for PUSH_REGS with save_ret=1
    (bsc#1012628).
  - power: supply: max77693: Fix wrong conversion of charge input
    threshold value (bsc#1012628).
  - crypto: api - Call crypto_alg_put in crypto_unregister_alg
    (bsc#1012628).
  - clk: stm32f4: fix an uninitialized variable (bsc#1012628).
  - crypto: nx - Fix uninitialised hv_nxc on error (bsc#1012628).
  - clk: qcom: gcc-sm8650: Do not turn off USB GDSCs during
    gdsc_disable() (bsc#1012628).
  - bpf: Fix array bounds error with may_goto (bsc#1012628).
  - RDMA/mlx5: Fix mlx5_poll_one() cur_qp update flow (bsc#1012628).
  - pinctrl: renesas: rzv2m: Fix missing of_node_put() call
    (bsc#1012628).
  - clk: qcom: ipq5424: fix software and hardware flow control
    error of UART (bsc#1012628).
  - mfd: sm501: Switch to BIT() to mitigate integer overflows
    (bsc#1012628).
  - leds: Fix LED_OFF brightness race (bsc#1012628).
  - x86/dumpstack: Fix inaccurate unwinding from exception stacks
    due to misplaced assignment (bsc#1012628).
  - RDMA/core: Fix use-after-free when rename device name
    (bsc#1012628).
  - crypto: hisilicon/sec2 - fix for aead auth key length
    (bsc#1012628).
  - pinctrl: intel: Fix wrong bypass assignment in
    intel_pinctrl_probe_pwm() (bsc#1012628).
  - clk: qcom: mmcc-sdm660: fix stuck video_subcore0 clock
    (bsc#1012628).
  - libbpf: Fix accessing BTF.ext core_relo header (bsc#1012628).
  - perf stat: Fix find_stat for mixed legacy/non-legacy events
    (bsc#1012628).
  - perf: Always feature test reallocarray (bsc#1012628).
  - w1: fix NULL pointer dereference in probe (bsc#1012628).
  - staging: gpib: Add missing interface entry point (bsc#1012628).
  - staging: gpib: Fix pr_err format warning (bsc#1012628).
  - usb: typec: thunderbolt: Fix loops that iterate TYPEC_PLUG_SOP_P
    and TYPEC_PLUG_SOP_PP (bsc#1012628).
  - usb: typec: thunderbolt: Remove IS_ERR check for plug
    (bsc#1012628).
  - iio: dac: adi-axi-dac: modify stream enable (bsc#1012628).
  - perf test: Fix Hwmon PMU test endianess issue (bsc#1012628).
  - perf stat: Don't merge counters purely on name (bsc#1012628).
  - fs/ntfs3: Factor out ntfs_{create/remove}_procdir()
    (bsc#1012628).
  - fs/ntfs3: Factor out ntfs_{create/remove}_proc_root()
    (bsc#1012628).
  - fs/ntfs3: Fix 'proc_info_root' leak when init ntfs failed
    (bsc#1012628).
  - fs/ntfs3: Update inode->i_mapping->a_ops on compression state
    (bsc#1012628).
  - iio: light: veml6030: extend regmap to support regfields
    (bsc#1012628).
  - iio: gts-helper: export iio_gts_get_total_gain() (bsc#1012628).
  - iio: light: veml6030: fix scale to conform to ABI (bsc#1012628).
  - iio: adc: ad7124: Micro-optimize channel disabling
    (bsc#1012628).
  - iio: adc: ad7124: Really disable all channels at probe time
    (bsc#1012628).
  - phy: phy-rockchip-samsung-hdptx: Don't use dt aliases to
    determine phy-id (bsc#1012628).
  - perf tools: Add skip check in tool_pmu__event_to_str()
    (bsc#1012628).
  - isofs: fix KMSAN uninit-value bug in do_isofs_readdir()
    (bsc#1012628).
  - perf tests: Fix Tool PMU test segfault (bsc#1012628).
  - soundwire: slave: fix an OF node reference leak in soundwire
    slave device (bsc#1012628).
  - staging: gpib: Fix cb7210 pcmcia Oops (bsc#1012628).
  - perf report: Switch data file correctly in TUI (bsc#1012628).
  - perf report: Fix input reload/switch with symbol sort key
    (bsc#1012628).
  - greybus: gb-beagleplay: Add error handling for gb_greybus_init
    (bsc#1012628).
  - coresight: catu: Fix number of pages while using 64k pages
    (bsc#1012628).
  - vhost-scsi: Fix handling of multiple calls to
    vhost_scsi_set_endpoint (bsc#1012628).
  - coresight-etm4x: add isb() before reading the TRCSTATR
    (bsc#1012628).
  - perf pmus: Restructure pmu_read_sysfs to scan fewer PMUs
    (bsc#1012628).
  - perf pmu: Dynamically allocate tool PMU (bsc#1012628).
  - perf pmu: Don't double count common sysfs and json events
    (bsc#1012628).
  - tools/x86: Fix linux/unaligned.h include path in lib/insn.c
    (bsc#1012628).
  - perf build: Fix in-tree build due to symbolic link
    (bsc#1012628).
  - ucsi_ccg: Don't show failed to get FW build information error
    (bsc#1012628).
  - iio: accel: mma8452: Ensure error return on failure to matching
    oversampling ratio (bsc#1012628).
  - iio: accel: msa311: Fix failure to release runtime pm if direct
    mode claim fails (bsc#1012628).
  - iio: backend: make sure to NULL terminate stack buffer
    (bsc#1012628).
  - iio: core: Rework claim and release of direct mode to work
    with sparse (bsc#1012628).
  - iio: adc: ad7173: Grab direct mode for calibration
    (bsc#1012628).
  - iio: adc: ad7192: Grab direct mode for calibration
    (bsc#1012628).
  - perf arm-spe: Fix load-store operation checking (bsc#1012628).
  - perf bench: Fix perf bench syscall loop count (bsc#1012628).
  - perf machine: Fixup kernel maps ends after adding extra maps
    (bsc#1012628).
  - usb: xhci: correct debug message page size calculation
    (bsc#1012628).
  - fs/ntfs3: Fix a couple integer overflows on 32bit systems
    (bsc#1012628).
  - fs/ntfs3: Prevent integer overflow in hdr_first_de()
    (bsc#1012628).
  - perf test: Add timeout to datasym workload (bsc#1012628).
  - perf tests: Fix data symbol test with LTO builds (bsc#1012628).
  - NFSD: Fix callback decoder status codes (bsc#1012628).
  - soundwire: take in count the bandwidth of a prepared stream
    (bsc#1012628).
  - dmaengine: fsl-edma: cleanup chan after
    dma_async_device_unregister (bsc#1012628).
  - dmaengine: fsl-edma: free irq correctly in remove path
    (bsc#1012628).
  - dmaengine: ae4dma: Use the MSI count and its corresponding
    IRQ number (bsc#1012628).
  - dmaengine: ptdma: Utilize the AE4DMA engine's multi-queue
    functionality (bsc#1012628).
  - iio: adc: ad_sigma_delta: Disable channel after calibration
    (bsc#1012628).
  - iio: adc: ad4130: Fix comparison of channel setups
    (bsc#1012628).
  - iio: adc: ad7124: Fix comparison of channel configs
    (bsc#1012628).
  - iio: adc: ad7173: Fix comparison of channel configs
    (bsc#1012628).
  - iio: adc: ad7768-1: set MOSI idle state to prevent accidental
    reset (bsc#1012628).
  - iio: light: Add check for array bounds in
    veml6075_read_int_time_ms (bsc#1012628).
  - perf debug: Avoid stack overflow in recursive error message
    (bsc#1012628).
  - perf evlist: Add success path to evlist__create_syswide_maps
    (bsc#1012628).
  - perf evsel: tp_format accessing improvements (bsc#1012628).
  - perf x86/topdown: Fix topdown leader sampling test error on
    hybrid (bsc#1012628).
  - perf units: Fix insufficient array space (bsc#1012628).
  - perf test stat_all_pmu.sh: Correctly check 'perf stat' result
    (bsc#1012628).
  - kernel/events/uprobes: handle device-exclusive entries correctly
    in __replace_page() (bsc#1012628).
  - kexec: initialize ELF lowest address to ULONG_MAX (bsc#1012628).
  - ocfs2: validate l_tree_depth to avoid out-of-bounds access
    (bsc#1012628).
  - reboot: replace __hw_protection_shutdown bool action parameter
    with an enum (bsc#1012628).
  - reboot: reboot, not shutdown, on hw_protection_reboot timeout
    (bsc#1012628).
  - arch/powerpc: drop GENERIC_PTDUMP from mpc885_ads_defconfig
    (bsc#1012628).
  - writeback: let trace_balance_dirty_pages() take struct dtc as
    parameter (bsc#1012628).
  - writeback: fix calculations in trace_balance_dirty_pages()
    for cgwb (bsc#1012628).
  - scripts/gdb/linux/symbols.py: address changes to
    module_sect_attrs (bsc#1012628).
  - NFSv4: Don't trigger uneccessary scans for return-on-close
    delegations (bsc#1012628).
  - NFSv4: Avoid unnecessary scans of filesystems for returning
    delegations (bsc#1012628).
  - NFSv4: Avoid unnecessary scans of filesystems for expired
    delegations (bsc#1012628).
  - NFSv4: Avoid unnecessary scans of filesystems for delayed
    delegations (bsc#1012628).
  - NFS: fix open_owner_id_maxsz and related fields (bsc#1012628).
  - fuse: fix dax truncate/punch_hole fault path (bsc#1012628).
  - selftests/mm/cow: fix the incorrect error handling
    (bsc#1012628).
  - um: Pass the correct Rust target and options with gcc
    (bsc#1012628).
  - um: remove copy_from_kernel_nofault_allowed (bsc#1012628).
  - um: hostfs: avoid issues on inode number reuse by host
    (bsc#1012628).
  - i3c: master: svc: Fix missing the IBI rules (bsc#1012628).
  - perf python: Fixup description of sample.id event member
    (bsc#1012628).
  - perf python: Decrement the refcount of just created event on
    failure (bsc#1012628).
  - perf python: Don't keep a raw_data pointer to consumed ring
    buffer space (bsc#1012628).
  - perf python: Check if there is space to copy all the event
    (bsc#1012628).
  - perf dso: fix dso__is_kallsyms() check (bsc#1012628).
  - perf: intel-tpebs: Fix incorrect usage of zfree() (bsc#1012628).
  - perf pmu: Handle memory failure in tool_pmu__new()
    (bsc#1012628).
  - staging: rtl8723bs: select CONFIG_CRYPTO_LIB_AES (bsc#1012628).
  - staging: vchiq_arm: Register debugfs after cdev (bsc#1012628).
  - staging: vchiq_arm: Fix possible NPR of keep-alive thread
    (bsc#1012628).
  - staging: vchiq_arm: Stop kthreads if vchiq cdev register fails
    (bsc#1012628).
  - tty: n_tty: use uint for space returned by tty_write_room()
    (bsc#1012628).
  - perf vendor events arm64 AmpereOneX: Fix frontend_bound
    calculation (bsc#1012628).
  - fs/procfs: fix the comment above proc_pid_wchan() (bsc#1012628).
  - perf tools: Fix is_compat_mode build break in ppc64
    (bsc#1012628).
  - perf tools: annotate asm_pure_loop.S (bsc#1012628).
  - perf bpf-filter: Fix a parsing error with comma (bsc#1012628).
  - objtool: Handle various symbol types of rodata (bsc#1012628).
  - objtool: Handle different entry size of rodata (bsc#1012628).
  - objtool: Handle PC relative relocation type (bsc#1012628).
  - objtool: Fix detection of consecutive jump tables on Clang 20
    (bsc#1012628).
  - thermal: core: Remove duplicate struct declaration
    (bsc#1012628).
  - objtool, spi: amd: Fix out-of-bounds stack access in
    amd_set_spi_freq() (bsc#1012628).
  - objtool, nvmet: Fix out-of-bounds stack access in
    nvmet_ctrl_state_show() (bsc#1012628).
  - objtool, media: dib8000: Prevent divide-by-zero in
    dib8000_set_dds() (bsc#1012628).
  - NFS: Shut down the nfs_client only after all the superblocks
    (bsc#1012628).
  - smb: client: Fix netns refcount imbalance causing leaks and
    use-after-free (bsc#1012628).
  - exfat: fix the infinite loop in exfat_find_last_cluster()
    (bsc#1012628).
  - exfat: fix missing shutdown check (bsc#1012628).
  - rtnetlink: Allocate vfinfo size for VF GUIDs when supported
    (bsc#1012628).
  - rndis_host: Flag RNDIS modems as WWAN devices (bsc#1012628).
  - ksmbd: use aead_request_free to match aead_request_alloc
    (bsc#1012628).
  - ksmbd: fix multichannel connection failure (bsc#1012628).
  - ksmbd: fix r_count dec/increment mismatch (bsc#1012628).
  - net/mlx5e: SHAMPO, Make reserved size independent of page size
    (bsc#1012628).
  - ring-buffer: Fix bytes_dropped calculation issue (bsc#1012628).
  - objtool: Fix segfault in ignore_unreachable_insn()
    (bsc#1012628).
  - LoongArch: Fix help text of CMDLINE_EXTEND in Kconfig
    (bsc#1012628).
  - LoongArch: Fix device node refcount leak in fdt_cpu_clk_init()
    (bsc#1012628).
  - LoongArch: Rework the arch_kgdb_breakpoint() implementation
    (bsc#1012628).
  - ACPI: processor: idle: Return an error if both P_LVL{2,3}
    idle states are invalid (bsc#1012628).
  - net: phy: broadcom: Correct BCM5221 PHY model detection
    (bsc#1012628).
  - octeontx2-af: Fix mbox INTR handler when num VFs > 64
    (bsc#1012628).
  - octeontx2-af: Free NIX_AF_INT_VEC_GEN irq (bsc#1012628).
  - objtool: Fix verbose disassembly if CROSS_COMPILE isn't set
    (bsc#1012628).
  - sched/smt: Always inline sched_smt_active() (bsc#1012628).
  - context_tracking: Always inline ct_{nmi,irq}_{enter,exit}()
    (bsc#1012628).
  - rcu-tasks: Always inline rcu_irq_work_resched() (bsc#1012628).
  - objtool/loongarch: Add unwind hints in prepare_frametrace()
    (bsc#1012628).
  - nfs: Add missing release on error in
    nfs_lock_and_join_requests() (bsc#1012628).
  - rtc: renesas-rtca3: Disable interrupts only if the RTC is
    enabled (bsc#1012628).
  - spufs: fix a leak on spufs_new_file() failure (bsc#1012628).
  - spufs: fix gang directory lifetimes (bsc#1012628).
  - spufs: fix a leak in spufs_create_context() (bsc#1012628).
  - fs/9p: fix NULL pointer dereference on mkdir (bsc#1012628).
  - riscv: ftrace: Add parentheses in macro definitions of
    make_call_t0 and make_call_ra (bsc#1012628).
  - riscv: Fix the __riscv_copy_vec_words_unaligned implementation
    (bsc#1012628).
  - riscv: Fix missing __free_pages() in
    check_vector_unaligned_access() (bsc#1012628).
  - riscv: fgraph: Select HAVE_FUNCTION_GRAPH_TRACER depends on
    HAVE_DYNAMIC_FTRACE_WITH_ARGS (bsc#1012628).
  - ntb_hw_switchtec: Fix shift-out-of-bounds in
    switchtec_ntb_mw_set_trans (bsc#1012628).
  - ntb: intel: Fix using link status DB's (bsc#1012628).
  - riscv: fgraph: Fix stack layout to match __arch_ftrace_regs
    argument of ftrace_return_to_handler (bsc#1012628).
  - riscv: Annotate unaligned access init functions (bsc#1012628).
  - riscv: Fix riscv_online_cpu_vec (bsc#1012628).
  - riscv: Fix check_unaligned_access_all_cpus (bsc#1012628).
  - riscv: Change check_unaligned_access_speed_all_cpus to void
    (bsc#1012628).
  - riscv: Fix set up of cpu hotplug callbacks (bsc#1012628).
  - riscv: Fix set up of vector cpu hotplug callback (bsc#1012628).
  - firmware: cs_dsp: Ensure cs_dsp_load[_coeff]() returns 0 on
    success (bsc#1012628).
  - ALSA: hda/realtek: Fix built-in mic breakage on ASUS VivoBook
    X515JA (bsc#1012628).
  - RISC-V: errata: Use medany for relocatable builds (bsc#1012628).
  - x86/uaccess: Improve performance by aligning writes to 8 bytes
    in copy_user_generic(), on non-FSRM/ERMS CPUs (bsc#1012628).
  - ublk: make sure ubq->canceling is set when queue is frozen
    (bsc#1012628).
  - s390/entry: Fix setting _CIF_MCCK_GUEST with lowcore relocation
    (bsc#1012628).
  - ASoC: codecs: rt5665: Fix some error handling paths in
    rt5665_probe() (bsc#1012628).
  - spi: cadence: Fix out-of-bounds array access in
    cdns_mrvl_xspi_setup_clock() (bsc#1012628).
  - riscv: Fix hugetlb retrieval of number of ptes in case of
    !present pte (bsc#1012628).
  - riscv/kexec_file: Handle R_RISCV_64 in purgatory relocator
    (bsc#1012628).
  - riscv/purgatory: 4B align purgatory_start (bsc#1012628).
  - nvme/ioctl: don't warn on vectorized uring_cmd with fixed buffer
    (bsc#1012628).
  - nvme-pci: skip nvme_write_sq_db on empty rqlist (bsc#1012628).
  - ASoC: imx-card: Add NULL check in imx_card_probe()
    (bsc#1012628).
  - spi: bcm2835: Do not call gpiod_put() on invalid descriptor
    (bsc#1012628).
  - ALSA: hda/realtek: Fix built-in mic on another ASUS VivoBook
    model (bsc#1012628).
  - spi: bcm2835: Restore native CS probing when pinctrl-bcm2835
    is absent (bsc#1012628).
  - xsk: Add launch time hardware offload support to XDP Tx metadata
    (bsc#1012628).
  - igc: Refactor empty frame insertion for launch time support
    (bsc#1012628).
  - igc: Add launch time support to XDP ZC (bsc#1012628).
  - igc: Fix TX drops in XDP ZC (bsc#1012628).
  - e1000e: change k1 configuration on MTP and later platforms
    (bsc#1012628).
  - ixgbe: fix media type detection for E610 device (bsc#1012628).
  - idpf: fix adapter NULL pointer dereference on reboot
    (bsc#1012628).
  - netfilter: nft_set_hash: GC reaps elements with conncount for
    dynamic sets only (bsc#1012628).
  - netfilter: nf_tables: don't unregister hook when table is
    dormant (bsc#1012628).
  - netlabel: Fix NULL pointer exception caused by CALIPSO on IPv4
    sockets (bsc#1012628).
  - net_sched: skbprio: Remove overly strict queue assertions
    (bsc#1012628).
  - sctp: add mutual exclusion in proc_sctp_do_udp_port()
    (bsc#1012628).
  - net: airoha: Fix qid report in
    airoha_tc_get_htb_get_leaf_queue() (bsc#1012628).
  - net: airoha: Fix ETS priomap validation (bsc#1012628).
  - net: mvpp2: Prevent parser TCAM memory corruption (bsc#1012628).
  - rtnetlink: Use register_pernet_subsys() in rtnl_net_debug_init()
    (bsc#1012628).
  - udp: Fix multiple wraparounds of sk->sk_rmem_alloc
    (bsc#1012628).
  - udp: Fix memory accounting leak (bsc#1012628).
  - vsock: avoid timeout during connect() if the socket is closing
    (bsc#1012628).
  - tunnels: Accept PACKET_HOST in skb_tunnel_check_pmtu()
    (bsc#1012628).
  - xsk: Fix __xsk_generic_xmit() error code when cq is full
    (bsc#1012628).
  - net: decrease cached dst counters in dst_release (bsc#1012628).
  - netfilter: nft_tunnel: fix geneve_opt type confusion addition
    (bsc#1012628).
  - sfc: rip out MDIO support (bsc#1012628).
  - sfc: fix NULL dereferences in ef100_process_design_param()
    (bsc#1012628).
  - ipv6: fix omitted netlink attributes when using
    RTEXT_FILTER_SKIP_STATS (bsc#1012628).
  - net: dsa: mv88e6xxx: propperly shutdown PPU re-enable timer
    on destroy (bsc#1012628).
  - net: fix geneve_opt length integer overflow (bsc#1012628).
  - ipv6: Start path selection from the first nexthop (bsc#1012628).
  - ipv6: Do not consider link down nexthops in path selection
    (bsc#1012628).
  - arcnet: Add NULL check in com20020pci_probe() (bsc#1012628).
  - net: ibmveth: make veth_pool_store stop hanging (bsc#1012628).
  - netlink: specs: rt_route: pull the ifa- prefix out of the names
    (bsc#1012628).
  - tools/power turbostat: Allow Zero return value for some RAPL
    registers (bsc#1012628).
  - kbuild: deb-pkg: don't set KBUILD_BUILD_VERSION unconditionally
    (bsc#1012628).
  - drm/xe: Fix unmet direct dependencies warning (bsc#1012628).
  - drm/amdgpu/gfx11: fix num_mec (bsc#1012628).
  - drm/amdgpu/gfx12: fix num_mec (bsc#1012628).
  - perf/core: Fix child_total_time_enabled accounting bug at task
    exit (bsc#1012628).
  - tools/power turbostat: report CoreThr per measurement interval
    (bsc#1012628).
  - tools/power turbostat: Restore GFX sysfs fflush() call
    (bsc#1012628).
  - staging: gpib: ni_usb console messaging cleanup (bsc#1012628).
  - staging: gpib: Fix Oops after disconnect in ni_usb
    (bsc#1012628).
  - staging: gpib: agilent usb console messaging cleanup
    (bsc#1012628).
  - staging: gpib: Fix Oops after disconnect in agilent usb
    (bsc#1012628).
  - tty: serial: fsl_lpuart: Use u32 and u8 for register variables
    (bsc#1012628).
  - tty: serial: fsl_lpuart: use port struct directly to simply code
    (bsc#1012628).
  - tty: serial: fsl_lpuart: Fix unused variable 'sport' build
    warning (bsc#1012628).
  - tty: serial: lpuart: only disable CTS instead of overwriting
    the whole UARTMODIR register (bsc#1012628).
  - usbnet:fix NPE during rx_complete (bsc#1012628).
  - rust: Fix enabling Rust and building with GCC for LoongArch
    (bsc#1012628).
  - LoongArch: Increase ARCH_DMA_MINALIGN up to 16 (bsc#1012628).
  - LoongArch: Increase MAX_IO_PICS up to 8 (bsc#1012628).
  - LoongArch: BPF: Fix off-by-one error in build_prologue()
    (bsc#1012628).
  - LoongArch: BPF: Don't override subprog's return value
    (bsc#1012628).
  - LoongArch: BPF: Use move_addr() for BPF_PSEUDO_FUNC
    (bsc#1012628).
  - x86/hyperv: Fix check of return value from snp_set_vmsa()
    (bsc#1012628).
  - KVM: x86: block KVM_CAP_SYNC_REGS if guest state is protected
    (bsc#1012628).
  - x86/microcode/AMD: Fix __apply_microcode_amd()'s return value
    (bsc#1012628).
  - x86/mce: use is_copy_from_user() to determine copy-from-user
    context (bsc#1012628).
  - x86/paravirt: Move halt paravirt calls under CONFIG_PARAVIRT
    (bsc#1012628).
  - x86/tdx: Fix arch_safe_halt() execution for TDX VMs
    (bsc#1012628).
  - ACPI: x86: Extend Lenovo Yoga Tab 3 quirk with skip GPIO
    event-handlers (bsc#1012628).
  - platform/x86: thinkpad_acpi: disable ACPI fan access for T495*
    and E560 (bsc#1012628).
  - platform/x86: ISST: Correct command storage data length
    (bsc#1012628).
  - ntb_perf: Delete duplicate dmaengine_unmap_put() call in
    perf_copy_chunk() (bsc#1012628).
  - perf/x86/intel: Apply static call for drain_pebs (bsc#1012628).
  - perf/x86/intel: Avoid disable PMU if !cpuc->enabled in sample
    read (bsc#1012628).
  - uprobes/x86: Harden uretprobe syscall trampoline check
    (bsc#1012628).
  - bcachefs: bch2_ioctl_subvolume_destroy() fixes (bsc#1012628).
  - x86/Kconfig: Add cmpxchg8b support back to Geode CPUs
    (bsc#1012628).
  - x86/tsc: Always save/restore TSC sched_clock() on suspend/resume
    (bsc#1012628).
  - x86/mm: Fix flush_tlb_range() when used for zapping normal PMDs
    (bsc#1012628).
  - ACPI: platform-profile: Fix CFI violation when accessing sysfs
    files (bsc#1012628).
  - wifi: mt76: mt7925: remove unused acpi function for clc
    (bsc#1012628).
  - acpi: nfit: fix narrowing conversion in acpi_nfit_ctl
    (bsc#1012628).
  - ACPI: resource: Skip IRQ override on ASUS Vivobook 14 X1404VAP
    (bsc#1012628).
  - ACPI: video: Handle fetching EDID as ACPI_TYPE_PACKAGE
    (bsc#1012628).
  - ARM: 9443/1: Require linker to support KEEP within OVERLAY
    for DCE (bsc#1012628).
  - ARM: 9444/1: add KEEP() keyword to ARM_VECTORS (bsc#1012628).
  - media: omap3isp: Handle ARM dma_iommu_mapping (bsc#1012628).
  - Remove unnecessary firmware version check for gc v9_4_2
    (bsc#1012628).
  - mmc: omap: Fix memory leak in mmc_omap_new_slot (bsc#1012628).
  - mmc: sdhci-pxav3: set NEED_RSP_BUSY capability (bsc#1012628).
  - mmc: sdhci-omap: Disable MMC_CAP_AGGRESSIVE_PM for eMMC/SD
    (bsc#1012628).
  - KVM: SVM: Don't change target vCPU state on AP Creation VMGEXIT
    error (bsc#1012628).
  - ksmbd: add bounds check for durable handle context
    (bsc#1012628).
  - ksmbd: add bounds check for create lease context (bsc#1012628).
  - ksmbd: fix use-after-free in ksmbd_sessions_deregister()
    (bsc#1012628).
  - ksmbd: fix session use-after-free in multichannel connection
    (bsc#1012628).
  - ksmbd: fix overflow in dacloffset bounds check (bsc#1012628).
  - ksmbd: validate zero num_subauth before sub_auth is accessed
    (bsc#1012628).
  - ksmbd: fix null pointer dereference in alloc_preauth_hash()
    (bsc#1012628).
  - exfat: fix random stack corruption after get_block
    (bsc#1012628).
  - exfat: fix potential wrong error return from get_block
    (bsc#1012628).
  - tracing: Fix use-after-free in print_graph_function_flags
    during tracer switching (bsc#1012628).
  - tracing: Ensure module defining synth event cannot be unloaded
    while tracing (bsc#1012628).
  - tracing: Fix synth event printk format for str fields
    (bsc#1012628).
  - tracing/osnoise: Fix possible recursive locking for
    cpus_read_lock() (bsc#1012628).
  - tracing: Verify event formats that have "%*p.." (bsc#1012628).
  - mm/gup: reject FOLL_SPLIT_PMD with hugetlb VMAs (bsc#1012628).
  - arm64: Don't call NULL in do_compat_alignment_fixup()
    (bsc#1012628).
  - wifi: mt76: mt7921: fix kernel panic due to null pointer
    dereference (bsc#1012628).
  - ext4: don't over-report free space or inodes in statvfs
    (bsc#1012628).
  - ext4: fix OOB read when checking dotdot dir (bsc#1012628).
  - PCI/bwctrl: Fix NULL pointer dereference on bus number
    exhaustion (bsc#1012628).
  - jfs: fix slab-out-of-bounds read in ea_get() (bsc#1012628).
  - jfs: add index corruption check to DT_GETPAGE() (bsc#1012628).
  - mm: zswap: fix crypto_free_acomp() deadlock in
    zswap_cpu_comp_dead() (bsc#1012628).
  - exec: fix the racy usage of fs_struct->in_exec (bsc#1012628).
  - media: vimc: skip .s_stream() for stopped entities
    (bsc#1012628).
  - media: streamzap: fix race between device disconnection and
    urb callback (bsc#1012628).
  - nfsd: don't ignore the return code of svc_proc_register()
    (bsc#1012628).
  - nfsd: allow SC_STATUS_FREEABLE when searching via
    nfs4_lookup_stateid() (bsc#1012628).
  - nfsd: put dl_stid if fail to queue dl_recall (bsc#1012628).
  - NFSD: Add a Kconfig setting to enable delegated timestamps
    (bsc#1012628).
  - nfsd: fix management of listener transports (bsc#1012628).
  - NFSD: nfsd_unlink() clobbers non-zero status returned from
    fh_fill_pre_attrs() (bsc#1012628).
  - NFSD: Never return NFS4ERR_FILE_OPEN when removing a directory
    (bsc#1012628).
  - NFSD: Skip sending CB_RECALL_ANY when the backchannel isn't up
    (bsc#1012628).
  - perf pmu: Rename name matching for no suffix or wildcard
    variants (bsc#1012628).
  - include/{topology,cpuset}: Move dl_rebuild_rd_accounting to
    cpuset.h (bsc#1012628).
  - tracing: Do not use PERF enums when perf is not defined
    (bsc#1012628).
  - ASoC: mediatek: mt6359: Fix DT parse error due to wrong child
    node name (bsc#1012628).
  - Update config files.
  - Rename to
    patches.kernel.org/6.14.2-013-EDAC-igen6-Fix-the-flood-of-invalid-error-repo.patch.
  - Rename to
    patches.kernel.org/6.14.2-257-Bluetooth-hci_event-Fix-handling-of-HCI_EV_LE_.patch.
  - Rename to
    patches.kernel.org/6.14.2-281-PCI-Use-downstream-bridges-for-distributing-re.patch.
  - commit b659bfa
* Thu Apr 10 2025 jslaby@suse.cz
  - x86/cpu: Avoid running off the end of an AMD erratum table
    (OOB-access-fix).
  - compiler.h: Avoid the usage of __typeof_unqual__() when
    __GENKSYMS__ is defined (KMP-build-fix).
  - x86/cpu: Avoid running off the end of an AMD erratum table
    (OOB-access-fix).
  - commit 1760c77
* Wed Apr 09 2025 jslaby@suse.cz
  - wifi: ath11k: fix memory leak in ath11k_xxx_remove()
    (git-fixes).
  - Refresh
    patches.suse/Reapply-wifi-ath11k-restore-country-code-during-resu.patch.
  - Refresh
    patches.suse/wifi-ath11k-choose-default-PM-policy-for-hibernation.patch.
  - Refresh
    patches.suse/wifi-ath11k-determine-PM-policy-based-on-machine-mod.patch.
  - Refresh
    patches.suse/wifi-ath11k-introduce-ath11k_core_continue_suspend_r.patch.
  - Refresh
    patches.suse/wifi-ath11k-refactor-ath11k_core_suspend-_resume.patch.
  - Refresh
    patches.suse/wifi-ath11k-support-non-WoWLAN-mode-suspend-as-well.patch.
    Update upstream status.
  - commit 1b560ef
* Wed Apr 09 2025 jslaby@suse.cz
  - rpm/check-for-config-changes: add LD_CAN_ to IGNORED_CONFIGS_RE
    We now have LD_CAN_USE_KEEP_IN_OVERLAY since commit:
    e7607f7d6d81 ARM: 9443/1: Require linker to support KEEP within OVERLAY for DCE
  - commit 7b55ff2
* Tue Apr 08 2025 rbrown@suse.com
  - rpm/kernel-binary.spec.in: Use OrderWithRequires (boo#1228659 boo#1241038).
    OrderWithRequires was introduced in rpm 4.9 (ie. SLE12+) to allow
    a package to inform the order of installation of other package without
    hard requiring that package. This means our kernel-binary packages no
    longer need to hard require perl-Bootloader or dracut, resolving the
    long-commented issue there. This is also needed for udev & systemd-boot
    to ensure those packages are installed before being called by dracut
    (boo#1228659)
  - commit 634be2c
* Tue Apr 08 2025 tiwai@suse.de
  - Bluetooth: MGMT: Add LL Privacy Setting (bsc#1240857).
  - Bluetooth: hci_event: Fix handling of
    HCI_EV_LE_DIRECT_ADV_REPORT (bsc#1240857).
  - commit 99d8674
* Tue Apr 08 2025 jslaby@suse.cz
  - Refresh
    patches.suse/0001-net-introduce-OpenVPN-Data-Channel-Offload-ovpn.patch.
  - Refresh patches.suse/0002-ovpn-add-basic-netlink-support.patch.
  - Refresh
    patches.suse/0003-ovpn-add-basic-interface-creation-destruction-manage.patch.
  - Refresh
    patches.suse/0004-ovpn-keep-carrier-always-on-for-MP-interfaces.patch.
  - Refresh
    patches.suse/0005-ovpn-introduce-the-ovpn_peer-object.patch.
  - Refresh
    patches.suse/0006-ovpn-introduce-the-ovpn_socket-object.patch.
  - Refresh
    patches.suse/0007-ovpn-implement-basic-TX-path-UDP.patch.
  - Refresh
    patches.suse/0008-ovpn-implement-basic-RX-path-UDP.patch.
  - Refresh
    patches.suse/0009-ovpn-implement-packet-processing.patch.
  - Refresh
    patches.suse/0010-ovpn-store-tunnel-and-transport-statistics.patch.
  - Refresh patches.suse/0011-ovpn-implement-TCP-transport.patch.
  - Refresh
    patches.suse/0012-skb-implement-skb_send_sock_locked_with_flags.patch.
  - Refresh
    patches.suse/0013-ovpn-add-support-for-MSG_NOSIGNAL-in-tcp_sendmsg.patch.
  - Refresh
    patches.suse/0014-ovpn-implement-multi-peer-support.patch.
  - Refresh
    patches.suse/0015-ovpn-implement-peer-lookup-logic.patch.
  - Refresh
    patches.suse/0016-ovpn-implement-keepalive-mechanism.patch.
  - Refresh
    patches.suse/0017-ovpn-add-support-for-updating-local-or-remote-UDP-en.patch.
  - Refresh
    patches.suse/0018-ovpn-implement-peer-add-get-dump-delete-via-netlink.patch.
  - Refresh
    patches.suse/0019-ovpn-implement-key-add-get-del-swap-via-netlink.patch.
  - Refresh
    patches.suse/0020-ovpn-kill-key-and-notify-userspace-in-case-of-IV-exh.patch.
  - Refresh
    patches.suse/0021-ovpn-notify-userspace-when-a-peer-is-deleted.patch.
  - Refresh patches.suse/0022-ovpn-add-basic-ethtool-support.patch.
  - Refresh
    patches.suse/0023-testing-selftests-add-test-tool-and-scripts-for-ovpn.patch.
    Update to v25:
    https://lore.kernel.org/all/20250407-b4-ovpn-v25-1-a04eae86e016@openvpn.net/
  - commit a4d1b44
* Mon Apr 07 2025 tiwai@suse.de
  - EDAC/igen6: Fix the flood of invalid error reports
    (bsc#1240877).
  - commit 2d65b0b
* Mon Apr 07 2025 mkubecek@suse.cz
  - update to 6.15-rc1
  - drop 1 mainline patch
    - patches.suse/PCI-Use-downstream-bridges-for-distributing-resources.patch
  - update
    - patches.suse/crasher.patch (for 8fa7292fee5c)
  - refresh
    - patches.rpmify/btf-pahole-j1-option.patch
    - patches.suse/0001-security-lockdown-expose-a-hook-to-lock-the-kernel-down.patch
    - patches.suse/add-suse-supported-flag.patch
    - patches.suse/b43-missing-firmware-info.patch
    - patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch
    - patches.suse/kernel-add-release-status-to-kernel-build.patch
    - patches.suse/rpm-kernel-config
    - patches.suse/vfs-add-super_operations-get_inode_dev
    - patches.suse/wifi-ath11k-choose-default-PM-policy-for-hibernation.patch
    - patches.suse/wifi-ath11k-support-non-WoWLAN-mode-suspend-as-well.patch
  - disable ARM architectures (need config update)
  - new config options
    - Power management and ACPI options
      CPUFREQ_ARCH_CUR_FREQ=y
    - Memory Management options
      NO_PAGE_MAPCOUNT=n
    - File systems
      NFSD_V4_DELEG_TIMESTAMPS=y
    - Security options
      BIG_KEYS=y
      HARDENED_USERCOPY_DEFAULT_ON=n
    - Cryptographic API
      CRYPTO_KRB5ENC=m
      CRYPTO_KRB5=m
      CRYPTO_KRB5_SELFTESTS=n
    - Kernel hacking
      OBJTOOL_WERROR=n
      DEBUG_VFS=n
      DETECT_HUNG_TASK_BLOCKER=y
      RCU_TORTURE_TEST_LOG_GP=n
    - PCI support
      PCI_PWRCTL_SLOT=m
      CXL_FEATURES=y
      FWCTL=m
      FWCTL_MLX5=m
      FWCTL_PDS=m
    - Network device support
      MCTP_TRANSPORT_USB=m
      IWLMLD=m
      RTW88_8814AE=m
      RTW88_8814AU=m
    - Hardware Monitoring support
      SENSORS_HTU31=m
      SENSORS_INA233=m
    - Graphics support
      DRM_NOUVEAU_CH7006=m
      DRM_NOUVEAU_SIL164=m
      DRM_XE_DP_TUNNEL=y
      DRM_XE_DEVMEM_MIRROR=y
      DRM_APPLETBDRM=m
    - Sound card support
      SND_SOC_INTEL_AVS_MACH_PCM3168A=m
      SND_SOC_AW88166=n
    - HID bus support
      HID_APPLETB_BL=m
      HID_APPLETB_KBD=m
      HID_UNIVERSAL_PIDFF=m
    - EDAC (Error Detection And Correction) reporting
      EDAC_SCRUB=y
      EDAC_ECS=y
      EDAC_MEM_REPAIR=y
    - X86 Platform Specific Device Drivers
      ALIENWARE_WMI_LEGACY=y
      ALIENWARE_WMI_WMAX=y
      LENOVO_WMI_HOTKEY_UTILITIES=m
      SAMSUNG_GALAXYBOOK=m
    - Industrial I/O support
      AD4030=n
      AD4851=n
      AD7191=n
      TI_ADS7138=n
      ADIS16550=n
      AL3000A=n
      APDS9160=n
      SI7210=n
    - Misc devices
      SERIAL_8250_NI=y
      PPS_GENERATOR_TIO=m
      PINCTRL_AMDISP=m
      GPIO_74X164=m
      LENOVO_SE30_WDT=m
      MFD_MAX77705=n
      REGULATOR_PF9453=m
      CEC_NXP_TDA9950=m
      VIDEO_LT6911UXE=m
      TYPEC_MUX_PS883X=m
      MSHV_ROOT=m
    - OF dependent (i386, ppc64le, riscv64)
    - DRM_PANEL_RAYDIUM_RM67200=n
    - DRM_PANEL_SUMMIT=n
    - DRM_PANEL_VISIONOX_RM692E5=n
    - i386
    - DM_EBS=m
    - RDMA_RXE=m
    - RDMA_SIW=m
    - CRASH_DUMP=y
    - CRASH_HOTPLUG=y
    - CRASH_MAX_MEMORY_RANGES=8192
    - BOUNCE=y
    - PROC_VMCORE=y
    - PROC_VMCORE_DEVICE_DUMP=y
    - DEBUG_HIGHMEM=n
    - ppc64le
    - HTMDUMP=m
    - PPC_MICROWATT=n
    - s390x
    - FTRACE_SORT_STARTUP_TEST=n
    - STRICT_MM_TYPECHECKS=n
    - MSEAL_SYSTEM_MAPPINGS=n (zfcpdump only)
    - riscv64
    - RISCV_ISA_ZBKB=y
    - PCIE_DW_DEBUGFS=y
    - TH1520_AON_PROTOCOL=m
    - DWMAC_SOPHGO=m
    - I2C_K1=m
    - SPI_SG2044_NOR=m
    - PINCTRL_SOPHGO_SG2042=m
    - PINCTRL_SOPHGO_SG2044=m
    - PINCTRL_SUN55I_A523=y
    - PINCTRL_SUN55I_A523_R=y
    - RENESAS_RZV2HWDT=m
    - NIC7018_WDT=m
    - VIDEO_SYNOPSYS_HDMIRX=m
    - VIDEO_SYNOPSYS_HDMIRX_LOAD_DEFAULT_EDID=y
    - TH1520_PM_DOMAINS=m
    - PWM_SOPHGO_SG2042=m
    - SOPHGO_SG2042_MSI=y
  - commit e4c97fd
* Mon Apr 07 2025 jslaby@suse.cz
  - Refresh
    patches.suse/0001-net-introduce-OpenVPN-Data-Channel-Offload-ovpn.patch.
  - Refresh patches.suse/0002-ovpn-add-basic-netlink-support.patch.
  - Refresh
    patches.suse/0003-ovpn-add-basic-interface-creation-destruction-manage.patch.
  - Refresh
    patches.suse/0004-ovpn-keep-carrier-always-on-for-MP-interfaces.patch.
  - Refresh
    patches.suse/0005-ovpn-introduce-the-ovpn_peer-object.patch.
  - Refresh
    patches.suse/0006-ovpn-introduce-the-ovpn_socket-object.patch.
  - Refresh
    patches.suse/0007-ovpn-implement-basic-TX-path-UDP.patch.
  - Refresh
    patches.suse/0008-ovpn-implement-basic-RX-path-UDP.patch.
  - Refresh
    patches.suse/0009-ovpn-implement-packet-processing.patch.
  - Refresh
    patches.suse/0010-ovpn-store-tunnel-and-transport-statistics.patch.
  - Refresh patches.suse/0011-ovpn-implement-TCP-transport.patch.
  - Refresh
    patches.suse/0012-skb-implement-skb_send_sock_locked_with_flags.patch.
  - Refresh
    patches.suse/0013-ovpn-add-support-for-MSG_NOSIGNAL-in-tcp_sendmsg.patch.
  - Refresh
    patches.suse/0014-ovpn-implement-multi-peer-support.patch.
  - Refresh
    patches.suse/0015-ovpn-implement-peer-lookup-logic.patch.
  - Refresh
    patches.suse/0016-ovpn-implement-keepalive-mechanism.patch.
  - Refresh
    patches.suse/0017-ovpn-add-support-for-updating-local-or-remote-UDP-en.patch.
  - Refresh
    patches.suse/0018-ovpn-implement-peer-add-get-dump-delete-via-netlink.patch.
  - Refresh
    patches.suse/0019-ovpn-implement-key-add-get-del-swap-via-netlink.patch.
  - Refresh
    patches.suse/0020-ovpn-kill-key-and-notify-userspace-in-case-of-IV-exh.patch.
  - Refresh
    patches.suse/0021-ovpn-notify-userspace-when-a-peer-is-deleted.patch.
  - Refresh patches.suse/0022-ovpn-add-basic-ethtool-support.patch.
  - Refresh
    patches.suse/0023-testing-selftests-add-test-tool-and-scripts-for-ovpn.patch.
    Update to latest snapshot.
  - commit 21b612d
* Mon Apr 07 2025 jslaby@suse.cz
  - Linux 6.14.1 (bsc#1012628).
  - serial: 8250_dma: terminate correct DMA in tx_dma_flush()
    (bsc#1012628).
  - serial: stm32: do not deassert RS485 RTS GPIO prematurely
    (bsc#1012628).
  - perf tools: Fix up some comments and code to properly use the
    event_source bus (bsc#1012628).
  - memstick: rtsx_usb_ms: Fix slab-use-after-free in
    rtsx_usb_ms_drv_remove (bsc#1012628).
  - usb: xhci: Apply the link chain quirk on NEC isoc endpoints
    (bsc#1012628).
  - usb: xhci: Don't skip on Stopped - Length Invalid (bsc#1012628).
  - net: usb: usbnet: restore usb%d name exception for local mac
    addresses (bsc#1012628).
  - net: usb: qmi_wwan: add Telit Cinterion FE990B composition
    (bsc#1012628).
  - net: usb: qmi_wwan: add Telit Cinterion FN990B composition
    (bsc#1012628).
  - tty: serial: fsl_lpuart: disable transmitter before changing
    RS485 related registers (bsc#1012628).
  - tty: serial: 8250: Add Brainboxes XC devices (bsc#1012628).
  - tty: serial: 8250: Add some more device IDs (bsc#1012628).
  - counter: microchip-tcb-capture: Fix undefined counter channel
    state on probe (bsc#1012628).
  - counter: stm32-lptimer-cnt: fix error handling when enabling
    (bsc#1012628).
  - ALSA: hda/realtek: Bass speaker fixup for ASUS UM5606KA
    (bsc#1012628).
  - ALSA: hda/realtek: Support mute LED on HP Laptop 15s-du3xxx
    (bsc#1012628).
  - netfilter: socket: Lookup orig tuple for IPv6 SNAT
    (bsc#1012628).
  - cgroup/rstat: Fix forceidle time in cpu.stat (bsc#1012628).
  - atm: Fix NULL pointer dereference (bsc#1012628).
  - HID: hid-plantronics: Add mic mute mapping and generalize quirks
    (bsc#1012628).
  - ALSA: usb-audio: Add quirk for Plantronics headsets to fix
    control names (bsc#1012628).
  - commit ca98696
* Fri Apr 04 2025 msuchanek@suse.de
  - kernel-binary: Support livepatch_rt with merged RT branch
  - commit 470cd1a
* Thu Apr 03 2025 vkarasulli@suse.de
  - rpm/check-for-config-changes: ignore DRM_MSM_VALIDATE_XML
    This option is dynamically enabled to build-test different configurations.
    This makes run_oldconfig.sh complain sporadically for arm64.
  - commit 8fbe8b1
* Tue Apr 01 2025 msuchanek@suse.de
  - rpm/release-projects: Update the ALP projects again (bsc#1231293).
  - commit a2f9145
* Tue Apr 01 2025 msuchanek@suse.de
  - Update config files (bsc#1225561).
    kvmsmall: CONFIG_9P_FS=y
  - commit bc32872
* Mon Mar 31 2025 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream reference and move to sorted section
    - patches.suse/PCI-Use-downstream-bridges-for-distributing-resources.patch
  - commit 5e7754e
* Mon Mar 31 2025 tiwai@suse.de
  - Update config files: Enable CONFIG_FRAMEBUFFER_CONSOLE_DEFERRED_TAKEOVER (bsc#1237220)
  - commit 8f3a404
* Fri Mar 28 2025 msuchanek@suse.de
  - Update config files (bsc#1225561).
    kvmsmall: NVME_TARGET=m
  - commit ac8a4bc
* Fri Mar 28 2025 msuchanek@suse.de
  - Update config files (bsc#1225561).
    kvmsmall: CONFIG_DUMMY=m
  - commit f8502cb
* Fri Mar 28 2025 msuchanek@suse.de
  - Update config files.
  - commit 3291016
* Fri Mar 28 2025 tiwai@suse.de
  - Reapply "wifi: ath11k: restore country code during resume"
    (bsc#1207948).
  - wifi: ath11k: choose default PM policy for hibernation
    (bsc#1207948).
  - wifi: ath11k: support non-WoWLAN mode suspend as well
    (bsc#1207948).
  - wifi: ath11k: refactor ath11k_core_suspend/_resume()
    (bsc#1207948).
  - wifi: ath11k: introduce ath11k_core_continue_suspend_resume()
    (bsc#1207948).
  - wifi: ath11k: determine PM policy based on machine model
    (bsc#1207948).
  - commit cd433f2
* Tue Mar 25 2025 jlee@suse.com
  - lockdown: fix kernel lockdown enforcement issue when secure
    boot is enabled (bsc#1237521).
  - commit b6b752b
* Tue Mar 25 2025 jlee@suse.com
  - Delete
    patches.suse/0001-Lock-down-x86_64-kernel-in-secure-boot-mode-in-subsy.patch.
    Refresh
    patches.suse/0001-initcall_blacklist-Does-not-allow-kernel_lockdown-be.patch.
  - commit 947e19d
* Tue Mar 25 2025 jlee@suse.com
  - Delete
    patches.suse/0002-security-Add-a-kernel-lockdown-flag-for-early-boot-s.patch.
  - commit 65907e7
* Tue Mar 25 2025 jlee@suse.com
  - Delete
    patches.suse/0003-efi-Set-early-kernel-lock-down-flag-if-booted-in-sec.patch.
  - commit 73b42b9
* Tue Mar 25 2025 jlee@suse.com
  - Delete
    patches.suse/0004-ACPI-Check-early-kernel-lockdown-flag-before-overlay.patch.
  - commit 8d7f4bb
* Tue Mar 25 2025 jlee@suse.com
  - Delete
    patches.suse/0005-kgdb-Check-early-kernel-lockdown-flag-before-using-k.patch.
  - commit 6631e22
* Mon Mar 24 2025 mkubecek@suse.cz
  - update to 6.14 final
  - drop mainline patch
    - patches.suse/drm-amd-display-Use-HW-lock-mgr-for-PSR1-when-only-o.patch (acbf16a6ae77)
  - refresh configs (headers only)
  - commit c12fe2e
* Mon Mar 24 2025 tiwai@suse.de
  - rpm/kernel-binary.spec.in: Fix missing 20-kernel-default-extra.conf (bsc#1239986)
    sle_version was obsoleted for SLE16.  It has to be combined with
    suse_version check.
  - commit cbd5de3
* Mon Mar 24 2025 jslaby@suse.cz
  - Revert "Update config files. Disable OVPN temporarily."
    This reverts commit bca56f08ab2271cfe4d6ff271d57fb773cca295c.
    The issue has been fixed.
  - commit 7089702
* Mon Mar 24 2025 jslaby@suse.cz
  - Refresh
    patches.suse/drm-amd-display-Use-HW-lock-mgr-for-PSR1-when-only-o.patch.
    Update upstream status.
  - commit 3bfd214
* Mon Mar 24 2025 jslaby@suse.cz
  - Revert "gre: Fix IPv6 link-local address
    generation." (git-fixes).
  - commit 5d9714b
* Mon Mar 24 2025 jslaby@suse.cz
  - Linux 6.13.8 (bsc#1012628).
  - mm: fix kernel BUG when userfaultfd_move encounters swapcache
    (bsc#1012628).
  - userfaultfd: fix PTE unmapping stack-allocated PTE copies
    (bsc#1012628).
  - mm/slab/kvfree_rcu: Switch to WQ_MEM_RECLAIM wq (bsc#1012628).
  - virt: sev-guest: Move SNP Guest Request data pages handling
    under snp_cmd_mutex (bsc#1012628).
  - fbdev: hyperv_fb: iounmap() the correct memory when removing
    a device (bsc#1012628).
  - pinctrl: bcm281xx: Fix incorrect regmap max_registers value
    (bsc#1012628).
  - pinctrl: nuvoton: npcm8xx: Add NULL check in npcm8xx_gpio_fw
    (bsc#1012628).
  - netfilter: nft_ct: Use __refcount_inc() for per-CPU
    nft_ct_pcpu_template (bsc#1012628).
  - ice: do not configure destination override for switchdev
    (bsc#1012628).
  - ice: fix memory leak in aRFS after reset (bsc#1012628).
  - ice: Fix switchdev slow-path in LAG (bsc#1012628).
  - netfilter: nf_conncount: garbage collection is not skipped
    when jiffies wrap around (bsc#1012628).
  - netfilter: nf_tables: make destruction work queue pernet
    (bsc#1012628).
  - sched: address a potential NULL pointer dereference in the
    GRED scheduler (bsc#1012628).
  - wifi: iwlwifi: mvm: fix PNVM timeout for non-MSI-X platforms
    (bsc#1012628).
  - wifi: mac80211: don't queue sdata::work for a non-running sdata
    (bsc#1012628).
  - wifi: cfg80211: cancel wiphy_work before freeing wiphy
    (bsc#1012628).
  - Bluetooth: SCO: fix sco_conn refcounting on sco_conn_ready
    (bsc#1012628).
  - Bluetooth: hci_event: Fix enabling passive scanning
    (bsc#1012628).
  - Revert "Bluetooth: hci_core: Fix sleeping function called from
    invalid context" (bsc#1012628).
  - net/mlx5: Fill out devlink dev info only for PFs (bsc#1012628).
  - net: dsa: mv88e6xxx: Verify after ATU Load ops (bsc#1012628).
  - net: mctp i3c: Copy headers if cloned (bsc#1012628).
  - net: mctp i2c: Copy headers if cloned (bsc#1012628).
  - netpoll: hold rcu read lock in __netpoll_send_skb()
    (bsc#1012628).
  - drm/hyperv: Fix address space leak when Hyper-V DRM device is
    removed (bsc#1012628).
  - fbdev: hyperv_fb: Fix hang in kdump kernel when on Hyper-V
    Gen 2 VMs (bsc#1012628).
  - fbdev: hyperv_fb: Simplify hvfb_putmem (bsc#1012628).
  - fbdev: hyperv_fb: Allow graceful removal of framebuffer
    (bsc#1012628).
  - Drivers: hv: vmbus: Don't release fb_mmio resource in
    vmbus_free_mmio() (bsc#1012628).
  - net/mlx5: handle errors in mlx5_chains_create_table()
    (bsc#1012628).
  - eth: bnxt: fix truesize for mb-xdp-pass case (bsc#1012628).
  - eth: bnxt: return fail if interface is down in
    bnxt_queue_mem_alloc() (bsc#1012628).
  - eth: bnxt: do not use BNXT_VNIC_NTUPLE unconditionally in
    queue restart logic (bsc#1012628).
  - eth: bnxt: do not update checksum in bnxt_xdp_build_skb()
    (bsc#1012628).
  - eth: bnxt: fix kernel panic in the bnxt_get_queue_stats{rx |
    tx} (bsc#1012628).
  - eth: bnxt: fix memory leak in queue reset (bsc#1012628).
  - net: switchdev: Convert blocking notification chain to a raw
    one (bsc#1012628).
  - net: mctp: unshare packets when reassembling (bsc#1012628).
  - bonding: fix incorrect MAC address setting to receive NS
    messages (bsc#1012628).
  - selftests: bonding: fix incorrect mac address (bsc#1012628).
  - rtase: Fix improper release of ring list entries in
    rtase_sw_reset (bsc#1012628).
  - wifi: mac80211: fix MPDU length parsing for EHT 5/6 GHz
    (bsc#1012628).
  - netfilter: nf_conncount: Fully initialize struct
    nf_conncount_tuple in insert_tree() (bsc#1012628).
  - ipvs: prevent integer overflow in do_ip_vs_get_ctl()
    (bsc#1012628).
  - net_sched: Prevent creation of classes with TC_H_ROOT
    (bsc#1012628).
  - netfilter: nft_exthdr: fix offset with ipv4_find_option()
    (bsc#1012628).
  - gre: Fix IPv6 link-local address generation (bsc#1012628).
  - net: openvswitch: remove misbehaving actions length check
    (bsc#1012628).
  - Revert "openvswitch: switch to per-action label counting in
    conntrack" (bsc#1012628).
  - net/mlx5: HWS, Rightsize bwc matcher priority (bsc#1012628).
  - net/mlx5: Fix incorrect IRQ pool usage when releasing IRQs
    (bsc#1012628).
  - net/mlx5: Lag, Check shared fdb before creating MultiPort
    E-Switch (bsc#1012628).
  - net/mlx5: Bridge, fix the crash caused by LAG state check
    (bsc#1012628).
  - net/mlx5e: Prevent bridge link show failure for
    non-eswitch-allowed devices (bsc#1012628).
  - nvme-fc: go straight to connecting state when initializing
    (bsc#1012628).
  - nvme-fc: do not ignore connectivity loss during connecting
    (bsc#1012628).
  - hrtimers: Mark is_migration_base() with __always_inline
    (bsc#1012628).
  - powercap: call put_device() on an error path in
    powercap_register_control_type() (bsc#1012628).
  - btrfs: avoid starting new transaction when cleaning qgroup
    during subvolume drop (bsc#1012628).
  - futex: Pass in task to futex_queue() (bsc#1012628).
  - irqchip/riscv: Ensure ordering of memory writes and IPI writes
    (bsc#1012628).
  - iscsi_ibft: Fix UBSAN shift-out-of-bounds warning in
    ibft_attr_show_nic() (bsc#1012628).
  - sched/debug: Provide slice length for fair tasks (bsc#1012628).
  - platform/x86/intel: pmc: fix ltr decode in pmc_core_ltr_show()
    (bsc#1012628).
  - drm/amd/display: Fix out-of-bound accesses (bsc#1012628).
  - scsi: core: Use GFP_NOIO to avoid circular locking dependency
    (bsc#1012628).
  - scsi: ufs: core: Fix error return with query response
    (bsc#1012628).
  - scsi: qla1280: Fix kernel oops when debug level > 2
    (bsc#1012628).
  - kbuild: keep symbols for symbol_get() even with
    CONFIG_TRIM_UNUSED_KSYMS (bsc#1012628).
  - ACPI: resource: IRQ override for Eluktronics MECH-17
    (bsc#1012628).
  - smb: client: fix noisy when tree connecting to DFS interlink
    targets (bsc#1012628).
  - alpha/elf: Fix misc/setarch test of util-linux by removing
    32bit support (bsc#1012628).
  - vboxsf: fix building with GCC 15 (bsc#1012628).
  - selftests: always check mask returned by statmount(2)
    (bsc#1012628).
  - sched_ext: selftests/dsp_local_on: Fix sporadic failures
    (bsc#1012628).
  - HID: intel-ish-hid: fix the length of MNG_SYNC_FW_CLOCK in
    doorbell (bsc#1012628).
  - HID: intel-ish-hid: Send clock sync message immediately after
    reset (bsc#1012628).
  - HID: ignore non-functional sensor in HP 5MP Camera
    (bsc#1012628).
  - HID: hid-steam: Fix issues with disabling both gamepad mode
    and lizard mode (bsc#1012628).
  - usb: phy: generic: Use proper helper for property detection
    (bsc#1012628).
  - HID: intel-ish-hid: ipc: Add Panther Lake PCI device IDs
    (bsc#1012628).
  - HID: topre: Fix n-key rollover on Realforce R3S TKL boards
    (bsc#1012628).
  - selftests/cgroup: use bash in test_cpuset_v1_hp.sh
    (bsc#1012628).
  - HID: hid-apple: Apple Magic Keyboard a3203 USB-C support
    (bsc#1012628).
  - HID: apple: fix up the F6 key on the Omoton KB066 keyboard
    (bsc#1012628).
  - btrfs: fix two misuses of folio_shift() (bsc#1012628).
  - objtool: Ignore dangling jump table entries (bsc#1012628).
  - sched: Clarify wake_up_q()'s write to task->wake_q.next
    (bsc#1012628).
  - platform/x86: thinkpad_acpi: Fix invalid fan speed on ThinkPad
    X120e (bsc#1012628).
  - platform/x86: thinkpad_acpi: Support for V9 DYTC platform
    profiles (bsc#1012628).
  - platform/x86: int3472: Use correct type for "polarity", call
    it gpio_flags (bsc#1012628).
  - platform/x86: int3472: Call "reset" GPIO "enable" for INT347E
    (bsc#1012628).
  - s390/cio: Fix CHPID "configure" attribute caching (bsc#1012628).
  - thermal/cpufreq_cooling: Remove structure member documentation
    (bsc#1012628).
  - LoongArch: Fix kernel_page_present() for KPRANGE/XKPRANGE
    (bsc#1012628).
  - LoongArch: KVM: Set host with kernel mode when switch to VM mode
    (bsc#1012628).
  - arm64: amu: Delay allocating cpumask for AMU FIE support
    (bsc#1012628).
  - Xen/swiotlb: mark xen_swiotlb_fixup() __init (bsc#1012628).
  - Bluetooth: L2CAP: Fix slab-use-after-free Read in l2cap_send_cmd
    (bsc#1012628).
  - drm/tests: hdmi: Remove redundant assignments (bsc#1012628).
  - drm/tests: hdmi: Reorder DRM entities variables assignment
    (bsc#1012628).
  - drm/tests: hdmi: Fix recursive locking (bsc#1012628).
  - selftests/bpf: Adjust data size to have ETH_HLEN (bsc#1012628).
  - selftests/bpf: Fix invalid flag of recv() (bsc#1012628).
  - ASoC: Intel: sof_sdw: Add support for Fatcat board with BT
    offload enabled in PTL platform (bsc#1012628).
  - ASoC: Intel: soc-acpi-intel-mtl-match: declare adr as ull
    (bsc#1012628).
  - ASoC: simple-card-utils.c: add missing dlc->of_node
    (bsc#1012628).
  - ALSA: hda/realtek: Limit mic boost on Positivo ARN50
    (bsc#1012628).
  - ASoC: rsnd: indicate unsupported clock rate (bsc#1012628).
  - ASoC: rsnd: don't indicate warning on
    rsnd_kctrl_accept_runtime() (bsc#1012628).
  - ASoC: rsnd: adjust convert rate limitation (bsc#1012628).
  - ASoC: arizona/madera: use fsleep() in up/down DAPM event delays
    (bsc#1012628).
  - ASoC: SOF: Intel: hda: add softdep pre to snd-hda-codec-hdmi
    module (bsc#1012628).
  - PCI: pci_ids: add INTEL_HDA_PTL_H (bsc#1012628).
  - ALSA: hda: intel-dsp-config: Add PTL-H support (bsc#1012628).
  - ASoC: SOF: Intel: pci-ptl: Add support for PTL-H (bsc#1012628).
  - ALSA: hda: hda-intel: add Panther Lake-H support (bsc#1012628).
  - ASoC: SOF: amd: Add post_fw_run_delay ACP quirk (bsc#1012628).
  - ASoC: SOF: amd: Handle IPC replies before FW_BOOT_COMPLETE
    (bsc#1012628).
  - net: wwan: mhi_wwan_mbim: Silence sequence number glitch errors
    (bsc#1012628).
  - io-wq: backoff when retrying worker creation (bsc#1012628).
  - nvme-pci: quirk Acer FA100 for non-uniqueue identifiers
    (bsc#1012628).
  - nvmet-rdma: recheck queue state is LIVE in state lock in recv
    done (bsc#1012628).
  - apple-nvme: Release power domains when probe fails
    (bsc#1012628).
  - drm/xe: Make GUC binaries dump consistent with other binaries
    in devcoredump (bsc#1012628).
  - cifs: Throw -EOPNOTSUPP error on unsupported reparse point
    type from parse_reparse_point() (bsc#1012628).
  - cifs: Treat unhandled directory name surrogate reparse points
    as mount directory nodes (bsc#1012628).
  - sctp: Fix undefined behavior in left shift operation
    (bsc#1012628).
  - nvme: only allow entering LIVE from CONNECTING state
    (bsc#1012628).
  - phy: ti: gmii-sel: Do not use syscon helper to build regmap
    (bsc#1012628).
  - ASoC: tas2770: Fix volume scale (bsc#1012628).
  - ASoC: tas2764: Fix power control mask (bsc#1012628).
  - ASoC: tas2764: Set the SDOUT polarity correctly (bsc#1012628).
  - fuse: don't truncate cached, mutated symlink (bsc#1012628).
  - ASoC: dapm-graph: set fill colour of turned on nodes
    (bsc#1012628).
  - ASoC: SOF: Intel: don't check number of sdw links when set
    dmic_fixup (bsc#1012628).
  - drm/vkms: Round fixp2int conversion in lerp_u16 (bsc#1012628).
  - perf/x86/intel: Use better start period for frequency mode
    (bsc#1012628).
  - x86/of: Don't use DTB for SMP setup if ACPI is enabled
    (bsc#1012628).
  - x86/irq: Define trace events conditionally (bsc#1012628).
  - perf/x86/rapl: Add support for Intel Arrow Lake U (bsc#1012628).
  - mptcp: safety check before fallback (bsc#1012628).
  - drm/nouveau: Do not override forced connector status
    (bsc#1012628).
  - net: Handle napi_schedule() calls from non-interrupt
    (bsc#1012628).
  - block: fix 'kmem_cache of name 'bio-108' already exists'
    (bsc#1012628).
  - vhost: return task creation error instead of NULL (bsc#1012628).
  - Input: goodix-berlin - fix vddio regulator references
    (bsc#1012628).
  - Input: ads7846 - fix gpiod allocation (bsc#1012628).
  - Input: iqs7222 - preserve system status register (bsc#1012628).
  - Input: xpad - add 8BitDo SN30 Pro, Hyperkin X91 and Gamesir
    G7 SE controllers (bsc#1012628).
  - Input: xpad - add multiple supported devices (bsc#1012628).
  - Input: xpad - add support for ZOTAC Gaming Zone (bsc#1012628).
  - Input: xpad - add support for TECNO Pocket Go (bsc#1012628).
  - Input: xpad - rename QH controller to Legion Go S (bsc#1012628).
  - Input: i8042 - swap old quirk combination with new quirk for
    NHxxRZQ (bsc#1012628).
  - Input: i8042 - add required quirks for missing old boardnames
    (bsc#1012628).
  - Input: i8042 - swap old quirk combination with new quirk for
    several devices (bsc#1012628).
  - Input: i8042 - swap old quirk combination with new quirk for
    more devices (bsc#1012628).
  - usb: typec: tcpm: fix state transition for SNK_WAIT_CAPABILITIES
    state in run_state_machine() (bsc#1012628).
  - USB: serial: ftdi_sio: add support for Altera USB Blaster 3
    (bsc#1012628).
  - USB: serial: option: add Telit Cinterion FE990B compositions
    (bsc#1012628).
  - USB: serial: option: fix Telit Cinterion FE990A name
    (bsc#1012628).
  - USB: serial: option: match on interface class for Telit FN990B
    (bsc#1012628).
  - rust: lockdep: Remove support for dynamically allocated
    LockClassKeys (bsc#1012628).
  - rust: remove leftover mentions of the `alloc` crate
    (bsc#1012628).
  - rust: alloc: satisfy POSIX alignment requirement (bsc#1012628).
  - rust: Disallow BTF generation with Rust + LTO (bsc#1012628).
  - rust: init: fix `Zeroable` implementation for
    `Option<NonNull<T>>` and `Option<KBox<T>>` (bsc#1012628).
  - x86/microcode/AMD: Fix out-of-bounds on systems with CPU-less
    NUMA nodes (bsc#1012628).
  - spi: microchip-core: prevent RX overflows when transmit size >
    FIFO size (bsc#1012628).
  - drm/i915/cdclk: Do cdclk post plane programming later
    (bsc#1012628).
  - drm/panic: use `div_ceil` to clean Clippy warning (bsc#1012628).
  - drm/panic: fix overindented list items in documentation
    (bsc#1012628).
  - drm/atomic: Filter out redundant DPMS calls (bsc#1012628).
  - drm/dp_mst: Fix locking when skipping CSN before topology
    probing (bsc#1012628).
  - drm/amdgpu: NULL-check BO's backing store when determining
    GFX12 PTE flags (bsc#1012628).
  - drm/amd/amdkfd: Evict all queues even HWS remove queue failed
    (bsc#1012628).
  - drm/amdgpu/vce2: fix ip block reference (bsc#1012628).
  - drm/amdgpu/display: Allow DCC for video formats on GFX12
    (bsc#1012628).
  - drm/amd/display: Disable unneeded hpd interrupts during dm_init
    (bsc#1012628).
  - drm/amd/display: fix default brightness (bsc#1012628).
  - drm/amd/display: fix missing .is_two_pixels_per_container
    (bsc#1012628).
  - drm/amd/display: Restore correct backlight brightness after
    a GPU reset (bsc#1012628).
  - drm/amd/display: Assign normalized_pix_clk when color depth =
    14 (bsc#1012628).
  - drm/amd/display: Fix slab-use-after-free on hdcp_work
    (bsc#1012628).
  - ksmbd: fix use-after-free in ksmbd_free_work_struct
    (bsc#1012628).
  - ksmbd: prevent connection release during oplock break
    notification (bsc#1012628).
  - clk: samsung: update PLL locktime for PLL142XX used on FSD
    platform (bsc#1012628).
  - clk: samsung: gs101: fix synchronous external abort in
    samsung_clk_save() (bsc#1012628).
  - ASoC: tegra: Fix ADX S24_LE audio format (bsc#1012628).
  - ASoC: Intel: sof_sdw: Fix unlikely uninitialized variable use
    in create_sdw_dailinks() (bsc#1012628).
  - ASoC: amd: yc: Support mic on another Lenovo ThinkPad E16 Gen
    2 model (bsc#1012628).
  - netmem: prevent TX of unreadable skbs (bsc#1012628).
  - dm-flakey: Fix memory corruption in optional corrupt_bio_byte
    feature (bsc#1012628).
  - arm64: mm: Populate vmemmap at the page level if not section
    aligned (bsc#1012628).
  - Fix mmu notifiers for range-based invalidates (bsc#1012628).
  - qlcnic: fix memory leak issues in qlcnic_sriov_common.c
    (bsc#1012628).
  - smb: client: fix regression with guest option (bsc#1012628).
  - net: mana: cleanup mana struct after debugfs_remove()
    (bsc#1012628).
  - net: phy: nxp-c45-tja11xx: add TJA112X PHY configuration errata
    (bsc#1012628).
  - net: phy: nxp-c45-tja11xx: add TJA112XB SGMII PCS restart errata
    (bsc#1012628).
  - sched_ext: Validate prev_cpu in scx_bpf_select_cpu_dfl()
    (bsc#1012628).
  - ASoC: ops: Consistently treat platform_max as control value
    (bsc#1012628).
  - rust: error: add missing newline to pr_warn! calls
    (bsc#1012628).
  - drm/gma500: Add NULL check for pci_gfx_root in
    mid_get_vbt_data() (bsc#1012628).
  - ASoC: cs42l43: Fix maximum ADC Volume (bsc#1012628).
  - rust: init: add missing newline to pr_info! calls (bsc#1012628).
  - ASoC: rt722-sdca: add missing readable registers (bsc#1012628).
  - drm/xe: cancel pending job timer before freeing scheduler
    (bsc#1012628).
  - drm/xe: Release guc ids before cancelling work (bsc#1012628).
  - drm/xe/userptr: Fix an incorrect assert (bsc#1012628).
  - drm/xe/pm: Temporarily disable D3Cold on BMG (bsc#1012628).
  - nvme: move error logging from nvme_end_req() to __nvme_end_req()
    (bsc#1012628).
  - ASoC: codecs: wm0010: Fix error handling path in
    wm0010_spi_probe() (bsc#1012628).
  - drm/i915: Increase I915_PARAM_MMAP_GTT_VERSION version to
    indicate support for partial mmaps (bsc#1012628).
  - scripts: generate_rust_analyzer: add missing macros deps
    (bsc#1012628).
  - scripts: generate_rust_analyzer: add missing include_dirs
    (bsc#1012628).
  - scripts: generate_rust_analyzer: add uapi crate (bsc#1012628).
  - block: change blk_mq_add_to_batch() third argument type to bool
    (bsc#1012628).
  - gpio: cdev: use raw notifier for line state events
    (bsc#1012628).
  - cifs: Fix integer overflow while processing acregmax mount
    option (bsc#1012628).
  - cifs: Fix integer overflow while processing acdirmax mount
    option (bsc#1012628).
  - cifs: Fix integer overflow while processing actimeo mount option
    (bsc#1012628).
  - cifs: Fix integer overflow while processing closetimeo mount
    option (bsc#1012628).
  - x86/vmware: Parse MP tables for SEV-SNP enabled guests under
    VMware hypervisors (bsc#1012628).
  - i2c: ali1535: Fix an error handling path in ali1535_probe()
    (bsc#1012628).
  - i2c: ali15x3: Fix an error handling path in ali15x3_probe()
    (bsc#1012628).
  - i2c: sis630: Fix an error handling path in sis630_probe()
    (bsc#1012628).
  - mm/hugetlb: wait for hugetlb folios to be freed (bsc#1012628).
  - smb3: add support for IAKerb (bsc#1012628).
  - smb: client: Fix match_session bug preventing session reuse
    (bsc#1012628).
  - sched_ext: selftests/dsp_local_on: Fix selftest on UP systems
    (bsc#1012628).
  - tools/sched_ext: Add helper to check task migration state
    (bsc#1012628).
  - drm/xe/guc: Fix size_t print format (bsc#1012628).
  - Bluetooth: L2CAP: Fix corrupted list in hci_chan_del
    (bsc#1012628).
  - nvme-fc: rely on state transitions to handle connectivity loss
    (bsc#1012628).
  - HID: apple: disable Fn key handling on the Omoton KB066
    (bsc#1012628).
  - fs/netfs/read_collect: add to next->prev_donated (bsc#1012628).
  - Rename to
    patches.kernel.org/6.13.8-106-ASoC-Intel-sof_sdw-Add-lookup-of-quirk-using-P.patch.
  - Rename to
    patches.kernel.org/6.13.8-107-ASoC-Intel-sof_sdw-Add-quirk-for-Asus-Zenbook-.patch.
  - commit 41f5788
* Fri Mar 21 2025 jslaby@suse.cz
  - Update config files. Disable OVPN temporarily.
    We need to wait for these fixes first:
    https://build.opensuse.org/request/show/1254601
    https://github.com/openSUSE/installation-images/pull/758
  - commit bca56f0
* Wed Mar 19 2025 jslaby@suse.cz
  - testing/selftests: add test tool and scripts for ovpn module
    (bsc#1239783).
  - ovpn: add basic ethtool support (bsc#1239783).
  - ovpn: notify userspace when a peer is deleted (bsc#1239783).
  - ovpn: kill key and notify userspace in case of IV exhaustion
    (bsc#1239783).
  - ovpn: implement key add/get/del/swap via netlink (bsc#1239783).
  - ovpn: implement peer add/get/dump/delete via netlink
    (bsc#1239783).
  - ovpn: add support for updating local or remote UDP endpoint
    (bsc#1239783).
  - ovpn: implement keepalive mechanism (bsc#1239783).
  - ovpn: implement peer lookup logic (bsc#1239783).
  - ovpn: implement multi-peer support (bsc#1239783).
  - ovpn: add support for MSG_NOSIGNAL in tcp_sendmsg (bsc#1239783).
  - skb: implement skb_send_sock_locked_with_flags() (bsc#1239783).
  - ovpn: implement TCP transport (bsc#1239783).
  - ovpn: store tunnel and transport statistics (bsc#1239783).
  - ovpn: implement packet processing (bsc#1239783).
  - ovpn: implement basic RX path (UDP) (bsc#1239783).
  - ovpn: implement basic TX path (UDP) (bsc#1239783).
  - ovpn: introduce the ovpn_socket object (bsc#1239783).
  - ovpn: introduce the ovpn_peer object (bsc#1239783).
  - ovpn: keep carrier always on for MP interfaces (bsc#1239783).
  - ovpn: add basic interface creation/destruction/management
    routines (bsc#1239783).
  - ovpn: add basic netlink support (bsc#1239783).
  - net: introduce OpenVPN Data Channel Offload (ovpn)
    (bsc#1239783).
  - Update config files.
  - commit 64754d8
* Mon Mar 17 2025 mkubecek@suse.cz
  - update to 6.14-rc7
  - update configs
    - BT_HCIBTUSB_AUTO_ISOC_ALT=y (=n on ppc64le and riscv64)
    - PINCTRL_SPACEMIT_K1=n (=m no longer possible)
  - commit 8241837
* Fri Mar 14 2025 mkubecek@suse.cz
  - series.conf: cleanup
  - move unsortable patch to correct section
    - patches.suse/powerpc-boot-Fix-build-with-gcc-15.patch
  - commit 15ad9fd
* Fri Mar 14 2025 jslaby@suse.cz
  - Linux 6.13.7 (bsc#1012628).
  - x86/amd_nb: Use rdmsr_safe() in amd_get_mmconfig_range()
    (bsc#1012628).
  - rust: block: fix formatting in GenDisk doc (bsc#1012628).
  - cifs: Remove symlink member from cifs_open_info_data union
    (bsc#1012628).
  - smb311: failure to open files of length 1040 when mounting
    with SMB3.1.1 POSIX extensions (bsc#1012628).
  - loongarch: Use ASM_REACHABLE (bsc#1012628).
  - Revert "of: reserved-memory: Fix using wrong number of cells
    to get property 'alignment'" (bsc#1012628).
  - tracing: tprobe-events: Fix a memory leak when tprobe with
    $retval (bsc#1012628).
  - tracing: tprobe-events: Reject invalid tracepoint name
    (bsc#1012628).
  - stmmac: loongson: Pass correct arg to PCI function
    (bsc#1012628).
  - LoongArch: Convert unreachable() to BUG() (bsc#1012628).
  - LoongArch: Use polling play_dead() when resuming from
    hibernation (bsc#1012628).
  - LoongArch: Set hugetlb mmap base address aligned with pmd size
    (bsc#1012628).
  - LoongArch: Set max_pfn with the PFN of the last page
    (bsc#1012628).
  - LoongArch: KVM: Add interrupt checking for AVEC (bsc#1012628).
  - LoongArch: KVM: Reload guest CSR registers after sleep
    (bsc#1012628).
  - LoongArch: KVM: Fix GPA size issue about VM (bsc#1012628).
  - HID: appleir: Fix potential NULL dereference at raw event handle
    (bsc#1012628).
  - ksmbd: fix type confusion via race condition when using
    ipc_msg_send_request (bsc#1012628).
  - ksmbd: fix out-of-bounds in parse_sec_desc() (bsc#1012628).
  - ksmbd: fix use-after-free in smb2_lock (bsc#1012628).
  - ksmbd: fix bug on trap in smb2_lock (bsc#1012628).
  - gpio: rcar: Use raw_spinlock to protect register access
    (bsc#1012628).
  - gpio: aggregator: protect driver attr handlers against module
    unload (bsc#1012628).
  - ALSA: seq: Avoid module auto-load handling at event delivery
    (bsc#1012628).
  - ALSA: hda: intel: Add Dell ALC3271 to power_save denylist
    (bsc#1012628).
  - ALSA: hda/realtek - add supported Mic Mute LED for Lenovo
    platform (bsc#1012628).
  - ALSA: hda/realtek: update ALC222 depop optimize (bsc#1012628).
  - btrfs: zoned: fix extent range end unlock in cow_file_range()
    (bsc#1012628).
  - btrfs: fix a leaked chunk map issue in read_one_chunk()
    (bsc#1012628).
  - virt: sev-guest: Allocate request data dynamically
    (bsc#1012628).
  - hwmon: (peci/dimmtemp) Do not provide fake thresholds data
    (bsc#1012628).
  - drm/amd/display: Fix null check for pipe_ctx->plane_state in
    resource_build_scaling_params (bsc#1012628).
  - drm/amdkfd: Fix NULL Pointer Dereference in KFD queue
    (bsc#1012628).
  - drm/amd/pm: always allow ih interrupt from fw (bsc#1012628).
  - drm/imagination: avoid deadlock on fence release (bsc#1012628).
  - drm/imagination: Hold drm_gem_gpuva lock for unmap
    (bsc#1012628).
  - drm/imagination: only init job done fences once (bsc#1012628).
  - drm/radeon: Fix rs400_gpu_init for ATI mobility radeon Xpress
    200M (bsc#1012628).
  - Revert "mm/page_alloc.c: don't show protection in zone's
    - >lowmem_reserve[] for empty zone" (bsc#1012628).
  - Revert "selftests/mm: remove local __NR_* definitions"
    (bsc#1012628).
  - platform/x86: thinkpad_acpi: Add battery quirk for ThinkPad
    X131e (bsc#1012628).
  - x86/boot: Sanitize boot params before parsing command line
    (bsc#1012628).
  - x86/cacheinfo: Validate CPUID leaf 0x2 EDX output (bsc#1012628).
  - x86/cpu: Validate CPUID leaf 0x2 EDX output (bsc#1012628).
  - x86/cpu: Properly parse CPUID leaf 0x2 TLB descriptor 0x63
    (bsc#1012628).
  - drm/xe: Add staging tree for VM binds (bsc#1012628).
  - drm/xe/hmm: Style- and include fixes (bsc#1012628).
  - drm/xe/hmm: Don't dereference struct page pointers without
    notifier lock (bsc#1012628).
  - drm/xe/vm: Fix a misplaced #endif (bsc#1012628).
  - drm/xe/vm: Validate userptr during gpu vma prefetching
    (bsc#1012628).
  - mptcp: fix 'scheduling while atomic' in
    mptcp_pm_nl_append_new_local_addr (bsc#1012628).
  - drm/xe: Fix GT "for each engine" workarounds (bsc#1012628).
  - drm/xe: Fix fault mode invalidation with unbind (bsc#1012628).
  - drm/xe/userptr: properly setup pfn_flags_mask (bsc#1012628).
  - drm/xe/userptr: Unmap userptrs in the mmu notifier
    (bsc#1012628).
  - Bluetooth: Add check for mgmt_alloc_skb() in mgmt_remote_name()
    (bsc#1012628).
  - Bluetooth: Add check for mgmt_alloc_skb() in
    mgmt_device_connected() (bsc#1012628).
  - wifi: cfg80211: regulatory: improve invalid hints checking
    (bsc#1012628).
  - wifi: nl80211: reject cooked mode if it is set along with
    other flags (bsc#1012628).
  - selftests/damon/damos_quota_goal: handle minimum quota that
    cannot be further reduced (bsc#1012628).
  - selftests/damon/damos_quota: make real expectation of quota
    exceeds (bsc#1012628).
  - selftests/damon/damon_nr_regions: set ops update for merge
    results check to 100ms (bsc#1012628).
  - selftests/damon/damon_nr_regions: sort collected regiosn before
    checking with min/max boundaries (bsc#1012628).
  - rapidio: add check for rio_add_net() in rio_scan_alloc_net()
    (bsc#1012628).
  - rapidio: fix an API misues when rio_add_net() fails
    (bsc#1012628).
  - dma: kmsan: export kmsan_handle_dma() for modules (bsc#1012628).
  - s390/traps: Fix test_monitor_call() inline assembly
    (bsc#1012628).
  - NFS: fix nfs_release_folio() to not deadlock via kcompactd
    writeback (bsc#1012628).
  - userfaultfd: do not block on locking a large folio with raised
    refcount (bsc#1012628).
  - arm: pgtable: fix NULL pointer dereference issue (bsc#1012628).
  - block: fix conversion of GPT partition name to 7-bit
    (bsc#1012628).
  - mm/page_alloc: fix uninitialized variable (bsc#1012628).
  - mm: abort vma_modify() on merge out of memory failure
    (bsc#1012628).
  - mm: memory-failure: update ttu flag inside unmap_poisoned_folio
    (bsc#1012628).
  - mm: don't skip arch_sync_kernel_mappings() in error paths
    (bsc#1012628).
  - mm: fix finish_fault() handling for large folios (bsc#1012628).
  - hwpoison, memory_hotplug: lock folio before unmap hwpoisoned
    folio (bsc#1012628).
  - mm: memory-hotplug: check folio ref count first in
    do_migrate_range (bsc#1012628).
  - wifi: iwlwifi: fw: avoid using an uninitialized variable
    (bsc#1012628).
  - wifi: iwlwifi: mvm: clean up ROC on failure (bsc#1012628).
  - wifi: iwlwifi: mvm: log error for failures after D3
    (bsc#1012628).
  - wifi: iwlwifi: mvm: don't dump the firmware state upon RFKILL
    while suspend (bsc#1012628).
  - wifi: iwlwifi: mvm: don't try to talk to a dead firmware
    (bsc#1012628).
  - wifi: iwlwifi: limit printed string from FW file (bsc#1012628).
  - wifi: iwlwifi: Free pages allocated when failing to build A-MSDU
    (bsc#1012628).
  - wifi: iwlwifi: Fix A-MSDU TSO preparation (bsc#1012628).
  - HID: google: fix unused variable warning under !CONFIG_ACPI
    (bsc#1012628).
  - HID: intel-ish-hid: Fix use-after-free issue in
    hid_ishtp_cl_remove() (bsc#1012628).
  - HID: intel-ish-hid: Fix use-after-free issue in
    ishtp_hid_remove() (bsc#1012628).
  - coredump: Only sort VMAs when core_sort_vma sysctl is set
    (bsc#1012628).
  - nvme-ioctl: fix leaked requests on mapping error (bsc#1012628).
  - wifi: mac80211: Support parsing EPCS ML element (bsc#1012628).
  - wifi: mac80211: fix MLE non-inheritance parsing (bsc#1012628).
  - wifi: mac80211: fix vendor-specific inheritance (bsc#1012628).
  - drm/nouveau: select FW caching (bsc#1012628).
  - bluetooth: btusb: Initialize .owner field of
    force_poll_sync_fops (bsc#1012628).
  - nvmet: remove old function prototype (bsc#1012628).
  - nvme-tcp: add basic support for the C2HTermReq PDU
    (bsc#1012628).
  - nvme-tcp: fix potential memory corruption in nvme_tcp_recv_pdu()
    (bsc#1012628).
  - nvmet-tcp: Fix a possible sporadic response drops in weakly
    ordered arch (bsc#1012628).
  - ALSA: hda/realtek: Remove (revert) duplicate Ally X config
    (bsc#1012628).
  - net: gso: fix ownership in __udp_gso_segment (bsc#1012628).
  - caif_virtio: fix wrong pointer check in cfv_probe()
    (bsc#1012628).
  - perf/core: Fix pmus_lock vs. pmus_srcu ordering (bsc#1012628).
  - cred: return old creds from revert_creds_light() (bsc#1012628).
  - cred: Fix RCU warnings in override/revert_creds (bsc#1012628).
  - hwmon: (pmbus) Initialise page count in pmbus_identify()
    (bsc#1012628).
  - hwmon: (ntc_thermistor) Fix the ncpXXxh103 sensor table
    (bsc#1012628).
  - hwmon: (ad7314) Validate leading zero bits and return error
    (bsc#1012628).
  - tracing: probe-events: Remove unused MAX_ARG_BUF_LEN macro
    (bsc#1012628).
  - drm/imagination: Fix timestamps in firmware traces
    (bsc#1012628).
  - ALSA: usx2y: validate nrpacks module parameter on probe
    (bsc#1012628).
  - llc: do not use skb_get() before dev_queue_xmit() (bsc#1012628).
  - hwmon: fix a NULL vs IS_ERR_OR_NULL() check in
    xgene_hwmon_probe() (bsc#1012628).
  - drm/sched: Fix preprocessor guard (bsc#1012628).
  - be2net: fix sleeping while atomic bugs in be_ndo_bridge_getlink
    (bsc#1012628).
  - net: hns3: make sure ptp clock is unregister and freed if
    hclge_ptp_get_cycle returns an error (bsc#1012628).
  - drm/xe: Remove double pageflip (bsc#1012628).
  - HID: hid-steam: Fix use-after-free when detaching device
    (bsc#1012628).
  - net: ipa: Fix v4.7 resource group names (bsc#1012628).
  - net: ipa: Fix QSB data for v4.7 (bsc#1012628).
  - net: ipa: Enable checksum for IPA_ENDPOINT_AP_MODEM_{RX,TX}
    for v4.7 (bsc#1012628).
  - ppp: Fix KMSAN uninit-value warning with bpf (bsc#1012628).
  - ethtool: linkstate: migrate linkstate functions to support
    multi-PHY setups (bsc#1012628).
  - net: ethtool: plumb PHY stats to PHY drivers (bsc#1012628).
  - net: ethtool: netlink: Allow NULL nlattrs when getting a
    phy_device (bsc#1012628).
  - vlan: enforce underlying device type (bsc#1012628).
  - x86/sgx: Fix size overflows in sgx_encl_create() (bsc#1012628).
  - exfat: fix just enough dentries but allocate a new cluster to
    dir (bsc#1012628).
  - exfat: fix soft lockup in exfat_clear_bitmap (bsc#1012628).
  - exfat: short-circuit zero-byte writes in exfat_file_write_iter
    (bsc#1012628).
  - net-timestamp: support TCP GSO case for a few missing flags
    (bsc#1012628).
  - ublk: set_params: properly check if parameters can be applied
    (bsc#1012628).
  - sched/fair: Fix potential memory corruption in
    child_cfs_rq_on_list (bsc#1012628).
  - nvme-tcp: fix signedness bug in nvme_tcp_init_connection()
    (bsc#1012628).
  - net: dsa: mt7530: Fix traffic flooding for MMIO devices
    (bsc#1012628).
  - mctp i3c: handle NULL header address (bsc#1012628).
  - net: ipv6: fix dst ref loop in ila lwtunnel (bsc#1012628).
  - net: ipv6: fix missing dst ref drop in ila lwtunnel
    (bsc#1012628).
  - gpio: rcar: Fix missing of_node_put() call (bsc#1012628).
  - Revert "drivers/card_reader/rtsx_usb: Restore interrupt based
    detection" (bsc#1012628).
  - usb: renesas_usbhs: Call clk_put() (bsc#1012628).
  - xhci: Restrict USB4 tunnel detection for USB3 devices to Intel
    hosts (bsc#1012628).
  - usb: renesas_usbhs: Use devm_usb_get_phy() (bsc#1012628).
  - usb: hub: lack of clearing xHC resources (bsc#1012628).
  - usb: quirks: Add DELAY_INIT and NO_LPM for Prolific Mass
    Storage Card Reader (bsc#1012628).
  - usb: xhci: Fix host controllers "dying" after suspend and resume
    (bsc#1012628).
  - usb: typec: ucsi: Fix NULL pointer access (bsc#1012628).
  - usb: renesas_usbhs: Flush the notify_hotplug_work (bsc#1012628).
  - usb: xhci: Enable the TRB overfetch quirk on VIA VL805
    (bsc#1012628).
  - usb: gadget: u_ether: Set is_suspend flag if remote wakeup fails
    (bsc#1012628).
  - usb: atm: cxacru: fix a flaw in existing endpoint checks
    (bsc#1012628).
  - usb: dwc3: Set SUSPENDENABLE soon after phy init (bsc#1012628).
  - usb: dwc3: gadget: Prevent irq storm when TH re-executes
    (bsc#1012628).
  - usb: typec: ucsi: increase timeout for PPM reset operations
    (bsc#1012628).
  - usb: typec: tcpci_rt1711h: Unmask alert interrupts to fix
    functionality (bsc#1012628).
  - usb: gadget: Set self-powered based on MaxPower and bmAttributes
    (bsc#1012628).
  - usb: gadget: Fix setting self-powered state on suspend
    (bsc#1012628).
  - usb: gadget: Check bmAttributes only if configuration is valid
    (bsc#1012628).
  - kbuild: userprogs: use correct lld when linking through clang
    (bsc#1012628).
  - acpi: typec: ucsi: Introduce a ->poll_cci method (bsc#1012628).
  - KVM: SVM: Set RFLAGS.IF=1 in C code, to get VMRUN out of the
    STI shadow (bsc#1012628).
  - KVM: SVM: Save host DR masks on CPUs with DebugSwap
    (bsc#1012628).
  - KVM: SVM: Drop DEBUGCTL[5:2] from guest's effective value
    (bsc#1012628).
  - KVM: SVM: Suppress DEBUGCTL.BTF on AMD (bsc#1012628).
  - KVM: x86: Snapshot the host's DEBUGCTL in common x86
    (bsc#1012628).
  - KVM: SVM: Manually context switch DEBUGCTL if LBR virtualization
    is disabled (bsc#1012628).
  - KVM: x86: Snapshot the host's DEBUGCTL after disabling IRQs
    (bsc#1012628).
  - KVM: x86: Explicitly zero EAX and EBX when PERFMON_V2 isn't
    supported by KVM (bsc#1012628).
  - cdx: Fix possible UAF error in driver_override_show()
    (bsc#1012628).
  - mei: me: add panther lake P DID (bsc#1012628).
  - mei: vsc: Use "wakeuphostint" when getting the host wakeup GPIO
    (bsc#1012628).
  - intel_th: pci: Add Arrow Lake support (bsc#1012628).
  - intel_th: pci: Add Panther Lake-H support (bsc#1012628).
  - intel_th: pci: Add Panther Lake-P/U support (bsc#1012628).
  - char: misc: deallocate static minor in error path (bsc#1012628).
  - drivers: core: fix device leak in __fw_devlink_relax_cycles()
    (bsc#1012628).
  - slimbus: messaging: Free transaction ID in delayed interrupt
    scenario (bsc#1012628).
  - bus: mhi: host: pci_generic: Use pci_try_reset_function()
    to avoid deadlock (bsc#1012628).
  - eeprom: digsy_mtc: Make GPIO lookup table match the device
    (bsc#1012628).
  - drivers: virt: acrn: hsm: Use kzalloc to avoid info leak in
    pmcmd_ioctl (bsc#1012628).
  - iio: filter: admv8818: Force initialization of SDO
    (bsc#1012628).
  - iio: light: apds9306: fix max_scale_nano values (bsc#1012628).
  - iio: dac: ad3552r: clear reset status flag (bsc#1012628).
  - iio: adc: ad7192: fix channel select (bsc#1012628).
  - iio: adc: at91-sama5d2_adc: fix sama7g5 realbits value
    (bsc#1012628).
  - mm: hugetlb: Add huge page size param to
    huge_ptep_get_and_clear() (bsc#1012628).
  - arm64: hugetlb: Fix huge_ptep_get_and_clear() for non-present
    ptes (bsc#1012628).
  - iio: hid-sensor-prox: Split difference from multiple channels
    (bsc#1012628).
  - dt-bindings: iio: dac: adi-axi-adc: fix ad7606 pwm-names
    (bsc#1012628).
  - iio: adc: ad7606: fix wrong scale available (bsc#1012628).
  - kbuild: hdrcheck: fix cross build with clang (bsc#1012628).
  - ALSA: hda: realtek: fix incorrect IS_REACHABLE() usage
    (bsc#1012628).
  - nvme-tcp: Fix a C2HTermReq error message (bsc#1012628).
  - wifi: iwlwifi: pcie: Fix TSO preparation (bsc#1012628).
  - Rename to
    patches.kernel.org/6.13.7-005-x86-microcode-AMD-Add-some-forgotten-models-to.patch.
  - Rename to
    patches.kernel.org/6.13.7-019-HID-corsair-void-Update-power-supply-values-wi.patch.
  - Rename to
    patches.kernel.org/6.13.7-139-drm-bochs-Fix-DPMS-regression.patch.
  - commit 3b3bfba
* Wed Mar 12 2025 tiwai@suse.de
  - drm/amd/display: Use HW lock mgr for PSR1 when only one eDP
    (bsc#1238204).
  - commit 2959bcb
* Tue Mar 11 2025 jslaby@suse.cz
  - Refresh
    patches.suse/ASoC-Intel-sof_sdw-Add-lookup-of-quirk-using-PCI-sub.patch.
  - Refresh
    patches.suse/ASoC-Intel-sof_sdw-Add-quirk-for-Asus-Zenbook-S14.patch.
  - Refresh patches.suse/drm-bochs-Fix-DPMS-regression.patch.
    Update upstream status.
  - commit b88c742
* Tue Mar 11 2025 jslaby@suse.cz
  - Refresh
    patches.suse/HID-corsair-void-Update-power-supply-values-with-a-u.patch.
    Update upstream status.
  - commit f3da573
* Mon Mar 10 2025 jslaby@suse.cz
  - x86/microcode/AMD: Add some forgotten models to the SHA check (microcode_fix)
  - commit 14647da
* Mon Mar 10 2025 mkubecek@suse.cz
  - update to 6.14-rc6
  - commit 5af2a0b
* Sat Mar 08 2025 jslaby@suse.cz
  - Linux 6.13.6 (bsc#1012628).
  - RDMA/mlx5: Fix the recovery flow of the UMR QP (bsc#1012628).
  - IB/mlx5: Set and get correct qp_num for a DCT QP (bsc#1012628).
  - RDMA/mlx5: Fix a race for DMABUF MR which can lead to CQE with
    error (bsc#1012628).
  - RDMA/mlx5: Fix a WARN during dereg_mr for DM type (bsc#1012628).
  - RDMA/mana_ib: Allocate PAGE aligned doorbell index
    (bsc#1012628).
  - RDMA/hns: Fix mbox timing out by adding retry mechanism
    (bsc#1012628).
  - RDMA/bnxt_re: Add sanity checks on rdev validity (bsc#1012628).
  - RDMA/bnxt_re: Allocate dev_attr information dynamically
    (bsc#1012628).
  - RDMA/bnxt_re: Fix the statistics for Gen P7 VF (bsc#1012628).
  - landlock: Fix non-TCP sockets restriction (bsc#1012628).
  - scsi: ufs: core: Fix ufshcd_is_ufs_dev_busy() and
    ufshcd_eh_timed_out() (bsc#1012628).
  - ovl: fix UAF in ovl_dentry_update_reval by moving dput()
    in ovl_link_up (bsc#1012628).
  - NFS: O_DIRECT writes must check and adjust the file length
    (bsc#1012628).
  - NFS: Adjust delegated timestamps for O_DIRECT reads and writes
    (bsc#1012628).
  - SUNRPC: Prevent looping due to rpc_signal_task() races
    (bsc#1012628).
  - NFSv4: Fix a deadlock when recovering state on a sillyrenamed
    file (bsc#1012628).
  - SUNRPC: Handle -ETIMEDOUT return from tlshd (bsc#1012628).
  - RDMA/mlx5: Fix implicit ODP hang on parent deregistration
    (bsc#1012628).
  - RDMA/mlx5: Fix AH static rate parsing (bsc#1012628).
  - scsi: core: Clear driver private data when retrying request
    (bsc#1012628).
  - scsi: ufs: core: Set default runtime/system PM levels before
    ufshcd_hba_init() (bsc#1012628).
  - RDMA/mlx5: Fix bind QP error cleanup flow (bsc#1012628).
  - RDMA/bnxt_re: Fix the page details for the srq created by
    kernel consumers (bsc#1012628).
  - sunrpc: suppress warnings for unused procfs functions
    (bsc#1012628).
  - ALSA: usb-audio: Avoid dropping MIDI events at closing multiple
    ports (bsc#1012628).
  - Bluetooth: L2CAP: Fix L2CAP_ECRED_CONN_RSP response
    (bsc#1012628).
  - rxrpc: rxperf: Fix missing decoding of terminal magic cookie
    (bsc#1012628).
  - afs: Fix the server_list to unuse a displaced server rather
    than putting it (bsc#1012628).
  - afs: Give an afs_server object a ref on the afs_cell object
    it points to (bsc#1012628).
  - net: Add net_passive_inc() and net_passive_dec() (bsc#1012628).
  - net: better track kernel sockets lifetime (bsc#1012628).
  - net: loopback: Avoid sending IP packets without an Ethernet
    header (bsc#1012628).
  - net: set the minimum for net_hotdata.netdev_budget_usecs
    (bsc#1012628).
  - ipvlan: ensure network headers are in skb linear part
    (bsc#1012628).
  - net: cadence: macb: Synchronize stats calculations
    (bsc#1012628).
  - net: dsa: rtl8366rb: Fix compilation problem (bsc#1012628).
  - ASoC: es8328: fix route from DAC to output (bsc#1012628).
  - ASoC: fsl: Rename stream name of SAI DAI driver (bsc#1012628).
  - ipvs: Always clear ipvs_property flag in skb_scrub_packet()
    (bsc#1012628).
  - drm/xe/oa: Allow oa_exponent value of 0 (bsc#1012628).
  - firmware: cs_dsp: Remove async regmap writes (bsc#1012628).
  - ASoC: cs35l56: Prevent races when soft-resetting using SPI
    control (bsc#1012628).
  - ALSA: hda/realtek: Fix wrong mic setup for ASUS VivoBook 15
    (bsc#1012628).
  - drm/amdgpu/gfx: only call mes for enforce isolation if supported
    (bsc#1012628).
  - drm/amdgpu/mes: keep enforce isolation up to date (bsc#1012628).
  - drm/amd/display: restore edid reading from a given i2c adapter
    (bsc#1012628).
  - net: ethernet: ti: am65-cpsw: select PAGE_POOL (bsc#1012628).
  - tcp: devmem: don't write truncated dmabuf CMSGs to userspace
    (bsc#1012628).
  - ice: Fix deinitializing VF in error path (bsc#1012628).
  - ice: Avoid setting default Rx VSI twice in switchdev setup
    (bsc#1012628).
  - tcp: Defer ts_recent changes until req is owned (bsc#1012628).
  - net: Clear old fragment checksum value in napi_reuse_skb
    (bsc#1012628).
  - net: mvpp2: cls: Fixed Non IP flow, with vlan tag flow
    defination (bsc#1012628).
  - net/mlx5: Fix vport QoS cleanup on error (bsc#1012628).
  - net/mlx5: Restore missing trace event when enabling vport QoS
    (bsc#1012628).
  - net/mlx5: IRQ, Fix null string in debug print (bsc#1012628).
  - net: ipv6: fix dst ref loop on input in seg6 lwt (bsc#1012628).
  - net: ipv6: fix dst ref loop on input in rpl lwt (bsc#1012628).
  - selftests: drv-net: Check if combined-count exists
    (bsc#1012628).
  - idpf: fix checksums set in idpf_rx_rsc() (bsc#1012628).
  - net: ti: icss-iep: Reject perout generation request
    (bsc#1012628).
  - thermal: gov_power_allocator: Fix incorrect calculation in
    divvy_up_power() (bsc#1012628).
  - perf/core: Order the PMU list to fix warning about unordered
    pmu_ctx_list (bsc#1012628).
  - uprobes: Reject the shared zeropage in uprobe_write_opcode()
    (bsc#1012628).
  - thermal/of: Fix cdev lookup in thermal_of_should_bind()
    (bsc#1012628).
  - thermal: gov_power_allocator: Update total_weight on bind and
    cdev updates (bsc#1012628).
  - io_uring/net: save msg_control for compat (bsc#1012628).
  - unreachable: Unify (bsc#1012628).
  - objtool: Remove annotate_{,un}reachable() (bsc#1012628).
  - objtool: Fix C jump table annotations for Clang (bsc#1012628).
  - x86/CPU: Fix warm boot hang regression on AMD SC1100 SoC systems
    (bsc#1012628).
  - uprobes: Remove too strict lockdep_assert() condition in
    hprobe_expire() (bsc#1012628).
  - phy: rockchip: fix Kconfig dependency more (bsc#1012628).
  - phy: stm32: Fix constant-value overflow assertion (bsc#1012628).
  - riscv: KVM: Fix hart suspend status check (bsc#1012628).
  - riscv: KVM: Fix hart suspend_type use (bsc#1012628).
  - riscv: KVM: Fix SBI IPI error generation (bsc#1012628).
  - riscv: KVM: Fix SBI TIME error generation (bsc#1012628).
  - tracing: Fix bad hist from corrupting named_triggers list
    (bsc#1012628).
  - ftrace: Avoid potential division by zero in function_stat_show()
    (bsc#1012628).
  - ALSA: usb-audio: Re-add sample rate quirk for Pioneer
    DJM-900NXS2 (bsc#1012628).
  - ALSA: hda/realtek: Fix microphone regression on ASUS N705UD
    (bsc#1012628).
  - KVM: arm64: Ensure a VMID is allocated before programming
    VTTBR_EL2 (bsc#1012628).
  - perf/core: Add RCU read lock protection to perf_iterate_ctx()
    (bsc#1012628).
  - perf/x86: Fix low freqency setting issue (bsc#1012628).
  - perf/core: Fix low freq setting via IOC_PERIOD (bsc#1012628).
  - drm/xe/regs: remove a duplicate definition for
    RING_CTL_SIZE(size) (bsc#1012628).
  - drm/xe/userptr: restore invalidation list on error
    (bsc#1012628).
  - drm/xe/userptr: fix EFAULT handling (bsc#1012628).
  - drm/fbdev-dma: Add shadow buffering for deferred I/O
    (bsc#1012628).
  - drm/amdkfd: Preserve cp_hqd_pq_control on update_mqd
    (bsc#1012628).
  - drm/amdgpu: disable BAR resize on Dell G5 SE (bsc#1012628).
  - drm/amdgpu: init return value in amdgpu_ttm_clear_buffer
    (bsc#1012628).
  - drm/amd/display: Disable PSR-SU on eDP panels (bsc#1012628).
  - drm/amd/display: add a quirk to enable eDP0 on DP1
    (bsc#1012628).
  - drm/amd/display: Fix HPD after gpu reset (bsc#1012628).
  - arm64/mm: Fix Boot panic on Ampere Altra (bsc#1012628).
  - block: Remove zone write plugs when handling native zone append
    writes (bsc#1012628).
  - btrfs: skip inodes without loaded extent maps when shrinking
    extent maps (bsc#1012628).
  - btrfs: do regular iput instead of delayed iput during extent
    map shrinking (bsc#1012628).
  - btrfs: fix use-after-free on inode when scanning root during
    em shrinking (bsc#1012628).
  - btrfs: fix data overwriting bug during buffered write when
    block size < page size (bsc#1012628).
  - i2c: npcm: disable interrupt enable bit before devm_request_irq
    (bsc#1012628).
  - i2c: ls2x: Fix frequency division register access (bsc#1012628).
  - i2c: amd-asf: Fix EOI register write to enable successive
    interrupts (bsc#1012628).
  - usbnet: gl620a: fix endpoint checking in genelink_bind()
    (bsc#1012628).
  - net: stmmac: dwmac-loongson: Add fix_soc_reset() callback
    (bsc#1012628).
  - net: phy: qcom: qca807x fix condition for DAC_DSP_BIAS_CURRENT
    (bsc#1012628).
  - net: enetc: fix the off-by-one issue in enetc_map_tx_buffs()
    (bsc#1012628).
  - net: enetc: keep track of correct Tx BD count in
    enetc_map_tx_tso_buffs() (bsc#1012628).
  - net: enetc: VFs do not support HWTSTAMP_TX_ONESTEP_SYNC
    (bsc#1012628).
  - net: enetc: update UDP checksum when updating originTimestamp
    field (bsc#1012628).
  - net: enetc: correct the xdp_tx statistics (bsc#1012628).
  - net: enetc: remove the mm_lock from the ENETC v4 driver
    (bsc#1012628).
  - net: enetc: fix the off-by-one issue in enetc_map_tx_tso_buffs()
    (bsc#1012628).
  - net: enetc: add missing enetc4_link_deinit() (bsc#1012628).
  - phy: tegra: xusb: reset VBUS & ID OVERRIDE (bsc#1012628).
  - phy: exynos5-usbdrd: fix MPLL_MULTIPLIER and SSC_REFCLKSEL
    masks in refclk (bsc#1012628).
  - phy: exynos5-usbdrd: gs101: ensure power is gated to SS phy
    in phy_exit() (bsc#1012628).
  - gve: unlink old napi when stopping a queue using queue API
    (bsc#1012628).
  - iommu/vt-d: Remove device comparison in
    context_setup_pass_through_cb (bsc#1012628).
  - iommu/vt-d: Fix suspicious RCU usage (bsc#1012628).
  - amdgpu/pm/legacy: fix suspend/resume issues (bsc#1012628).
  - intel_idle: Handle older CPUs, which stop the TSC in deeper
    C states, correctly (bsc#1012628).
  - mptcp: always handle address removal under msk socket lock
    (bsc#1012628).
  - mptcp: reset when MPTCP opts are dropped after join
    (bsc#1012628).
  - selftests/landlock: Test that MPTCP actions are not restricted
    (bsc#1012628).
  - vmlinux.lds: Ensure that const vars with relocations are mapped
    R/O (bsc#1012628).
  - rcuref: Plug slowpath race in rcuref_put() (bsc#1012628).
  - sched/core: Prevent rescheduling when interrupts are disabled
    (bsc#1012628).
  - sched_ext: Fix pick_task_scx() picking non-queued tasks when
    it's called without balance() (bsc#1012628).
  - selftests/landlock: Test TCP accesses with protocol=IPPROTO_TCP
    (bsc#1012628).
  - dm-integrity: Avoid divide by zero in table status in Inline
    mode (bsc#1012628).
  - dm vdo: add missing spin_lock_init (bsc#1012628).
  - ima: Reset IMA_NONACTION_RULE_FLAGS after post_setattr
    (bsc#1012628).
  - scsi: ufs: core: bsg: Fix crash when arpmb command fails
    (bsc#1012628).
  - rseq/selftests: Fix riscv rseq_offset_deref_addv inline asm
    (bsc#1012628).
  - riscv/atomic: Do proper sign extension also for unsigned in
    arch_cmpxchg (bsc#1012628).
  - riscv/futex: sign extend compare value in atomic cmpxchg
    (bsc#1012628).
  - riscv: signal: fix signal frame size (bsc#1012628).
  - riscv: cacheinfo: Use of_property_present() for non-boolean
    properties (bsc#1012628).
  - riscv: signal: fix signal_minsigstksz (bsc#1012628).
  - riscv: cpufeature: use bitmap_equal() instead of memcmp()
    (bsc#1012628).
  - efi: Don't map the entire mokvar table to determine its size
    (bsc#1012628).
  - x86/microcode/AMD: Return bool from find_blobs_in_containers()
    (bsc#1012628).
  - x86/microcode/AMD: Have __apply_microcode_amd() return bool
    (bsc#1012628).
  - x86/microcode/AMD: Remove ugly linebreak in
    __verify_patch_section() signature (bsc#1012628).
  - x86/microcode/AMD: Remove unused save_microcode_in_initrd_amd()
    declarations (bsc#1012628).
  - x86/microcode/AMD: Merge early_apply_microcode() into its
    single callsite (bsc#1012628).
  - x86/microcode/AMD: Get rid of the _load_microcode_amd()
    forward declaration (bsc#1012628).
  - x86/microcode/AMD: Add get_patch_level() (bsc#1012628).
  - x86/microcode/AMD: Load only SHA256-checksummed patches
    (bsc#1012628).
  - Update config files.
  - Rename to
    patches.kernel.org/6.13.6-074-phy-rockchip-naneng-combphy-compatible-reset-w.patch.
  - Rename to
    patches.kernel.org/6.13.6-134-fuse-revert-back-to-__readahead_folio-for-read.patch.
  - commit 19a5ae2
* Fri Mar 07 2025 msuchanek@suse.de
  - packaging: Patch Makefile to pre-select gcc version (jsc#PED-12251).
    When compiler different from the one which was used to configure the
    kernel is used to build modules a warning is issued and the build
    continues. This could be turned into an error but that would be too
    restrictive.
    The generated kernel-devel makefile could set the compiler but then the
    main Makefile as to be patched to assign CC with ?=
    This causes run_oldconfig failure on SUSE-2024 and kbuild config check
    failure on SUSE-2025.
    This cannot be hardcoded to one version in a regular patch because the
    value is expected to be configurable at mkspec time. Patch the Makefile
    after aplyin patches in rpm prep step instead. A check is added to
    verify that the sed command did indeed apply the change.
  - commit 6031391
* Fri Mar 07 2025 jlee@suse.com
  - initcall_blacklist: Does not allow kernel_lockdown be
    blacklisted (bsc#1237521).
  - commit f1a7474
* Fri Mar 07 2025 jlee@suse.com
  - initcall_blacklist: Does not allow kernel_lockdown be
    blacklisted (bsc#1237521).
  - commit f67efc2
* Thu Mar 06 2025 msuchanek@suse.de
  - powerpc: boot: Fix build with gcc 15 (jsc#PED-12251).
  - commit 18d53a6
* Thu Mar 06 2025 tiwai@suse.de
  - rpm/split-modules: Fix optional splitting with usrmerge (bsc#1238570)
  - commit 8be63c4
* Thu Mar 06 2025 jslaby@suse.cz
  - fuse: revert back to __readahead_folio() for readahead
    (bsc#1236660).
  - Delete
    patches.suse/fuse-prevent-folio-use-after-free-in-readahead.patch.
    Replace by the upstream fix.
  - commit fb4fb9d
* Tue Mar 04 2025 msuchanek@suse.de
  - config: Set gcc version (jsc#PED-12251).
    This significantly improves the experience of building backports for
    Leap as well as when switching gcc version for kernel in Factory.
  - packaging: Turn gcc version into config.sh variable
    Fixes: 51dacec21eb1 ("Use gcc-13 for build on SLE16 (jsc#PED-10028).")
  - commit 1e750ef
* Tue Mar 04 2025 tiwai@suse.de
  - drm/bochs: Fix DPMS regression (bsc#1238209).
  - commit 8507728
* Tue Mar 04 2025 tiwai@suse.de
  - rpm/kernel-docs.spec.in: Workaround for reproducible builds (bsc#1238303)
  - commit 1f1e842
* Mon Mar 03 2025 mkubecek@suse.cz
  - update to 6.14-rc5
  - update configs
    - NET_DSA_REALTEK_RTL8366RB_LEDS=y (arm and riscv64)
  - commit 5273d06
* Fri Feb 28 2025 jslaby@suse.cz
  - Linux 6.13.5 (bsc#1012628).
  - drm/amdgpu: bump version for RV/PCO compute fix (bsc#1012628).
  - drm/amdgpu/gfx9: manually control gfxoff for CS on RV
    (bsc#1012628).
  - net: pse-pd: Fix deadlock in current limit functions
    (bsc#1012628).
  - tracing: Fix using ret variable in tracing_set_tracer()
    (bsc#1012628).
  - drm: select DRM_KMS_HELPER from DRM_GEM_SHMEM_HELPER
    (bsc#1012628).
  - ftrace: Do not add duplicate entries in subops manager ops
    (bsc#1012628).
  - ftrace: Fix accounting of adding subops to a manager ops
    (bsc#1012628).
  - ftrace: Correct preemption accounting for function tracing
    (bsc#1012628).
  - EDAC/qcom: Correct interrupt enable register configuration
    (bsc#1012628).
  - smb: client: Add check for next_buffer in
    receive_encrypted_standard() (bsc#1012628).
  - irqchip/gic-v3: Fix rk3399 workaround when secure interrupts
    are enabled (bsc#1012628).
  - perf/x86/intel: Fix event constraints for LNC (bsc#1012628).
  - mtd: rawnand: cadence: fix incorrect device in dma_unmap_single
    (bsc#1012628).
  - mtd: rawnand: cadence: use dma_map_resource for sdma address
    (bsc#1012628).
  - mtd: rawnand: cadence: fix error code in cadence_nand_init()
    (bsc#1012628).
  - mtd: spi-nor: sst: Fix SST write failure (bsc#1012628).
  - mm,madvise,hugetlb: check for 0-length range after end address
    adjustment (bsc#1012628).
  - acct: block access to kernel internal filesystems (bsc#1012628).
  - acct: perform last write from workqueue (bsc#1012628).
  - ASoC: SOF: pcm: Clear the susbstream pointer to NULL on close
    (bsc#1012628).
  - ALSA: hda/conexant: Add quirk for HP ProBook 450 G4 mute LED
    (bsc#1012628).
  - ALSA: hda: Add error check for snd_ctl_rename_id() in
    snd_hda_create_dig_out_ctls() (bsc#1012628).
  - ASoC: fsl_micfil: Enable default case in micfil_set_quality()
    (bsc#1012628).
  - ASoC: SOF: stream-ipc: Check for cstream nullity in
    sof_ipc_msg_data() (bsc#1012628).
  - gve: set xdp redirect target only when it is available
    (bsc#1012628).
  - nfp: bpf: Add check for nfp_app_ctrl_msg_alloc() (bsc#1012628).
  - mm/zswap: fix inconsistency when zswap_store_page() fails
    (bsc#1012628).
  - smb: client: fix chmod(2) regression with ATTR_READONLY
    (bsc#1012628).
  - lib/iov_iter: fix import_iovec_ubuf iovec management
    (bsc#1012628).
  - xfs: fix online repair probing when CONFIG_XFS_ONLINE_REPAIR=n
    (bsc#1012628).
  - s390/boot: Fix ESSA detection (bsc#1012628).
  - soc: loongson: loongson2_guts: Add check for devm_kstrdup()
    (bsc#1012628).
  - gpio: vf610: add locking to gpio direction functions
    (bsc#1012628).
  - arm64: dts: rockchip: Disable DMA for uart5 on px30-ringneck
    (bsc#1012628).
  - arm64: dts: rockchip: Move uart5 pin configuration to px30
    ringneck SoM (bsc#1012628).
  - arm64: dts: rockchip: Fix broken tsadc pinctrl names for rk3588
    (bsc#1012628).
  - arm64: dts: rockchip: change eth phy mode to rgmii-id for
    orangepi r1 plus lts (bsc#1012628).
  - mm/migrate_device: don't add folio to be freed to LRU in
    migrate_device_finalize() (bsc#1012628).
  - drop_monitor: fix incorrect initialization order (bsc#1012628).
  - tee: optee: Fix supplicant wait loop (bsc#1012628).
  - gpiolib: protect gpio_chip with SRCU in array_info paths in
    multi get/set (bsc#1012628).
  - io_uring: prevent opcode speculation (bsc#1012628).
  - io_uring/rw: forbid multishot async reads (bsc#1012628).
  - drm/i915/dsi: Use TRANS_DDI_FUNC_CTL's own port width macro
    (bsc#1012628).
  - drm/i915/gt: Use spin_lock_irqsave() in interruptible context
    (bsc#1012628).
  - drm/i915/ddi: Fix HDMI port width programming in DDI_BUF_CTL
    (bsc#1012628).
  - drm/i915/dp: Fix error handling during 128b/132b link training
    (bsc#1012628).
  - drm/i915: Make sure all planes in use by the joiner have their
    crtc included (bsc#1012628).
  - drm/msm/dpu: Disable dither in phys encoder cleanup
    (bsc#1012628).
  - drm/msm/dp: account for widebus and yuv420 during mode
    validation (bsc#1012628).
  - drm: panel: jd9365da-h3: fix reset signal polarity
    (bsc#1012628).
  - sched: Compact RSEQ concurrency IDs with reduced threads and
    affinity (bsc#1012628).
  - irqchip/jcore-aic, clocksource/drivers/jcore: Fix jcore-pit
    interrupt request (bsc#1012628).
  - drm/nouveau/pmu: Fix gp10b firmware guard (bsc#1012628).
  - bpf: skip non exist keys in generic_map_lookup_batch
    (bsc#1012628).
  - nvme/ioctl: add missing space in err message (bsc#1012628).
  - nvme-tcp: fix connect failure on receiving partial ICResp PDU
    (bsc#1012628).
  - nvme: tcp: Fix compilation warning with W=1 (bsc#1012628).
  - nvmet: Fix crash when a namespace is disabled (bsc#1012628).
  - drm/xe: Fix error handling in xe_irq_install() (bsc#1012628).
  - drm/xe/irq: Separate MSI and MSI-X flows (bsc#1012628).
  - drm/xe: Make irq enabled flag atomic (bsc#1012628).
  - drm/msm/dsi/phy: Do not overwite PHY_CMN_CLK_CFG1 when choosing
    bitclk source (bsc#1012628).
  - drm/msm/dsi/phy: Protect PHY_CMN_CLK_CFG1 against clock driver
    (bsc#1012628).
  - drm/msm/dsi/phy: Protect PHY_CMN_CLK_CFG0 updated from driver
    side (bsc#1012628).
  - drm/msm/dpu: Don't leak bits_per_component into random DSC_ENC
    fields (bsc#1012628).
  - drm/msm/dpu: enable DPU_WB_INPUT_CTRL for DPU 5.x (bsc#1012628).
  - drm/msm/dpu: skip watchdog timer programming through TOP on >=
    SM8450 (bsc#1012628).
  - drm/msm: Avoid rounding up to one jiffy (bsc#1012628).
  - nouveau/svm: fix missing folio unlock + put after
    make_device_exclusive_range() (bsc#1012628).
  - md/raid*: Fix the set_queue_limits implementations
    (bsc#1012628).
  - firmware: arm_scmi: imx: Correct tx size of
    scmi_imx_misc_ctrl_set (bsc#1012628).
  - arm64: dts: rockchip: adjust SMMU interrupt type on rk3588
    (bsc#1012628).
  - bpf: Fix softlockup in arena_map_free on 64k page kernel
    (bsc#1012628).
  - net: Add rx_skb of kfree_skb to raw_tp_null_args[]
    (bsc#1012628).
  - power: supply: axp20x_battery: Fix fault handling for AXP717
    (bsc#1012628).
  - power: supply: da9150-fg: fix potential overflow (bsc#1012628).
  - arm64: dts: rockchip: Fix lcdpwr_en pin for Cool Pi GenBook
    (bsc#1012628).
  - arm64: dts: rockchip: fix fixed-regulator renames on rk3399-gru
    devices (bsc#1012628).
  - bpf: Fix deadlock when freeing cgroup storage (bsc#1012628).
  - bpf: Disable non stream socket for strparser (bsc#1012628).
  - bpf: avoid holding freeze_mutex during mmap operation
    (bsc#1012628).
  - bpf: unify VM_WRITE vs VM_MAYWRITE use in BPF map mmaping logic
    (bsc#1012628).
  - bpf, test_run: Fix use-after-free issue in eth_skb_pkt_type()
    (bsc#1012628).
  - rust: cleanup unnecessary casts (bsc#1012628).
  - rust: map `long` to `isize` and `char` to `u8` (bsc#1012628).
  - rust: finish using custom FFI integer types (bsc#1012628).
  - net: allow small head cache usage with large MAX_SKB_FRAGS
    values (bsc#1012628).
  - tcp: drop secpath at the same time as we currently drop dst
    (bsc#1012628).
  - net: axienet: Set mac_managed_pm (bsc#1012628).
  - arp: switch to dev_getbyhwaddr() in arp_req_set_public()
    (bsc#1012628).
  - net: Add non-RCU dev_getbyhwaddr() helper (bsc#1012628).
  - flow_dissector: Fix port range key handling in BPF conversion
    (bsc#1012628).
  - flow_dissector: Fix handling of mixed port and port-range keys
    (bsc#1012628).
  - geneve: Suppress list corruption splat in
    geneve_destroy_tunnels() (bsc#1012628).
  - gtp: Suppress list corruption splat in gtp_net_exit_batch_rtnl()
    (bsc#1012628).
  - net: pse-pd: pd692x0: Fix power limit retrieval (bsc#1012628).
  - net: pse-pd: Use power limit at driver side instead of current
    limit (bsc#1012628).
  - net: pse-pd: Avoid setting max_uA in regulator constraints
    (bsc#1012628).
  - tcp: adjust rcvq_space after updating scaling ratio
    (bsc#1012628).
  - vsock/bpf: Warn on socket without transport (bsc#1012628).
  - sockmap, vsock: For connectible sockets allow only connected
    (bsc#1012628).
  - ibmvnic: Don't reference skb after sending to VIOS
    (bsc#1012628).
  - s390/ism: add release function for struct device (bsc#1012628).
  - ALSA: seq: Drop UMP events when no UMP-conversion is set
    (bsc#1012628).
  - net/sched: cls_api: fix error handling causing NULL dereference
    (bsc#1012628).
  - ALSA: hda/cirrus: Correct the full scale volume set logic
    (bsc#1012628).
  - geneve: Fix use-after-free in geneve_find_dev() (bsc#1012628).
  - vsock/virtio: fix variables initialization during resuming
    (bsc#1012628).
  - ASoC: imx-audmix: remove cpu_mclk which is from cpu dai device
    (bsc#1012628).
  - powerpc/code-patching: Fix KASAN hit by not flagging text
    patching area as VM_ALLOC (bsc#1012628).
  - ALSA: hda/realtek: Fixup ALC225 depop procedure (bsc#1012628).
  - powerpc/64s: Rewrite __real_pte() and __rpte_to_hidx() as
    static inline (bsc#1012628).
  - powerpc/code-patching: Disable KASAN report during patching
    via temporary mm (bsc#1012628).
  - ASoC: SOF: ipc4-topology: Harden loops for looking up ALH
    copiers (bsc#1012628).
  - ASoC: rockchip: i2s-tdm: fix shift config for
    SND_SOC_DAIFMT_DSP_[AB] (bsc#1012628).
  - USB: gadget: f_midi: f_midi_complete to call queue_work
    (bsc#1012628).
  - tracing: Have the error of __tracing_resize_ring_buffer()
    passed to user (bsc#1012628).
  - tracing: Switch trace.c code over to use guard() (bsc#1012628).
  - drm/amdkfd: Ensure consistent barrier state saved in gfx12
    trap handler (bsc#1012628).
  - drm/amdkfd: Move gfx12 trap handler to separate file
    (bsc#1012628).
  - PCI: Restore original INTX_DISABLE bit by pcim_intx()
    (bsc#1012628).
  - PCI: Remove devres from pci_intx() (bsc#1012628).
  - PCI: Export pci_intx_unmanaged() and pcim_intx() (bsc#1012628).
  - serial: sh-sci: Increment the runtime usage counter for the
    earlycon device (bsc#1012628).
  - serial: sh-sci: Clean sci_ports[0] after at earlycon exit
    (bsc#1012628).
  - serial: sh-sci: Move runtime PM enable to sci_probe_single()
    (bsc#1012628).
  - Bluetooth: qca: Fix poor RF performance for WCN6855
    (bsc#1012628).
  - Bluetooth: qca: Update firmware-name to support board specific
    nvm (bsc#1012628).
  - drm/amd/display: Correct register address in dcn35
    (bsc#1012628).
  - drm/amd/display: update dcn351 used clock offset (bsc#1012628).
  - btrfs: fix double accounting race when extent_writepage_io()
    failed (bsc#1012628).
  - btrfs: fix double accounting race when
    btrfs_run_delalloc_range() failed (bsc#1012628).
  - btrfs: use btrfs_inode in extent_writepage() (bsc#1012628).
  - Drivers: hv: vmbus: Log on missing offers if any (bsc#1012628).
  - commit 6ca9bf2
* Thu Feb 27 2025 dmueller@suse.com
  - add nf_tables for iptables non-legacy network handling
    This is needed for example by docker on the Alpine Linux distribution,
    but can also be used on openSUSE.
  - commit f9b0903
* Wed Feb 26 2025 msuchanek@suse.de
  - kernel-source: Also replace bin/env
  - commit dc2037c
* Tue Feb 25 2025 jslaby@suse.cz
  - platform: cznic: CZNIC_PLATFORMS should depend on ARCH_MVEBU
    (bsc#1012628).
  - Update config files.
  - firmware: imx: IMX_SCMI_MISC_DRV should depend on ARCH_MXC
    (bsc#1012628).
  - Update config files.
  - commit 956258d
* Tue Feb 25 2025 jslaby@suse.cz
  - mtd: rawnand: cadence: fix unchecked dereference (git-fixes).
  - commit 9213e88
* Sun Feb 23 2025 mkubecek@suse.cz
  - update to 6.14-rc4
  - refresh configs
  - commit e929481
* Fri Feb 21 2025 jslaby@suse.cz
  - Linux 6.13.4 (bsc#1012628).
  - nfsd: clear acl_access/acl_default after releasing them
    (bsc#1012628).
  - NFSD: fix hang in nfsd4_shutdown_callback (bsc#1012628).
  - nfsd: validate the nfsd_serv pointer before calling svc_wake_up
    (bsc#1012628).
  - x86/cpu/kvm: SRSO: Fix possible missing IBPB on VM-Exit
    (bsc#1012628).
  - pinctrl: cy8c95x0: Fix off-by-one in the regmap range settings
    (bsc#1012628).
  - pinctrl: cy8c95x0: Avoid accessing reserved registers
    (bsc#1012628).
  - pinctrl: cy8c95x0: Enable regmap locking for debug
    (bsc#1012628).
  - pinctrl: cy8c95x0: Rename PWMSEL to SELPWM (bsc#1012628).
  - pinctrl: cy8c95x0: Respect IRQ trigger settings from firmware
    (bsc#1012628).
  - HID: winwing: Add NULL check in winwing_init_led()
    (bsc#1012628).
  - HID: multitouch: Add NULL check in mt_input_configured
    (bsc#1012628).
  - scripts/Makefile.extrawarn: Do not show clang's non-kprintf
    warnings at W=1 (bsc#1012628).
  - pinctrl: pinconf-generic: Print unsigned value if a format is
    registered (bsc#1012628).
  - HID: hid-thrustmaster: fix stack-out-of-bounds read in
    usb_check_int_endpoints() (bsc#1012628).
  - HID: hid-steam: Don't use cancel_delayed_work_sync in IRQ
    context (bsc#1012628).
  - spi: sn-f-ospi: Fix division by zero (bsc#1012628).
  - ax25: Fix refcount leak caused by setting SO_BINDTODEVICE
    sockopt (bsc#1012628).
  - net: fib_rules: annotate data-races around rule->[io]ifindex
    (bsc#1012628).
  - Documentation/networking: fix basic node example document ISO
    15765-2 (bsc#1012628).
  - ndisc: ndisc_send_redirect() must use dev_get_by_index_rcu()
    (bsc#1012628).
  - vrf: use RCU protection in l3mdev_l3_out() (bsc#1012628).
  - regulator: core: let dt properties override driver init_data
    (bsc#1012628).
  - idpf: fix handling rsc packet with a single segment
    (bsc#1012628).
  - idpf: record rx queue in skb for RSC packets (bsc#1012628).
  - idpf: call set_real_num_queues in idpf_open (bsc#1012628).
  - igc: Fix HW RX timestamp when passed by ZC XDP (bsc#1012628).
  - vxlan: check vxlan_vnigroup_init() return value (bsc#1012628).
  - LoongArch: Fix idle VS timer enqueue (bsc#1012628).
  - LoongArch: csum: Fix OoB access in IP checksum code for negative
    lengths (bsc#1012628).
  - LoongArch: KVM: Fix typo issue about GCFG feature detection
    (bsc#1012628).
  - net: ethernet: ti: am65-cpsw: fix memleak in certain XDP cases
    (bsc#1012628).
  - net: ethernet: ti: am65-cpsw: fix RX & TX statistics for XDP_TX
    case (bsc#1012628).
  - net: ethernet: ti: am65_cpsw: fix tx_cleanup for XDP case
    (bsc#1012628).
  - Bluetooth: btintel_pcie: Fix a potential race condition
    (bsc#1012628).
  - team: better TEAM_OPTION_TYPE_STRING validation (bsc#1012628).
  - workqueue: Put the pwq after detaching the rescuer from the pool
    (bsc#1012628).
  - sched_ext: Fix lock imbalance in dispatch_to_local_dsq()
    (bsc#1012628).
  - drm/tests: hdmi: Fix WW_MUTEX_SLOWPATH failures (bsc#1012628).
  - arm64: cacheinfo: Avoid out-of-bounds write to cacheinfo array
    (bsc#1012628).
  - gpu: host1x: Fix a use of uninitialized mutex (bsc#1012628).
  - drm/panthor: avoid garbage value in panthor_ioctl_dev_query()
    (bsc#1012628).
  - cgroup: Remove steal time from usage_usec (bsc#1012628).
  - perf/x86/intel: Clean up PEBS-via-PT on hybrid (bsc#1012628).
  - drm/xe/client: bo->client does not need bos_lock (bsc#1012628).
  - drm/i915/selftests: avoid using uninitialized context
    (bsc#1012628).
  - gpio: bcm-kona: Fix GPIO lock/unlock for banks above bank 0
    (bsc#1012628).
  - gpio: bcm-kona: Make sure GPIO bits are unlocked when requesting
    IRQ (bsc#1012628).
  - gpio: bcm-kona: Add missing newline to dev_err format string
    (bsc#1012628).
  - io_uring/waitid: don't abuse io_tw_state (bsc#1012628).
  - io_uring/uring_cmd: remove dead req_has_async_data() check
    (bsc#1012628).
  - um: add back support for FXSAVE registers (bsc#1012628).
  - um: avoid copying FP state from init_task (bsc#1012628).
  - um: properly align signal stack on x86_64 (bsc#1012628).
  - um: fix execve stub execution on old host OSs (bsc#1012628).
  - amdkfd: properly free gang_ctx_bo when failed to init user queue
    (bsc#1012628).
  - drm/amdgpu: bail out when failed to load fw in
    psp_init_cap_microcode() (bsc#1012628).
  - drm: Fix DSC BPP increment decoding (bsc#1012628).
  - xen/swiotlb: relax alignment requirements (bsc#1012628).
  - x86/xen: allow larger contiguous memory regions in PV guests
    (bsc#1012628).
  - block: cleanup and fix batch completion adding conditions
    (bsc#1012628).
  - sched_ext: Fix the incorrect bpf_list kfunc API in common.bpf.h
    (bsc#1012628).
  - sched_ext: Use SCX_CALL_OP_TASK in task_tick_scx (bsc#1012628).
  - gpiolib: Fix crash on error in gpiochip_get_ngpios()
    (bsc#1012628).
  - iommu/amd: Expicitly enable CNTRL.EPHEn bit in resume path
    (bsc#1012628).
  - tools: fix annoying "mkdir -p ..." logs when building tools
    in parallel (bsc#1012628).
  - RDMA/efa: Reset device on probe failure (bsc#1012628).
  - firmware: qcom: scm: smc: Handle missing SCM device
    (bsc#1012628).
  - soc: qcom: llcc: Update configuration data for IPQ5424
    (bsc#1012628).
  - fbdev: omap: use threaded IRQ for LCD DMA (bsc#1012628).
  - soc/tegra: fuse: Update Tegra234 nvmem keepout list
    (bsc#1012628).
  - i3c: mipi-i3c-hci: Add Intel specific quirk to ring resuming
    (bsc#1012628).
  - i3c: mipi-i3c-hci: Add support for MIPI I3C HCI on PCI bus
    (bsc#1012628).
  - media: cxd2841er: fix 64-bit division on gcc-9 (bsc#1012628).
  - PCI: endpoint: Add size check for fixed size BARs in
    pci_epc_set_bar() (bsc#1012628).
  - media: i2c: ds90ub913: Add error handling to ub913_hw_init()
    (bsc#1012628).
  - media: i2c: ds90ub953: Add error handling for i2c reads/writes
    (bsc#1012628).
  - media: bcm2835-unicam: Disable trigger mode operation
    (bsc#1012628).
  - media: uvcvideo: Implement dual stream quirk to fix loss of
    usb packets (bsc#1012628).
  - media: uvcvideo: Add new quirk definition for the Sonix
    Technology Co. 292a camera (bsc#1012628).
  - media: uvcvideo: Add Kurokesu C1 PRO camera (bsc#1012628).
  - media: vidtv: Fix a null-ptr-deref in vidtv_mux_stop_thread
    (bsc#1012628).
  - Drivers: hv: vmbus: Wait for boot-time offers during boot and
    resume (bsc#1012628).
  - PCI: mediatek-gen3: Avoid PCIe resetting via PERST# for Airoha
    EN7581 SoC (bsc#1012628).
  - PCI: switchtec: Add Microchip PCI100X device IDs (bsc#1012628).
  - scsi: ufs: bsg: Set bsg_queue to NULL after removal
    (bsc#1012628).
  - rtla/timerlat_hist: Abort event processing on second signal
    (bsc#1012628).
  - rtla/timerlat_top: Abort event processing on second signal
    (bsc#1012628).
  - serial: 8250_pci: Resolve WCH vendor ID ambiguity (bsc#1012628).
  - serial: 8250_pci: Share WCH IDs with parport_serial driver
    (bsc#1012628).
  - 8250: microchip: pci1xxxx: Add workaround for RTS bit toggle
    (bsc#1012628).
  - kunit: platform: Resolve 'struct completion' warning
    (bsc#1012628).
  - vfio/pci: Enable iowrite64 and ioread64 for vfio pci
    (bsc#1012628).
  - NFS: Fix potential buffer overflowin nfs_sysfs_link_rpc_client()
    (bsc#1012628).
  - vfio/nvgrace-gpu: Read dvsec register to determine need for
    uncached resmem (bsc#1012628).
  - vfio/nvgrace-gpu: Expose the blackwell device PF BAR1 to the VM
    (bsc#1012628).
  - fs/ntfs3: Mark inode as bad as soon as error detected in
    mi_enum_attr() (bsc#1012628).
  - fs/ntfs3: Unify inode corruption marking with _ntfs_bad_inode()
    (bsc#1012628).
  - Grab mm lock before grabbing pt lock (bsc#1012628).
  - selftests: gpio: gpio-sim: Fix missing chip disablements
    (bsc#1012628).
  - ACPI: x86: Add skip i2c clients quirk for Vexia EDU ATLA 10
    tablet 5V (bsc#1012628).
  - x86/mm/tlb: Only trim the mm_cpumask once a second
    (bsc#1012628).
  - orangefs: fix a oob in orangefs_debug_write (bsc#1012628).
  - kbuild: suppress stdout from merge_config for silent builds
    (bsc#1012628).
  - ASoC: Intel: bytcr_rt5640: Add DMI quirk for Vexia Edu Atla
    10 tablet 5V (bsc#1012628).
  - ASoC: renesas: SND_SIU_MIGOR should depend on DMADEVICES
    (bsc#1012628).
  - kbuild: Use -fzero-init-padding-bits=all (bsc#1012628).
  - batman-adv: fix panic during interface removal (bsc#1012628).
  - batman-adv: Ignore neighbor throughput metrics in error case
    (bsc#1012628).
  - batman-adv: Drop unmanaged ELP metric worker (bsc#1012628).
  - batman-adv: Fix incorrect offset in
    batadv_tt_tvlv_ogm_handler_v1() (bsc#1012628).
  - drm/xe: Carve out wopcm portion from the stolen memory
    (bsc#1012628).
  - drm/amdgpu: avoid buffer overflow attach in
    smu_sys_set_pp_table() (bsc#1012628).
  - KVM: x86: Reject Hyper-V's SEND_IPI hypercalls if local APIC
    isn't in-kernel (bsc#1012628).
  - KVM: x86: Load DR6 with guest value only before entering
    .vcpu_run() loop (bsc#1012628).
  - KVM: nSVM: Enter guest mode before initializing nested NPT MMU
    (bsc#1012628).
  - perf/x86/intel: Fix ARCH_PERFMON_NUM_COUNTER_LEAF (bsc#1012628).
  - perf/x86/intel: Ensure LBRs are disabled when a CPU is starting
    (bsc#1012628).
  - ring-buffer: Unlock resize on mmap error (bsc#1012628).
  - tracing: Do not allow mmap() of persistent ring buffer
    (bsc#1012628).
  - ring-buffer: Validate the persistent meta data subbuf array
    (bsc#1012628).
  - ring-buffer: Update pages_touched to reflect persistent buffer
    content (bsc#1012628).
  - usb: gadget: f_midi: Fixing wMaxPacketSize exceeded issue
    during MIDI bind retries (bsc#1012628).
  - usb: dwc3: Fix timeout issue during controller enter/exit from
    halt state (bsc#1012628).
  - usb: roles: set switch registered flag early on (bsc#1012628).
  - usb: typec: tcpm: PSSourceOffTimer timeout in PR_Swap enters
    ERROR_RECOVERY (bsc#1012628).
  - usb: gadget: udc: renesas_usb3: Fix compiler warning
    (bsc#1012628).
  - usb: dwc2: gadget: remove of_node reference upon udc_stop
    (bsc#1012628).
  - usb: xhci: Restore xhci_pci support for Renesas HCs
    (bsc#1012628).
  - USB: pci-quirks: Fix HCCPARAMS register error for LS7A EHCI
    (bsc#1012628).
  - usb: core: fix pipe creation for get_bMaxPacketSize0
    (bsc#1012628).
  - USB: quirks: add USB_QUIRK_NO_LPM quirk for Teclast dist
    (bsc#1012628).
  - USB: Add USB_QUIRK_NO_LPM quirk for sony xperia xz1 smartphone
    (bsc#1012628).
  - usb: gadget: f_midi: fix MIDI Streaming descriptor lengths
    (bsc#1012628).
  - USB: hub: Ignore non-compliant devices with too many configs
    or interfaces (bsc#1012628).
  - USB: cdc-acm: Fill in Renesas R-Car D3 USB Download mode quirk
    (bsc#1012628).
  - usb: cdc-acm: Check control transfer buffer size before access
    (bsc#1012628).
  - usb: cdc-acm: Fix handling of oversized fragments (bsc#1012628).
  - usb: gadget: core: flush gadget workqueue after device removal
    (bsc#1012628).
  - USB: serial: option: add MeiG Smart SLM828 (bsc#1012628).
  - USB: serial: option: add Telit Cinterion FN990B compositions
    (bsc#1012628).
  - USB: serial: option: fix Telit Cinterion FN990A name
    (bsc#1012628).
  - USB: serial: option: drop MeiG Smart defines (bsc#1012628).
  - can: ctucanfd: handle skb allocation failure (bsc#1012628).
  - can: c_can: fix unbalanced runtime PM disable in error path
    (bsc#1012628).
  - can: j1939: j1939_sk_send_loop(): fix unable to send messages
    with data length zero (bsc#1012628).
  - can: rockchip: rkcanfd_handle_rx_fifo_overflow_int(): bail
    out if skb cannot be allocated (bsc#1012628).
  - can: etas_es58x: fix potential NULL pointer dereference on
    udev->serial (bsc#1012628).
  - alpha: make stack 16-byte aligned (most cases) (bsc#1012628).
  - wifi: brcmfmac: use random seed flag for BCM4355 and BCM4364
    firmware (bsc#1012628).
  - wifi: ath12k: fix handling of 6 GHz rules (bsc#1012628).
  - PCI: Avoid FLR for Mediatek MT7922 WiFi (bsc#1012628).
  - kbuild: userprogs: fix bitsize and target detection on clang
    (bsc#1012628).
  - efi: Avoid cold plugged memory for placing the kernel
    (bsc#1012628).
  - arm64: rust: clean Rust 1.85.0 warning using softfloat target
    (bsc#1012628).
  - objtool/rust: add one more `noreturn` Rust function
    (bsc#1012628).
  - rust: rbtree: fix overindented list item (bsc#1012628).
  - cgroup: fix race between fork and cgroup.kill (bsc#1012628).
  - rtnetlink: fix netns leak with rtnl_setlink() (bsc#1012628).
  - serial: port: Assign ->iotype correctly when ->iobase is set
    (bsc#1012628).
  - serial: port: Always update ->iotype in __uart_read_properties()
    (bsc#1012628).
  - serial: 8250: Fix fifo underflow on flush (bsc#1012628).
  - alpha: replace hardcoded stack offsets with autogenerated ones
    (bsc#1012628).
  - alpha: align stack for page fault and user unaligned trap
    handlers (bsc#1012628).
  - s390/pci: Pull search for parent PF out of
    zpci_iov_setup_virtfn() (bsc#1012628).
  - s390/pci: Fix handling of isolated VFs (bsc#1012628).
  - gpiolib: acpi: Add a quirk for Acer Nitro ANV14 (bsc#1012628).
  - gpio: stmpe: Check return value of stmpe_reg_read in
    stmpe_gpio_irq_sync_unlock (bsc#1012628).
  - partitions: mac: fix handling of bogus partition table
    (bsc#1012628).
  - iommu/vt-d: Make intel_iommu_drain_pasid_prq() cover faults
    for RID (bsc#1012628).
  - sched_ext: Fix incorrect autogroup migration detection
    (bsc#1012628).
  - regulator: qcom_smd: Add l2, l5 sub-node to mp5496 regulator
    (bsc#1012628).
  - Revert "mmc: sdhci_am654: Add
    sdhci_am654_start_signal_voltage_switch" (bsc#1012628).
  - iommu: Fix potential memory leak in iopf_queue_remove_device()
    (bsc#1012628).
  - regmap-irq: Add missing kfree() (bsc#1012628).
  - arm64: Handle .ARM.attributes section in linker scripts
    (bsc#1012628).
  - ptp: vmclock: Don't unregister misc device if it was not
    registered (bsc#1012628).
  - mmc: mtk-sd: Fix register settings for hs400(es) mode
    (bsc#1012628).
  - ptp: vmclock: Add .owner to vmclock_miscdev_fops (bsc#1012628).
  - sched_ext: Fix migration disabled handling in targeted
    dispatches (bsc#1012628).
  - ptp: vmclock: Set driver data before its usage (bsc#1012628).
  - igc: Set buffer type for empty frames in igc_init_empty_frame
    (bsc#1012628).
  - cifs: pick channels for individual subrequests (bsc#1012628).
  - ACPI: GTDT: Relax sanity checking on Platform Timers array count
    (bsc#1012628).
  - mlxsw: Add return value check for mlxsw_sp_port_get_stats_raw()
    (bsc#1012628).
  - btrfs: fix hole expansion when writing at an offset beyond EOF
    (bsc#1012628).
  - include: net: add static inline dst_dev_overhead() to dst.h
    (bsc#1012628).
  - net: ipv6: ioam6_iptunnel: mitigate 2-realloc issue
    (bsc#1012628).
  - net: ipv6: seg6_iptunnel: mitigate 2-realloc issue
    (bsc#1012628).
  - net: ipv6: rpl_iptunnel: mitigate 2-realloc issue (bsc#1012628).
  - net: ipv6: fix dst ref loops in rpl, seg6 and ioam6 lwtunnels
    (bsc#1012628).
  - clocksource: Use pr_info() for "Checking clocksource
    synchronization" message (bsc#1012628).
  - clocksource: Use migrate_disable() to avoid calling
    get_random_u32() in atomic context (bsc#1012628).
  - drm/xe/oa/uapi: Make OA buffer size configurable (bsc#1012628).
  - drm/xe/oa/uapi: Expose an unblock after N reports OA property
    (bsc#1012628).
  - drm/xe/oa: Set stream->pollin in xe_oa_buffer_check_unlocked
    (bsc#1012628).
  - scsi: ufs: core: Introduce ufshcd_has_pending_tasks()
    (bsc#1012628).
  - scsi: ufs: core: Prepare to introduce a new clock_gating lock
    (bsc#1012628).
  - scsi: ufs: core: Introduce a new clock_gating lock
    (bsc#1012628).
  - scsi: ufs: Fix toggling of clk_gating.state when clock gating
    is not allowed (bsc#1012628).
  - samples/hid: remove unnecessary -I flags from libbpf
    EXTRA_CFLAGS (bsc#1012628).
  - samples/hid: fix broken vmlinux path for VMLINUX_BTF
    (bsc#1012628).
  - rust: kbuild: add -fzero-init-padding-bits to
    bindgen_skip_cflags (bsc#1012628).
  - cpufreq/amd-pstate: Refactor amd_pstate_epp_reenable() and
    amd_pstate_epp_offline() (bsc#1012628).
  - cpufreq/amd-pstate: Remove the cppc_state check in
    offline/online functions (bsc#1012628).
  - cpufreq/amd-pstate: Merge amd_pstate_epp_cpu_offline() and
    amd_pstate_epp_offline() (bsc#1012628).
  - cpufreq/amd-pstate: convert mutex use to guard() (bsc#1012628).
  - cpufreq/amd-pstate: Fix cpufreq_policy ref counting
    (bsc#1012628).
  - ipv4: add RCU protection to ip4_dst_hoplimit() (bsc#1012628).
  - ipv4: use RCU protection in ip_dst_mtu_maybe_forward()
    (bsc#1012628).
  - net: add dev_net_rcu() helper (bsc#1012628).
  - ipv4: use RCU protection in ipv4_default_advmss() (bsc#1012628).
  - ipv4: use RCU protection in rt_is_expired() (bsc#1012628).
  - ipv4: use RCU protection in inet_select_addr() (bsc#1012628).
  - ipv4: use RCU protection in __ip_rt_update_pmtu() (bsc#1012628).
  - ipv4: icmp: convert to dev_net_rcu() (bsc#1012628).
  - flow_dissector: use RCU protection to fetch dev_net()
    (bsc#1012628).
  - ipv6: use RCU protection in ip6_default_advmss() (bsc#1012628).
  - ipv6: icmp: convert to dev_net_rcu() (bsc#1012628).
  - compiler.h: Move C string helpers into C-only kernel section
    (bsc#1012628).
  - genirq: Remove leading space from irq_chip::irq_print_chip()
    callbacks (bsc#1012628).
  - HID: hid-steam: Make sure rumble work is canceled on removal
    (bsc#1012628).
  - HID: hid-steam: Move hidraw input (un)registering to work
    (bsc#1012628).
  - ndisc: use RCU protection in ndisc_alloc_skb() (bsc#1012628).
  - neighbour: use RCU protection in __neigh_notify() (bsc#1012628).
  - arp: use RCU protection in arp_xmit() (bsc#1012628).
  - openvswitch: use RCU protection in ovs_vport_cmd_fill_info()
    (bsc#1012628).
  - ndisc: extend RCU protection in ndisc_send_skb() (bsc#1012628).
  - ipv6: mcast: extend RCU protection in igmp6_send()
    (bsc#1012628).
  - btrfs: rename __get_extent_map() and pass btrfs_inode
    (bsc#1012628).
  - btrfs: fix stale page cache after race between readahead and
    direct IO write (bsc#1012628).
  - io_uring/uring_cmd: cleanup struct io_uring_cmd_data layout
    (bsc#1012628).
  - io_uring/uring_cmd: don't assume io_uring_cmd_data layout
    (bsc#1012628).
  - io_uring/uring_cmd: switch sqe to async_data on EAGAIN
    (bsc#1012628).
  - ipv6: mcast: add RCU protection to mld_newpack() (bsc#1012628).
  - io_uring/uring_cmd: unconditionally copy SQEs at prep time
    (bsc#1012628).
  - drm/tidss: Fix issue in irq handling causing irq-flood issue
    (bsc#1012628).
  - drm/tidss: Fix race condition while handling interrupt registers
    (bsc#1012628).
  - drm/tidss: Clear the interrupt status for interrupts being
    disabled (bsc#1012628).
  - drm/msm/gem: prevent integer overflow in msm_ioctl_gem_submit()
    (bsc#1012628).
  - drm/rcar-du: dsi: Fix PHY lock bit check (bsc#1012628).
  - drm/msm/dpu: fix x1e80100 intf_6 underrun/vsync interrupt
    (bsc#1012628).
  - drm/msm/dpu1: don't choke on disabling the writeback connector
    (bsc#1012628).
  - drm/v3d: Stop active perfmon if it is being destroyed
    (bsc#1012628).
  - drm: zynqmp_dp: Fix integer overflow in zynqmp_dp_rate_get()
    (bsc#1012628).
  - drm/xe/tracing: Fix a potential TP_printk UAF (bsc#1012628).
  - drm: renesas: rz-du: Increase supported resolutions
    (bsc#1012628).
  - cpufreq/amd-pstate: Remove the goto label in
    amd_pstate_update_limits (bsc#1012628).
  - net: ipv6: fix dst refleaks in rpl, seg6 and ioam6 lwtunnels
    (bsc#1012628).
  - scsi: ufs: core: Ensure clk_gating.lock is used only after
    initialization (bsc#1012628).
  - sched_ext: Fix incorrect assumption about migration disabled
    tasks in task_can_run_on_remote_rq() (bsc#1012628).
  - io_uring/kbuf: reallocate buf lists on upgrade (bsc#1012628).
  - vsock: Keep the binding until socket destruction (bsc#1012628).
  - vsock: Orphan socket after transport release (bsc#1012628).
  - Revert "vfio/platform: check the bounds of read/write syscalls"
    (bsc#1012628).
  - Update config files.
  - Rename to
    patches.kernel.org/6.13.4-001-HID-corsair-void-Initialise-memory-for-psy_cfg.patch.
  - Rename to
    patches.kernel.org/6.13.4-002-HID-corsair-void-Add-missing-delayed-work-canc.patch.
  - Rename to
    patches.kernel.org/6.13.4-051-thermal-netlink-Prevent-userspace-segmentation.patch.
  - Rename to
    patches.kernel.org/6.13.4-087-PCI-DPC-Quirk-PIO-log-size-for-Intel-Raptor-La.patch.
  - commit 9f6800f
* Thu Feb 20 2025 tiwai@suse.de
  - PCI: Use downstream bridges for distributing resources
    (bsc#1237325).
  - commit 96fa401
* Thu Feb 20 2025 msuchanek@suse.de
  - Fix conditional for selecting gcc-13
    Fixes: 51dacec21eb1 ("Use gcc-13 for build on SLE16 (jsc#PED-10028).")
  - commit 07542ae
* Wed Feb 19 2025 tiwai@suse.de
  - ASoC: Intel: sof_sdw: Add quirk for Asus Zenbook S14
    (bsc#1237161).
  - ASoC: Intel: sof_sdw: Add lookup of quirk using PCI subsystem ID
    (bsc#1237161).
  - commit 12c6e27
* Wed Feb 19 2025 tiwai@suse.de
  - wifi: rtw89: phy: add dummy C2H event handler for report of
    TAS power (bsc#1236340).
  - commit a27f785
* Mon Feb 17 2025 jslaby@suse.cz
  - Linux 6.13.3 (bsc#1012628).
  - irqchip/lan966x-oic: Make CONFIG_LAN966X_OIC depend on
    CONFIG_MCHP_LAN966X_PCI (bsc#1012628).
  - btrfs: fix lockdep splat while merging a relocation root
    (bsc#1012628).
  - btrfs: fix assertion failure when splitting ordered extent
    after transaction abort (bsc#1012628).
  - btrfs: do not output error message if a qgroup has been already
    cleaned up (bsc#1012628).
  - btrfs: fix use-after-free when attempting to join an aborted
    transaction (bsc#1012628).
  - arm64/mm: Ensure adequate HUGE_MAX_HSTATE (bsc#1012628).
  - exec: fix up /proc/pid/comm in the execveat(AT_EMPTY_PATH)
    case (bsc#1012628).
  - s390/stackleak: Use exrl instead of ex in __stackleak_poison()
    (bsc#1012628).
  - btrfs: fix data race when accessing the inode's disk_i_size
    at btrfs_drop_extents() (bsc#1012628).
  - btrfs: convert BUG_ON in btrfs_reloc_cow_block() to proper
    error handling (bsc#1012628).
  - btrfs: don't use btrfs_set_item_key_safe on RAID stripe-extents
    (bsc#1012628).
  - sched: Don't try to catch up excess steal time (bsc#1012628).
  - x86: Convert unreachable() to BUG() (bsc#1012628).
  - locking/ww_mutex/test: Use swap() macro (bsc#1012628).
  - lockdep: Fix upper limit for LOCKDEP_*_BITS configs
    (bsc#1012628).
  - x86/amd_nb: Restrict init function to AMD-based systems
    (bsc#1012628).
  - drm/virtio: New fence for every plane update (bsc#1012628).
  - drm: Add panel backlight quirks (bsc#1012628).
  - drm/amd/display: Add support for minimum backlight quirk
    (bsc#1012628).
  - drm: panel-backlight-quirks: Add Framework 13 matte panel
    (bsc#1012628).
  - drm: panel-backlight-quirks: Add Framework 13 glossy and 2.8k
    panels (bsc#1012628).
  - nvkm/gsp: correctly advance the read pointer of GSP message
    queue (bsc#1012628).
  - nvkm: correctly calculate the available space of the GSP cmdq
    buffer (bsc#1012628).
  - drm/tests: hdmi: handle empty modes in find_preferred_mode()
    (bsc#1012628).
  - drm/tests: hdmi: return meaningful value from
    set_connector_edid() (bsc#1012628).
  - drm/amd/display: Populate chroma prefetch parameters, DET
    buffer fix (bsc#1012628).
  - drm/amd/display: Overwriting dualDPP UBF values before usage
    (bsc#1012628).
  - printk: Fix signed integer overflow when defining
    LOG_BUF_LEN_MAX (bsc#1012628).
  - drm/msm/dpu: filter out too wide modes if no 3dmux is present
    (bsc#1012628).
  - drm/connector: add mutex to protect ELD from concurrent access
    (bsc#1012628).
  - drm/bridge: anx7625: use eld_mutex to protect access to
    connector->eld (bsc#1012628).
  - drm/bridge: ite-it66121: use eld_mutex to protect access to
    connector->eld (bsc#1012628).
  - drm/amd/display: use eld_mutex to protect access to
    connector->eld (bsc#1012628).
  - drm/exynos: hdmi: use eld_mutex to protect access to
    connector->eld (bsc#1012628).
  - drm/msm/dp: use eld_mutex to protect access to connector->eld
    (bsc#1012628).
  - drm/radeon: use eld_mutex to protect access to connector->eld
    (bsc#1012628).
  - drm/sti: hdmi: use eld_mutex to protect access to connector->eld
    (bsc#1012628).
  - drm/vc4: hdmi: use eld_mutex to protect access to connector->eld
    (bsc#1012628).
  - drm/amd/display: Fix Mode Cutoff in DSC Passthrough to DP2.1
    Monitor (bsc#1012628).
  - drm/amdgpu: Don't enable sdma 4.4.5 CTXEMPTY interrupt
    (bsc#1012628).
  - drm/amdkfd: Queue interrupt work to different CPU (bsc#1012628).
  - drm/bridge: it6505: Change definition MAX_HDCP_DOWN_STREAM_COUNT
    (bsc#1012628).
  - drm/bridge: it6505: fix HDCP Bstatus check (bsc#1012628).
  - drm/bridge: it6505: fix HDCP encryption when R0 ready
    (bsc#1012628).
  - drm/bridge: it6505: fix HDCP CTS compare V matching
    (bsc#1012628).
  - drm/bridge: it6505: fix HDCP CTS KSV list wait timer
    (bsc#1012628).
  - safesetid: check size of policy writes (bsc#1012628).
  - drm/amd/display: Increase sanitizer frame larger than limit
    when compile testing with clang (bsc#1012628).
  - drm/amd/display: Limit Scaling Ratio on DCN3.01 (bsc#1012628).
  - ring-buffer: Make reading page consistent with the code logic
    (bsc#1012628).
  - wifi: ath12k: Fix for out-of bound access error (bsc#1012628).
  - wifi: ath12k: ath12k_mac_op_set_key(): fix uninitialized symbol
    'ret' (bsc#1012628).
  - wifi: rtw89: add crystal_cap check to avoid setting as overflow
    value (bsc#1012628).
  - tun: fix group permission check (bsc#1012628).
  - mmc: core: Respect quirk_max_rate for non-UHS SDIO card
    (bsc#1012628).
  - mmc: sdhci-esdhc-imx: enable 'SDHCI_QUIRK_NO_LED' quirk for S32G
    (bsc#1012628).
  - wifi: brcmsmac: add gain range check to
    wlc_phy_iqcal_gainparams_nphy() (bsc#1012628).
  - tomoyo: don't emit warning in tomoyo_write_control()
    (bsc#1012628).
  - mfd: lpc_ich: Add another Gemini Lake ISA bridge PCI device-id
    (bsc#1012628).
  - wifi: rtw88: add __packed attribute to efuse layout struct
    (bsc#1012628).
  - clk: qcom: Make GCC_8150 depend on QCOM_GDSC (bsc#1012628).
  - net/mlx5: HWS, change error flow on matcher disconnect
    (bsc#1012628).
  - net/mlx5: HWS, num_of_rules counter on matcher should be atomic
    (bsc#1012628).
  - HID: multitouch: Add quirk for Hantick 5288 touchpad
    (bsc#1012628).
  - HID: Wacom: Add PCI Wacom device support (bsc#1012628).
  - net/mlx5: use do_aux_work for PHC overflow checks (bsc#1012628).
  - Revert "mfd: axp20x: Allow multiple regulators" (bsc#1012628).
  - wifi: brcmfmac: Check the return value of
    of_property_read_string_index() (bsc#1012628).
  - wifi: iwlwifi: pcie: Add support for new device ids
    (bsc#1012628).
  - wifi: iwlwifi: avoid memory leak (bsc#1012628).
  - i2c: Force ELAN06FA touchpad I2C bus freq to 100KHz
    (bsc#1012628).
  - APEI: GHES: Have GHES honor the panic= setting (bsc#1012628).
  - Bluetooth: btusb: Add ID 0x2c7c:0x0130 for Qualcomm WCN785x
    (bsc#1012628).
  - Bluetooth: btusb: Add new VID/PID 13d3/3610 for MT7922
    (bsc#1012628).
  - Bluetooth: btusb: Add new VID/PID 13d3/3628 for MT7925
    (bsc#1012628).
  - Bluetooth: MGMT: Fix slab-use-after-free Read in
    mgmt_remove_adv_monitor_sync (bsc#1012628).
  - net: wwan: iosm: Fix hibernation by re-binding the driver
    around it (bsc#1012628).
  - HID: hid-asus: Disable OOBE mode on the ProArt P16
    (bsc#1012628).
  - mmc: sdhci-msm: Correctly set the load for the regulator
    (bsc#1012628).
  - octeon_ep: update tx/rx stats locally for persistence
    (bsc#1012628).
  - octeon_ep_vf: update tx/rx stats locally for persistence
    (bsc#1012628).
  - tipc: re-order conditions in tipc_crypto_key_rcv()
    (bsc#1012628).
  - selftests/net/ipsec: Fix Null pointer dereference in
    rtattr_pack() (bsc#1012628).
  - net: ethernet: ti: am65-cpsw: ensure proper channel cleanup
    in error path (bsc#1012628).
  - ASoC: SOF: Intel: hda-dai: Ensure DAI widget is valid during
    params (bsc#1012628).
  - bpf: Improve verifier log for resource leak on exit
    (bsc#1012628).
  - x86/kexec: Allocate PGD for x86_64 transition page tables
    separately (bsc#1012628).
  - ASoC: Intel: sof_sdw: Correct quirk for Lenovo Yoga Slim 7
    (bsc#1012628).
  - iommu/arm-smmu-qcom: add sdm670 adreno iommu compatible
    (bsc#1012628).
  - iommu/arm-smmu-v3: Clean up more on probe failure (bsc#1012628).
  - platform/x86: int3472: Check for adev == NULL (bsc#1012628).
  - platform/x86: acer-wmi: Add support for Acer PH14-51
    (bsc#1012628).
  - ASoC: soc-pcm: don't use soc_pcm_ret() on .prepare callback
    (bsc#1012628).
  - iommu/vt-d: Avoid use of NULL after WARN_ON_ONCE (bsc#1012628).
  - platform/x86: acer-wmi: Add support for Acer Predator PH16-72
    (bsc#1012628).
  - ASoC: amd: Add ACPI dependency to fix build error (bsc#1012628).
  - Input: allocate keycode for phone linking (bsc#1012628).
  - platform/x86: acer-wmi: add support for Acer Nitro AN515-58
    (bsc#1012628).
  - platform/x86: acer-wmi: Ignore AC events (bsc#1012628).
  - tty: xilinx_uartps: split sysrq handling (bsc#1012628).
  - tty: Permit some TIOCL_SETSEL modes without CAP_SYS_ADMIN
    (bsc#1012628).
  - platform/x86: serdev_helpers: Check for serial_ctrl_uid ==
    NULL (bsc#1012628).
  - sched/fair: Fix inaccurate h_nr_runnable accounting with
    delayed dequeue (bsc#1012628).
  - nvme: handle connectivity loss in nvme_set_queue_count
    (bsc#1012628).
  - nvmet: fix a memory leak in controller identify (bsc#1012628).
  - firmware: iscsi_ibft: fix ISCSI_IBFT Kconfig entry
    (bsc#1012628).
  - gpu: drm_dp_cec: fix broken CEC adapter properties check
    (bsc#1012628).
  - ice: put Rx buffers after being done with current frame
    (bsc#1012628).
  - ice: gather page_count()'s of each frag right before XDP prog
    call (bsc#1012628).
  - ice: stop storing XDP verdict within ice_rx_buf (bsc#1012628).
  - nvme: make nvme_tls_attrs_group static (bsc#1012628).
  - nvme-fc: use ctrl state getter (bsc#1012628).
  - net: bcmgenet: Correct overlaying of PHY and MAC Wake-on-LAN
    (bsc#1012628).
  - ice: Add check for devm_kzalloc() (bsc#1012628).
  - vmxnet3: Fix tx queue race condition with XDP (bsc#1012628).
  - tg3: Disable tg3 PCIe AER on system reboot (bsc#1012628).
  - udp: gso: do not drop small packets when PMTU reduces
    (bsc#1012628).
  - drm/i915/hdcp: Fix Repeater authentication during topology
    change (bsc#1012628).
  - drm/i915/dp: fix the Adaptive sync Operation mode for SDP
    (bsc#1012628).
  - drm/xe/oa: Preserve oa_ctrl unused bits (bsc#1012628).
  - drm/xe/pf: Fix migration initialization (bsc#1012628).
  - ethtool: rss: fix hiding unsupported fields in dumps
    (bsc#1012628).
  - ethtool: ntuple: fix rss + ring_cookie check (bsc#1012628).
  - selftests: drv-net: rss_ctx: add missing cleanup in queue
    reconfigure (bsc#1012628).
  - rxrpc: Fix the rxrpc_connection attend queue handling
    (bsc#1012628).
  - gpio: pca953x: Improve interrupt support (bsc#1012628).
  - net: atlantic: fix warning during hot unplug (bsc#1012628).
  - net: rose: lock the socket in rose_bind() (bsc#1012628).
  - gpio: sim: lock hog configfs items if present (bsc#1012628).
  - gpio: GPIO_GRGPIO should depend on OF (bsc#1012628).
  - x86/xen: fix xen_hypercall_hvm() to not clobber %rbx
    (bsc#1012628).
  - x86/xen: add FRAME_END to xen_hypercall_hvm() (bsc#1012628).
  - ACPI: property: Fix return value for nval == 0 in
    acpi_data_prop_read() (bsc#1012628).
  - pfifo_tail_enqueue: Drop new packet when sch->limit == 0
    (bsc#1012628).
  - netem: Update sch->q.qlen before qdisc_tree_reduce_backlog()
    (bsc#1012628).
  - tun: revert fix group permission check (bsc#1012628).
  - net: sched: Fix truncation of offloaded action statistics
    (bsc#1012628).
  - rxrpc: Fix call state set to not include the SERVER_SECURING
    state (bsc#1012628).
  - PCI/TPH: Restore TPH Requester Enable correctly (bsc#1012628).
  - cpufreq: fix using cpufreq-dt as module (bsc#1012628).
  - cpufreq: s3c64xx: Fix compilation warning (bsc#1012628).
  - leds: lp8860: Write full EEPROM, not only half of it
    (bsc#1012628).
  - ALSA: hda/realtek: Enable Mute LED on HP Laptop 14s-fq1xxx
    (bsc#1012628).
  - cifs: Remove intermediate object of failed create SFU call
    (bsc#1012628).
  - drm/modeset: Handle tiled displays in pan_display_atomic
    (bsc#1012628).
  - drm/client: Handle tiled displays better (bsc#1012628).
  - smb: client: fix order of arguments of tracepoints
    (bsc#1012628).
  - smb: client: change lease epoch type from unsigned int to __u16
    (bsc#1012628).
  - md: reintroduce md-linear (bsc#1012628).
  - s390/futex: Fix FUTEX_OP_ANDN implementation (bsc#1012628).
  - arm64: Filter out SVE hwcaps when FEAT_SVE isn't implemented
    (bsc#1012628).
  - m68k: vga: Fix I/O defines (bsc#1012628).
  - fs/proc: do_task_stat: Fix ESP not readable during coredump
    (bsc#1012628).
  - block: mark GFP_NOIO around sysfs ->store() (bsc#1012628).
  - binfmt_flat: Fix integer overflow bug on 32 bit systems
    (bsc#1012628).
  - perf: imx9_perf: Introduce AXI filter version to refactor the
    driver and better extension (bsc#1012628).
  - accel/ivpu: Fix Qemu crash when running in passthrough
    (bsc#1012628).
  - arm64/kvm: Configure HYP TCR.PS/DS based on host stage1
    (bsc#1012628).
  - arm64/mm: Override PARange for !LPA2 and use it consistently
    (bsc#1012628).
  - arm64/sme: Move storage of reg_smidr to __cpuinfo_store_cpu()
    (bsc#1012628).
  - arm64/mm: Reduce PA space to 48 bits when LPA2 is not enabled
    (bsc#1012628).
  - KVM: arm64: timer: Always evaluate the need for a soft timer
    (bsc#1012628).
  - drm/rockchip: cdn-dp: Use drm_connector_helper_hpd_irq_event()
    (bsc#1012628).
  - arm64: dts: rockchip: increase gmac rx_delay on rk3399-puma
    (bsc#1012628).
  - remoteproc: omap: Handle ARM dma_iommu_mapping (bsc#1012628).
  - KVM: nVMX: Defer SVI update to vmcs01 on EOI when L2 is active
    w/o VID (bsc#1012628).
  - KVM: Explicitly verify target vCPU is online in kvm_get_vcpu()
    (bsc#1012628).
  - kvm: defer huge page recovery vhost task to later (bsc#1012628).
  - KVM: s390: vsie: fix some corner-cases when grabbing vsie pages
    (bsc#1012628).
  - ksmbd: fix integer overflows on 32 bit systems (bsc#1012628).
  - drm/amd/display: Optimize cursor position updates (bsc#1012628).
  - drm/amd/pm: Mark MM activity as unsupported (bsc#1012628).
  - drm/amd/amdgpu: change the config of cgcg on gfx12
    (bsc#1012628).
  - drm/amdkfd: only flush the validate MES contex (bsc#1012628).
  - drm/amdkfd: Block per-queue reset when halt_if_hws_hang=1
    (bsc#1012628).
  - Revert "drm/amd/display: Use HW lock mgr for PSR1"
    (bsc#1012628).
  - drm/i915/guc: Debug print LRC state entries only if the context
    is pinned (bsc#1012628).
  - drm/i915: Fix page cleanup on DMA remap failure (bsc#1012628).
  - drm/ast: astdp: Fix timeout for enabling video signal
    (bsc#1012628).
  - drm/komeda: Add check for komeda_get_layer_fourcc_list()
    (bsc#1012628).
  - drm/xe/devcoredump: Move exec queue snapshot to Contexts section
    (bsc#1012628).
  - drm/i915/dp: Iterate DSC BPP from high to low on all platforms
    (bsc#1012628).
  - drm/i915: Drop 64bpp YUV formats from ICL+ SDR planes
    (bsc#1012628).
  - drm/amdgpu: add a BO metadata flag to disable write compression
    for Vulkan (bsc#1012628).
  - drm/amd/display: Fix seamless boot sequence (bsc#1012628).
  - drm/xe: Fix and re-enable xe_print_blob_ascii85() (bsc#1012628).
  - Bluetooth: L2CAP: handle NULL sock pointer in l2cap_sock_alloc
    (bsc#1012628).
  - Bluetooth: L2CAP: accept zero as a special value for MTU
    auto-selection (bsc#1012628).
  - KEYS: trusted: dcp: fix improper sg use with CONFIG_VMAP_STACK=y
    (bsc#1012628).
  - clk: sunxi-ng: a100: enable MMC clock reparenting (bsc#1012628).
  - clk: mmp2: call pm_genpd_init() only after genpd.name is set
    (bsc#1012628).
  - media: i2c: ds90ub960: Fix UB9702 refclk register access
    (bsc#1012628).
  - clk: clk-loongson2: Fix the number count of clk provider
    (bsc#1012628).
  - clk: qcom: clk-alpha-pll: fix alpha mode configuration
    (bsc#1012628).
  - clk: qcom: gcc-sm8550: Do not turn off PCIe GDSCs during
    gdsc_disable() (bsc#1012628).
  - clk: qcom: gcc-sm8650: Do not turn off PCIe GDSCs during
    gdsc_disable() (bsc#1012628).
  - clk: qcom: gcc-sm6350: Add missing parent_map for two clocks
    (bsc#1012628).
  - clk: qcom: dispcc-sm6350: Add missing parent_map for a clock
    (bsc#1012628).
  - clk: qcom: gcc-mdm9607: Fix cmd_rcgr offset for blsp1_uart6 rcg
    (bsc#1012628).
  - clk: qcom: clk-rpmh: prevent integer overflow in recalc_rate
    (bsc#1012628).
  - clk: mediatek: mt2701-vdec: fix conversion to
    mtk_clk_simple_probe (bsc#1012628).
  - clk: mediatek: mt2701-aud: fix conversion to
    mtk_clk_simple_probe (bsc#1012628).
  - clk: mediatek: mt2701-bdp: add missing dummy clk (bsc#1012628).
  - clk: mediatek: mt2701-img: add missing dummy clk (bsc#1012628).
  - clk: mediatek: mt2701-mm: add missing dummy clk (bsc#1012628).
  - seccomp: passthrough uretprobe systemcall without filtering
    (bsc#1012628).
  - blk-cgroup: Fix class @block_class's subsystem refcount leakage
    (bsc#1012628).
  - efi: libstub: Use '-std=gnu11' to fix build with GCC 15
    (bsc#1012628).
  - x86/efi: skip memattr table on kexec boot (bsc#1012628).
  - perf bench: Fix undefined behavior in cmpworker() (bsc#1012628).
  - scsi: ufs: core: Fix the HIGH/LOW_TEMP Bit Definitions
    (bsc#1012628).
  - of: Correct child specifier used as input of the 2nd nexus node
    (bsc#1012628).
  - of: address: Fix empty resource handling in
    __of_address_resource_bounds() (bsc#1012628).
  - of: Fix of_find_node_opts_by_path() handling of
    alias+path+options (bsc#1012628).
  - of: reserved-memory: Fix using wrong number of cells to get
    property 'alignment' (bsc#1012628).
  - of: reserved-memory: Warn for missing static reserved memory
    regions (bsc#1012628).
  - Input: bbnsm_pwrkey - add remove hook (bsc#1012628).
  - HID: hid-sensor-hub: don't use stale platform-data on remove
    (bsc#1012628).
  - ring-buffer: Do not allow events in NMI with generic atomic64
    cmpxchg() (bsc#1012628).
  - atomic64: Use arch_spin_locks instead of raw_spin_locks
    (bsc#1012628).
  - wifi: rtlwifi: rtl8821ae: Fix media status report (bsc#1012628).
  - wifi: brcmfmac: fix NULL pointer dereference in
    brcmf_txfinalize() (bsc#1012628).
  - wifi: mt76: mt7921u: Add VID/PID for TP-Link TXE50UH
    (bsc#1012628).
  - wifi: rtw88: sdio: Fix disconnection after beacon loss
    (bsc#1012628).
  - wifi: mt76: mt7915: add module param to select 5 GHz or 6 GHz
    on MT7916 (bsc#1012628).
  - wifi: rtw88: 8703b: Fix RX/TX issues (bsc#1012628).
  - usb: gadget: f_tcm: Translate error to sense (bsc#1012628).
  - usb: gadget: f_tcm: Decrement command ref count on cleanup
    (bsc#1012628).
  - usb: gadget: f_tcm: ep_autoconfig with fullspeed endpoint
    (bsc#1012628).
  - usb: gadget: f_tcm: Don't prepare BOT write request twice
    (bsc#1012628).
  - usbnet: ipheth: fix possible overflow in DPE length check
    (bsc#1012628).
  - usbnet: ipheth: use static NDP16 location in URB (bsc#1012628).
  - usbnet: ipheth: check that DPE points past NCM header
    (bsc#1012628).
  - usbnet: ipheth: refactor NCM datagram loop (bsc#1012628).
  - usbnet: ipheth: break up NCM header size computation
    (bsc#1012628).
  - usbnet: ipheth: fix DPE OoB read (bsc#1012628).
  - usbnet: ipheth: document scope of NCM implementation
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100-asus-vivobook-s15: Fix USB QMP PHY
    supplies (bsc#1012628).
  - arm64: dts: qcom: x1e80100-dell-xps13-9345: Fix USB QMP PHY
    supplies (bsc#1012628).
  - arm64: dts: qcom: x1e80100-qcp: Fix USB QMP PHY supplies
    (bsc#1012628).
  - arm64: dts: qcom: x1e78100-lenovo-thinkpad-t14s: Fix USB QMP
    PHY supplies (bsc#1012628).
  - arm64: dts: qcom: x1e80100-crd: Fix USB QMP PHY supplies
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100-lenovo-yoga-slim7x: Fix USB QMP
    PHY supplies (bsc#1012628).
  - arm64: dts: qcom: x1e80100-microsoft-romulus: Fix USB QMP PHY
    supplies (bsc#1012628).
  - arm64: dts: qcom: x1e80100: Fix usb_2 controller interrupts
    (bsc#1012628).
  - ASoC: renesas: rz-ssi: Terminate all the DMA transactions
    (bsc#1012628).
  - ASoC: renesas: rz-ssi: Add a check for negative sample_space
    (bsc#1012628).
  - ASoC: acp: Support microphone from Lenovo Go S (bsc#1012628).
  - soc: qcom: socinfo: Avoid out of bounds read of serial number
    (bsc#1012628).
  - serial: sh-sci: Drop __initdata macro for port_cfg
    (bsc#1012628).
  - serial: sh-sci: Do not probe the serial port if its slot in
    sci_ports[] is in use (bsc#1012628).
  - MIPS: Loongson64: remove ROM Size unit in boardinfo
    (bsc#1012628).
  - LoongArch: Extend the maximum number of watchpoints
    (bsc#1012628).
  - powerpc/pseries/eeh: Fix get PE state translation (bsc#1012628).
  - dm-crypt: don't update io->sector after
    kcryptd_crypt_write_io_submit() (bsc#1012628).
  - dm-crypt: track tag_offset in convert_context (bsc#1012628).
  - mips/math-emu: fix emulation of the prefx instruction
    (bsc#1012628).
  - MIPS: pci-legacy: Override pci_address_to_pio (bsc#1012628).
  - Revert "MIPS: csrc-r4k: Select HAVE_UNSTABLE_SCHED_CLOCK if
    SMP && 64BIT" (bsc#1012628).
  - block: don't revert iter for -EIOCBQUEUED (bsc#1012628).
  - firmware: qcom: scm: Fix missing read barrier in
    qcom_scm_is_available() (bsc#1012628).
  - firmware: qcom: scm: Fix missing read barrier in
    qcom_scm_get_tzmem_pool() (bsc#1012628).
  - ALSA: hda/realtek: Enable headset mic on Positivo C6400
    (bsc#1012628).
  - ALSA: hda/realtek: Fix quirk matching for Legion Pro 7
    (bsc#1012628).
  - ALSA: hda: Fix headset detection failure due to unstable sort
    (bsc#1012628).
  - arm64: tegra: Fix Tegra234 PCIe interrupt-map (bsc#1012628).
  - s390/pci: Fix SR-IOV for PFs initially in standby (bsc#1012628).
  - PCI: Avoid putting some root ports into D3 on TUXEDO Sirius Gen1
    (bsc#1012628).
  - PCI: endpoint: Finish virtual EP removal in
    pci_epf_remove_vepf() (bsc#1012628).
  - PCI: dwc: ep: Write BAR_MASK before iATU registers in
    pci_epc_set_bar() (bsc#1012628).
  - PCI: dwc: ep: Prevent changing BAR size/flags in
    pci_epc_set_bar() (bsc#1012628).
  - nvme-pci: Add TUXEDO InfinityFlex to Samsung sleep quirk
    (bsc#1012628).
  - nvme-pci: Add TUXEDO IBP Gen9 to Samsung sleep quirk
    (bsc#1012628).
  - KVM: x86/mmu: Ensure NX huge page recovery thread is alive
    before waking (bsc#1012628).
  - scsi: st: Don't set pos_unknown just after device recognition
    (bsc#1012628).
  - scsi: qla2xxx: Move FCE Trace buffer allocation to user control
    (bsc#1012628).
  - scsi: ufs: qcom: Fix crypto key eviction (bsc#1012628).
  - scsi: ufs: core: Fix use-after free in init error and remove
    paths (bsc#1012628).
  - scsi: storvsc: Set correct data length for sending SCSI command
    without payload (bsc#1012628).
  - scsi: core: Do not retry I/Os during depopulation (bsc#1012628).
  - kbuild: Move -Wenum-enum-conversion to W=2 (bsc#1012628).
  - pidfs: check for valid ioctl commands (bsc#1012628).
  - pidfs: improve ioctl handling (bsc#1012628).
  - rust: init: use explicit ABI to clean warning in future
    compilers (bsc#1012628).
  - x86: rust: set rustc-abi=x86-softfloat on rustc>=1.86.0
    (bsc#1012628).
  - x86/acpi: Fix LAPIC/x2APIC parsing order (bsc#1012628).
  - x86/boot: Use '-std=gnu11' to fix build with GCC 15
    (bsc#1012628).
  - ubi: Add a check for ubi_num (bsc#1012628).
  - ARM: dts: dra7: Add bus_dma_limit for l4 cfg bus (bsc#1012628).
  - ARM: dts: ti/omap: gta04: fix pm issues caused by spi module
    (bsc#1012628).
  - arm64: dts: mediatek: mt8183: Disable DPI display output by
    default (bsc#1012628).
  - arm64: dts: mediatek: mt8183: Disable DSI display output by
    default (bsc#1012628).
  - arm64: dts: qcom: sdx75: Fix MPSS memory length (bsc#1012628).
  - arm64: dts: qcom: x1e80100: Fix ADSP memory base and length
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100: Fix CDSP memory length
    (bsc#1012628).
  - arm64: dts: qcom: sm6115: Fix MPSS memory length (bsc#1012628).
  - arm64: dts: qcom: sm6115: Fix CDSP memory length (bsc#1012628).
  - arm64: dts: qcom: sm6115: Fix ADSP memory base and length
    (bsc#1012628).
  - arm64: dts: qcom: sm6350: Fix ADSP memory length (bsc#1012628).
  - arm64: dts: qcom: sm6350: Fix MPSS memory length (bsc#1012628).
  - arm64: dts: qcom: sm6350: Fix uart1 interconnect path
    (bsc#1012628).
  - arm64: dts: qcom: sm6375: Fix ADSP memory length (bsc#1012628).
  - arm64: dts: qcom: sm6375: Fix CDSP memory base and length
    (bsc#1012628).
  - arm64: dts: qcom: sm6375: Fix MPSS memory base and length
    (bsc#1012628).
  - arm64: dts: qcom: sm8350: Fix ADSP memory base and length
    (bsc#1012628).
  - arm64: dts: qcom: sm8350: Fix CDSP memory base and length
    (bsc#1012628).
  - arm64: dts: qcom: sm8350: Fix MPSS memory length (bsc#1012628).
  - arm64: dts: qcom: sm8450: Fix ADSP memory base and length
    (bsc#1012628).
  - arm64: dts: qcom: sm8450: Fix CDSP memory length (bsc#1012628).
  - arm64: dts: qcom: sm8450: Fix MPSS memory length (bsc#1012628).
  - arm64: dts: qcom: sm8550: Fix ADSP memory base and length
    (bsc#1012628).
  - arm64: dts: qcom: sm8550: Fix CDSP memory length (bsc#1012628).
  - arm64: dts: qcom: sm8550: Fix MPSS memory length (bsc#1012628).
  - arm64: dts: qcom: sm8650: Fix ADSP memory base and length
    (bsc#1012628).
  - arm64: dts: qcom: sm8650: Fix CDSP memory length (bsc#1012628).
  - arm64: dts: qcom: sm8650: Fix MPSS memory length (bsc#1012628).
  - arm64: dts: qcom: sm8550: correct MDSS interconnects
    (bsc#1012628).
  - arm64: dts: qcom: sm8650: correct MDSS interconnects
    (bsc#1012628).
  - crypto: qce - fix priority to be less than ARMv8 CE
    (bsc#1012628).
  - arm64: tegra: Fix typo in Tegra234 dce-fabric compatible
    (bsc#1012628).
  - arm64: tegra: Disable Tegra234 sce-fabric node (bsc#1012628).
  - parisc: Temporarily disable jump label support (bsc#1012628).
  - pwm: microchip-core: fix incorrect comparison with max period
    (bsc#1012628).
  - xfs: don't call remap_verify_area with sb write protection held
    (bsc#1012628).
  - xfs: Propagate errors from xfs_reflink_cancel_cow_range in
    xfs_dax_write_iomap_end (bsc#1012628).
  - xfs: Add error handling for xfs_reflink_cancel_cow_range
    (bsc#1012628).
  - accel/ivpu: Fix error handling in ivpu_boot() (bsc#1012628).
  - accel/ivpu: Clear runtime_error after
    pm_runtime_resume_and_get() fails (bsc#1012628).
  - accel/ivpu: Fix error handling in recovery/reset (bsc#1012628).
  - ACPI: PRM: Remove unnecessary strict handler address checks
    (bsc#1012628).
  - rv: Reset per-task monitors also for idle tasks (bsc#1012628).
  - hrtimers: Force migrate away hrtimers queued after
    CPUHP_AP_HRTIMERS_DYING (bsc#1012628).
  - jiffies: Cast to unsigned long in secs_to_jiffies() conversion
    (bsc#1012628).
  - iommufd: Fix struct iommu_hwpt_pgfault init and padding
    (bsc#1012628).
  - kfence: skip __GFP_THISNODE allocations on NUMA systems
    (bsc#1012628).
  - media: ccs: Clean up parsed CCS static data on parse failure
    (bsc#1012628).
  - mm/hugetlb: fix avoid_reserve to allow taking folio from subpool
    (bsc#1012628).
  - iio: chemical: bme680: Fix uninitialized variable in
    __bme680_read_raw() (bsc#1012628).
  - iio: dac: ad3552r-common: fix ad3541/2r ranges (bsc#1012628).
  - iio: dac: ad3552r-hs: clear reset status flag (bsc#1012628).
  - iio: light: as73211: fix channel handling in only-color
    triggered buffer (bsc#1012628).
  - iommu/tegra241-cmdqv: Read SMMU IDR1.CMDQS instead of hardcoding
    (bsc#1012628).
  - iommufd/fault: Destroy response and mutex in
    iommufd_fault_destroy() (bsc#1012628).
  - iommufd/fault: Use a separate spinlock to protect fault->deliver
    list (bsc#1012628).
  - soc: samsung: exynos-pmu: Fix uninitialized ret in
    tensor_set_bits_atomic() (bsc#1012628).
  - soc: mediatek: mtk-devapc: Fix leaking IO map on error paths
    (bsc#1012628).
  - soc: mediatek: mtk-devapc: Fix leaking IO map on driver remove
    (bsc#1012628).
  - soc: qcom: llcc: Enable LLCC_WRCACHE at boot on X1
    (bsc#1012628).
  - soc: qcom: smem_state: fix missing of_node_put in error path
    (bsc#1012628).
  - media: mmp: Bring back registration of the device (bsc#1012628).
  - media: Documentation: tx-rx: Fix formatting (bsc#1012628).
  - media: mc: fix endpoint iteration (bsc#1012628).
  - media: nuvoton: Fix an error check in npcm_video_ece_init()
    (bsc#1012628).
  - media: imx296: Add standby delay during probe (bsc#1012628).
  - media: intel/ipu6: remove cpu latency qos request on error
    (bsc#1012628).
  - media: ov5640: fix get_light_freq on auto (bsc#1012628).
  - media: stm32: dcmipp: correct dma_set_mask_and_coherent mask
    value (bsc#1012628).
  - media: venus: destroy hfi session after m2m_ctx release
    (bsc#1012628).
  - media: ccs: Fix CCS static data parsing for large block sizes
    (bsc#1012628).
  - media: ccs: Fix cleanup order in ccs_probe() (bsc#1012628).
  - media: i2c: ds90ub9x3: Fix extra fwnode_handle_put()
    (bsc#1012628).
  - media: i2c: ds90ub960: Fix use of non-existing registers on
    UB9702 (bsc#1012628).
  - media: i2c: ds90ub960: Fix UB9702 VC map (bsc#1012628).
  - media: i2c: ds90ub960: Fix logging SP & EQ status only for
    UB9702 (bsc#1012628).
  - media: uvcvideo: Fix crash during unbind if gpio unit is in use
    (bsc#1012628).
  - media: uvcvideo: Fix event flags in uvc_ctrl_send_events
    (bsc#1012628).
  - media: uvcvideo: Support partial control reads (bsc#1012628).
  - media: uvcvideo: Only save async fh if success (bsc#1012628).
  - media: uvcvideo: Remove redundant NULL assignment (bsc#1012628).
  - media: uvcvideo: Remove dangling pointers (bsc#1012628).
  - mm: kmemleak: fix upper boundary check for physical address
    objects (bsc#1012628).
  - mm: gup: fix infinite loop within __get_longterm_locked
    (bsc#1012628).
  - mm/vmscan: accumulate nr_demoted for accurate demotion
    statistics (bsc#1012628).
  - mm/hugetlb: fix hugepage allocation for interleaved memory nodes
    (bsc#1012628).
  - mm/compaction: fix UBSAN shift-out-of-bounds warning
    (bsc#1012628).
  - ata: libata-sff: Ensure that we cannot write outside the
    allocated buffer (bsc#1012628).
  - irqchip/irq-mvebu-icu: Fix access to msi_data from
    irq_domain::host_data (bsc#1012628).
  - crypto: qce - fix goto jump in error path (bsc#1012628).
  - crypto: qce - unregister previously registered algos in error
    path (bsc#1012628).
  - ceph: fix memory leak in ceph_mds_auth_match() (bsc#1012628).
  - nvmem: qcom-spmi-sdam: Set size in struct nvmem_config
    (bsc#1012628).
  - nvmem: core: improve range check for nvmem_cell_write()
    (bsc#1012628).
  - nvmem: imx-ocotp-ele: simplify read beyond device check
    (bsc#1012628).
  - nvmem: imx-ocotp-ele: fix MAC address byte order (bsc#1012628).
  - nvmem: imx-ocotp-ele: fix reading from non zero offset
    (bsc#1012628).
  - nvmem: imx-ocotp-ele: set word length to 1 (bsc#1012628).
  - io_uring: fix multishots with selected buffers (bsc#1012628).
  - io_uring/net: don't retry connect operation on EPOLLERR
    (bsc#1012628).
  - vfio/platform: check the bounds of read/write syscalls
    (bsc#1012628).
  - selftests: mptcp: connect: -f: no reconnect (bsc#1012628).
  - pnfs/flexfiles: retry getting layout segment for reads
    (bsc#1012628).
  - ocfs2: fix incorrect CPU endianness conversion causing mount
    failure (bsc#1012628).
  - ocfs2: handle a symlink read error correctly (bsc#1012628).
  - nilfs2: fix possible int overflows in nilfs_fiemap()
    (bsc#1012628).
  - nfs: Make NFS_FSCACHE select NETFS_SUPPORT instead of depending
    on it (bsc#1012628).
  - NFSD: Encode COMPOUND operation status on page boundaries
    (bsc#1012628).
  - mailbox: tegra-hsp: Clear mailbox before using message
    (bsc#1012628).
  - mailbox: zynqmp: Remove invalid __percpu annotation in
    zynqmp_ipi_probe() (bsc#1012628).
  - NFC: nci: Add bounds checking in nci_hci_create_pipe()
    (bsc#1012628).
  - fgraph: Fix set_graph_notrace with setting
    TRACE_GRAPH_NOTRACE_BIT (bsc#1012628).
  - i3c: master: Fix missing 'ret' assignment in set_speed()
    (bsc#1012628).
  - irqchip/apple-aic: Only handle PMC interrupt as FIQ when
    configured so (bsc#1012628).
  - mtd: onenand: Fix uninitialized retlen in do_otp_read()
    (bsc#1012628).
  - misc: misc_minor_alloc to use ida for all dynamic/misc dynamic
    minors (bsc#1012628).
  - misc: fastrpc: Deregister device nodes properly in error
    scenarios (bsc#1012628).
  - misc: fastrpc: Fix registered buffer page address (bsc#1012628).
  - misc: fastrpc: Fix copy buffer page size (bsc#1012628).
  - net/ncsi: wait for the last response to Deselect Package before
    configuring channel (bsc#1012628).
  - net: phy: c45-tjaxx: add delay between MDIO write and read in
    soft_reset (bsc#1012628).
  - maple_tree: simplify split calculation (bsc#1012628).
  - scripts/gdb: fix aarch64 userspace detection in get_current_task
    (bsc#1012628).
  - tracing/osnoise: Fix resetting of tracepoints (bsc#1012628).
  - rtla/osnoise: Distinguish missing workload option (bsc#1012628).
  - rtla/timerlat_hist: Set OSNOISE_WORKLOAD for kernel threads
    (bsc#1012628).
  - rtla/timerlat_top: Set OSNOISE_WORKLOAD for kernel threads
    (bsc#1012628).
  - rtla: Add trace_instance_stop (bsc#1012628).
  - rtla/timerlat_hist: Stop timerlat tracer on signal
    (bsc#1012628).
  - rtla/timerlat_top: Stop timerlat tracer on signal (bsc#1012628).
  - pinctrl: samsung: fix fwnode refcount cleanup if
    platform_get_irq_optional() fails (bsc#1012628).
  - pinctrl: renesas: rzg2l: Fix PFC_MASK for RZ/V2H and RZ/G3E
    (bsc#1012628).
  - ptp: Ensure info->enable callback is always set (bsc#1012628).
  - RDMA/mlx5: Fix a race for an ODP MR which leads to CQE with
    error (bsc#1012628).
  - rtc: zynqmp: Fix optional clock name property (bsc#1012628).
  - statmount: let unset strings be empty (bsc#1012628).
  - timers/migration: Fix off-by-one root mis-connection
    (bsc#1012628).
  - s390/fpu: Add fpc exception handler / remove fixup section again
    (bsc#1012628).
  - MIPS: ftrace: Declare ftrace_get_parent_ra_addr() as static
    (bsc#1012628).
  - spi: atmel-quadspi: Create `atmel_qspi_ops` to support newer
    SoC families (bsc#1012628).
  - spi: atmel-qspi: Memory barriers after memory-mapped I/O
    (bsc#1012628).
  - x86/mm: Convert unreachable() to BUG() (bsc#1012628).
  - md/md-linear: Fix a NULL vs IS_ERR() bug in linear_add()
    (bsc#1012628).
  - md: Fix linear_set_limits() (bsc#1012628).
  - Revert "drm/amd/display: Fix green screen issue after suspend"
    (bsc#1012628).
  - wifi: rtw89: pci: disable PCIE wake bit when PCIE deinit
    (bsc#1012628).
  - fs: prepend statmount.mnt_opts string with
    security_sb_mnt_opts() (bsc#1012628).
  - fs: fix adding security options to statmount.mnt_opt
    (bsc#1012628).
  - fs/netfs/read_pgpriv2: skip folio queues without `marks3`
    (bsc#1012628).
  - fs/netfs/read_collect: fix crash due to uninitialized `prev`
    variable (bsc#1012628).
  - Update config files.
  - Rename to
    patches.kernel.org/6.13.3-190-Input-synaptics-fix-crash-when-enabling-pass-t.patch.
  - Rename to
    patches.kernel.org/6.13.3-262-Revert-media-uvcvideo-Require-entities-to-have.patch.
  - Rename to
    patches.kernel.org/6.13.3-268-ALSA-hda-realtek-Workaround-for-resume-on-Dell.patch.
  - Rename to
    patches.kernel.org/6.13.3-334-tpm-Change-to-kvalloc-in-eventlog-acpi.c.patch.
  - commit f472389
* Mon Feb 17 2025 msuchanek@suse.de
  - Use gcc-13 for build on SLE16 (jsc#PED-10028).
  - commit 51dacec
* Mon Feb 17 2025 ailiop@suse.com
  - thermal/netlink: Prevent userspace segmentation fault by
    adjusting UAPI header (bsc#1237219).
  - commit 7051367
* Mon Feb 17 2025 mkubecek@suse.cz
  - update to 6.14-rc3
  - update configs
    - BCACHEFS_INJECT_TRANSACTION_RESTARTS=n
  - commit 68d31bb
* Fri Feb 14 2025 jslaby@suse.cz
  - Refresh
    patches.suse/HID-corsair-void-Update-power-supply-values-with-a-u.patch.
    Update to v3.
  - commit d313f71
* Wed Feb 12 2025 jslaby@suse.cz
  - HID: corsair-void: Update power supply values with a unified
    work handler (bsc#1236843).
  - HID: corsair-void: Initialise memory for psy_cfg (bsc#1236843).
  - HID: corsair-void: Add missing delayed work cancel for headset
    status (bsc#1236843).
  - commit fda61c9
* Mon Feb 10 2025 vbabka@suse.cz
  - fuse: prevent folio use-after-free in readahead (bsc#1236660).
  - commit 306384d
* Mon Feb 10 2025 jslaby@suse.cz
  - drm/amd: Add Suspend/Hibernate notification callback support
    (bsc#1233381).
  - commit 2109f2e
* Mon Feb 10 2025 jslaby@suse.cz
  - Linux 6.13.2 (bsc#1012628).
  - btrfs: do proper folio cleanup when run_delalloc_nocow() failed
    (bsc#1012628).
  - btrfs: do proper folio cleanup when cow_file_range() failed
    (bsc#1012628).
  - LoongArch: Change 8 to 14 for LOONGARCH_MAX_{BRP,WRP}
    (bsc#1012628).
  - pwm: Ensure callbacks exist before calling them (bsc#1012628).
  - memcg: fix soft lockup in the OOM process (bsc#1012628).
  - KVM: x86: Plumb in the vCPU to kvm_x86_ops.hwapic_isr_update()
    (bsc#1012628).
  - drm/amd/display: Add hubp cache reset when powergating
    (bsc#1012628).
  - s390: Add '-std=gnu11' to decompressor and purgatory CFLAGS
    (bsc#1012628).
  - ASoC: da7213: Initialize the mutex (bsc#1012628).
  - selftests/mm: build with -O2 (bsc#1012628).
  - btrfs: output the reason for open_ctree() failure (bsc#1012628).
  - md/md-bitmap: Synchronize bitmap_get_stats() with bitmap
    lifetime (bsc#1012628).
  - powerpc/pseries/iommu: Don't unset window if it was never set
    (bsc#1012628).
  - media: imx-jpeg: Fix potential error pointer dereference in
    detach_pm() (bsc#1012628).
  - staging: media: max96712: fix kernel oops when removing module
    (bsc#1012628).
  - drm/amd/display: restore invalid MSA timing check for freesync
    (bsc#1012628).
  - usb: gadget: f_tcm: Don't free command immediately
    (bsc#1012628).
  - pps: Fix a use-after-free (bsc#1012628).
  - media: uvcvideo: Fix double free in error path (bsc#1012628).
  - remoteproc: core: Fix ida_free call while not allocated
    (bsc#1012628).
  - RDMA/mlx5: Fix implicit ODP use after free (bsc#1012628).
  - mptcp: blackhole only if 1st SYN retrans w/o MPC is accepted
    (bsc#1012628).
  - mptcp: handle fastopen disconnect correctly (bsc#1012628).
  - mptcp: pm: only set fullmesh for subflow endp (bsc#1012628).
  - mptcp: consolidate suboption status (bsc#1012628).
  - clk: qcom: gcc-x1e80100: Do not turn off usb_2 controller GDSC
    (bsc#1012628).
  - usb: typec: tcpci: Prevent Sink disconnection before
    vPpsShutdown in SPR PPS (bsc#1012628).
  - usb: typec: tcpm: set SRC_SEND_CAPABILITIES timeout to
    PD_T_SENDER_RESPONSE (bsc#1012628).
  - usb: dwc3: Skip resume if pm_runtime_set_active() fails
    (bsc#1012628).
  - usb: dwc3: core: Defer the probe until USB power supply ready
    (bsc#1012628).
  - usb: dwc3-am62: Fix an OF node leak in phy_syscon_pll_refclk()
    (bsc#1012628).
  - usb: gadget: f_tcm: Fix Get/SetInterface return value
    (bsc#1012628).
  - drivers/card_reader/rtsx_usb: Restore interrupt based detection
    (bsc#1012628).
  - usb: xhci: Fix NULL pointer dereference on certain command
    aborts (bsc#1012628).
  - net: usb: rtl8150: enable basic endpoint checking (bsc#1012628).
  - ALSA: usb-audio: Add delay quirk for iBasso DC07 Pro
    (bsc#1012628).
  - xfs: don't shut down the filesystem for media failures beyond
    end of log (bsc#1012628).
  - xfs: release the dquot buf outside of qli_lock (bsc#1012628).
  - xfs: don't over-report free space or inodes in statvfs
    (bsc#1012628).
  - xfs: fix mount hang during primary superblock recovery failure
    (bsc#1012628).
  - xfs: check for dead buffers in xfs_buf_find_insert
    (bsc#1012628).
  - ktest.pl: Check kernelrelease return in get_version
    (bsc#1012628).
  - selftests/ftrace: Fix to use remount when testing mount GID
    option (bsc#1012628).
  - selftests/rseq: Fix handling of glibc without rseq support
    (bsc#1012628).
  - netfilter: nf_tables: reject mismatching sum of field_len with
    set key length (bsc#1012628).
  - Revert "SUNRPC: Reduce thread wake-up rate when receiving
    large RPC messages" (bsc#1012628).
  - md/md-bitmap: move bitmap_{start, end}write to md upper layer
    (bsc#1012628).
  - md/raid5: implement pers->bitmap_sector() (bsc#1012628).
  - md: add a new callback pers->bitmap_sector() (bsc#1012628).
  - md/md-bitmap: remove the last parameter for
    bimtap_ops->endwrite() (bsc#1012628).
  - md/md-bitmap: factor behind write counters out from
    bitmap_{start/end}write() (bsc#1012628).
  - f2fs: Introduce linear search for dentries (bsc#1012628).
  - kernel: be more careful about dup_mmap() failures and uprobe
    registering (bsc#1012628).
  - kbuild: fix Clang LTO with CONFIG_OBJTOOL=n (bsc#1012628).
  - hexagon: Fix unbalanced spinlock in die() (bsc#1012628).
  - hexagon: fix using plain integer as NULL pointer warning in
    cmpxchg (bsc#1012628).
  - kconfig: fix memory leak in sym_warn_unmet_dep() (bsc#1012628).
  - kconfig: fix file name in warnings when loading
    KCONFIG_DEFCONFIG_LIST (bsc#1012628).
  - cifs: Fix getting and setting SACLs over SMB1 (bsc#1012628).
  - cifs: Validate EAs for WSL reparse points (bsc#1012628).
  - tools/power turbostat: Fix forked child affinity regression
    (bsc#1012628).
  - ASoC: amd: acp: Fix possible deadlock (bsc#1012628).
  - io_uring/register: use atomic_read/write for sq_flags migration
    (bsc#1012628).
  - io_uring/uring_cmd: use cached cmd_op in io_uring_cmd_sock()
    (bsc#1012628).
  - io_uring/msg_ring: don't leave potentially dangling ->tctx
    pointer (bsc#1012628).
  - ASoC: rockchip: i2s_tdm: Re-add the set_sysclk callback
    (bsc#1012628).
  - RISC-V: Mark riscv_v_init() as __init (bsc#1012628).
  - kbuild: Fix signing issue for external modules (bsc#1012628).
  - tools/power turbostat: Fix PMT mmaped file size rounding
    (bsc#1012628).
  - hostfs: fix string handling in __dentry_name() (bsc#1012628).
  - genksyms: fix memory leak when the same symbol is read from
    * .symref file (bsc#1012628).
  - genksyms: fix memory leak when the same symbol is added from
    source (bsc#1012628).
  - Refresh patches.suse/genksyms-add-override-flag.diff.
  - net: hsr: fix fill_frame_info() regression vs VLAN packets
    (bsc#1012628).
  - net: sh_eth: Fix missing rtnl lock in suspend/resume path
    (bsc#1012628).
  - net: ravb: Fix missing rtnl lock in suspend/resume path
    (bsc#1012628).
  - net: xdp: Disallow attaching device-bound programs in generic
    mode (bsc#1012628).
  - tcp: correct handling of extreme memory squeeze (bsc#1012628).
  - bgmac: reduce max frame size to support just MTU 1500
    (bsc#1012628).
  - vsock: Allow retrying on connect() failure (bsc#1012628).
  - Bluetooth: btnxpuart: Fix glitches seen in dual A2DP streaming
    (bsc#1012628).
  - Bluetooth: btusb: mediatek: Add locks for
    usb_driver_claim_interface() (bsc#1012628).
  - perf test: Skip syscall enum test if no landlock syscall
    (bsc#1012628).
  - perf trace: Fix runtime error of index out of bounds
    (bsc#1012628).
  - s390/sclp: Initialize sclp subsystem via
    arch_cpu_finalize_init() (bsc#1012628).
  - Update config files.
  - bonding: Correctly support GSO ESP offload (bsc#1012628).
  - net: stmmac: Limit FIFO size by hardware capability
    (bsc#1012628).
  - net: stmmac: Limit the number of MTL queues to hardware
    capability (bsc#1012628).
  - ethtool: Fix set RXNFC command with symmetric RSS hash
    (bsc#1012628).
  - ptp: Properly handle compat ioctls (bsc#1012628).
  - perf annotate: Use an array for the disassembler preference
    (bsc#1012628).
  - net: davicom: fix UAF in dm9000_drv_remove (bsc#1012628).
  - vxlan: Fix uninit-value in vxlan_vnifilter_dump() (bsc#1012628).
  - rxrpc, afs: Fix peer hash locking vs RCU callback (bsc#1012628).
  - selftests: net/{lib,openvswitch}: extend CFLAGS to keep options
    from environment (bsc#1012628).
  - selftests: mptcp: extend CFLAGS to keep options from environment
    (bsc#1012628).
  - net: page_pool: don't try to stash the napi id (bsc#1012628).
  - tools: ynl: c: correct reverse decode of empty attrs
    (bsc#1012628).
  - net/mlx5e: add missing cpu_to_node to kvzalloc_node in
    mlx5e_open_xdpredirect_sq (bsc#1012628).
  - net: netdevsim: try to close UDP port harness races
    (bsc#1012628).
  - net: rose: fix timer races against user threads (bsc#1012628).
  - net/ncsi: use dev_set_mac_address() for Get MC MAC Address
    handling (bsc#1012628).
  - s390/mm: Allow large pages for KASAN shadow mapping
    (bsc#1012628).
  - iavf: allow changing VLAN state without calling PF
    (bsc#1012628).
  - ice: remove invalid parameter of equalizer (bsc#1012628).
  - ice: fix ice_parser_rt::bst_key array size (bsc#1012628).
  - idpf: convert workqueues to unbound (bsc#1012628).
  - idpf: Acquire the lock before accessing the xn->salt
    (bsc#1012628).
  - idpf: fix transaction timeouts on reset (bsc#1012628).
  - idpf: add read memory barrier when checking descriptor done bit
    (bsc#1012628).
  - xfrm: Don't disable preemption while looking up cache state
    (bsc#1012628).
  - perf trace: Fix BPF loading failure (-E2BIG) (bsc#1012628).
  - PM: hibernate: Add error handling for syscore_suspend()
    (bsc#1012628).
  - ipmr: do not call mr_mfc_uses_dev() for unres entries
    (bsc#1012628).
  - net: fec: implement TSO descriptor cleanup (bsc#1012628).
  - net: phy: marvell-88q2xxx: Fix temperature measurement with
    reset-gpios (bsc#1012628).
  - gpio: mxc: remove dead code after switch to DT-only
    (bsc#1012628).
  - net: hns3: fix oops when unload drivers paralleling
    (bsc#1012628).
  - net: airoha: Fix wrong GDM4 register definition (bsc#1012628).
  - regulator: core: Add missing newline character (bsc#1012628).
  - ubifs: skip dumping tnc tree when zroot is null (bsc#1012628).
  - ubi: Revert "ubi: wl: Close down wear-leveling before nand is
    suspended" (bsc#1012628).
  - rtc: loongson: clear TOY_MATCH0_REG in loongson_rtc_isr()
    (bsc#1012628).
  - rtc: pcf85063: fix potential OOB write in PCF85063 NVMEM read
    (bsc#1012628).
  - rtc: tps6594: Fix integer overflow on 32bit systems
    (bsc#1012628).
  - xfrm: delete intermediate secpath entry in packet offload mode
    (bsc#1012628).
  - dmaengine: ti: edma: fix OF node reference leaks in edma_driver
    (bsc#1012628).
  - xfrm: state: fix out-of-bounds read during lookup (bsc#1012628).
  - xfrm: replay: Fix the update of replay_esn->oseq_hi for GSO
    (bsc#1012628).
  - tools/bootconfig: Fix the wrong format specifier (bsc#1012628).
  - LoongArch: Fix warnings during S3 suspend (bsc#1012628).
  - NFSv4.2: mark OFFLOAD_CANCEL MOVEABLE (bsc#1012628).
  - NFSv4.2: fix COPY_NOTIFY xdr buf size calculation (bsc#1012628).
  - nfs: fix incorrect error handling in LOCALIO (bsc#1012628).
  - serial: 8250: Adjust the timeout for FIFO mode (bsc#1012628).
  - tty: mips_ejtag_fdc: fix one more u8 warning (bsc#1012628).
  - driver core: class: Fix wild pointer dereferences in API
    class_dev_iter_next() (bsc#1012628).
  - module: Don't fail module loading when setting ro_after_init
    section RO failed (bsc#1012628).
  - module: Extend the preempt disabled section in
    dereference_symbol_descriptor() (bsc#1012628).
  - alloc_tag: avoid current->alloc_tag manipulations when profiling
    is disabled (bsc#1012628).
  - nilfs2: handle errors that nilfs_prepare_chunk() may return
    (bsc#1012628).
  - nilfs2: protect access to buffers with no active references
    (bsc#1012628).
  - nilfs2: do not force clear folio if buffer is referenced
    (bsc#1012628).
  - ocfs2: mark dquot as inactive if failed to start trans while
    releasing dquot (bsc#1012628).
  - erofs: fix potential return value overflow of
    z_erofs_shrink_scan() (bsc#1012628).
  - firewire: test: Fix potential null dereference in firewire
    kunit test (bsc#1012628).
  - scsi: mpi3mr: Fix possible crash when setting up bsg fails
    (bsc#1012628).
  - scsi: ufs: bsg: Delete bsg_dev when setting up bsg fails
    (bsc#1012628).
  - scsi: mpt3sas: Set ioc->manu_pg11.EEDPTagMode directly to 1
    (bsc#1012628).
  - PCI: microchip: Set inbound address translation for coherent
    or non-coherent mode (bsc#1012628).
  - PCI: endpoint: pci-epf-test: Fix check for DMA MEMCPY test
    (bsc#1012628).
  - PCI: endpoint: pci-epf-test: Set dma_chan_rx pointer to NULL
    on error (bsc#1012628).
  - PCI: dwc: Always stop link in the dw_pcie_suspend_noirq
    (bsc#1012628).
  - PCI: qcom: Update ICC and OPP values after Link Up event
    (bsc#1012628).
  - PCI: imx6: Add missing reference clock disable logic
    (bsc#1012628).
  - PCI: imx6: Deassert apps_reset in imx_pcie_deassert_core_reset()
    (bsc#1012628).
  - PCI: imx6: Skip controller_id generation logic for i.MX7D
    (bsc#1012628).
  - PCI: imx6: Configure PHY based on Root Complex or Endpoint mode
    (bsc#1012628).
  - PCI: rockchip-ep: Fix error code in
    rockchip_pcie_ep_init_ob_mem() (bsc#1012628).
  - PCI: rockchip: Add missing fields descriptions for struct
    rockchip_pcie_ep (bsc#1012628).
  - PCI: rcar-ep: Fix incorrect variable used when calling
    devm_request_mem_region() (bsc#1012628).
  - media: dvb-usb-v2: af9035: fix ISO C90 compilation error on
    af9035_i2c_master_xfer (bsc#1012628).
  - staging: media: imx: fix OF node leak in
    imx_media_add_of_subdevs() (bsc#1012628).
  - watchdog: rti_wdt: Fix an OF node leak in rti_wdt_probe()
    (bsc#1012628).
  - media: nxp: imx8-isi: fix v4l2-compliance test errors
    (bsc#1012628).
  - mtd: hyperbus: hbmc-am654: fix an OF node reference leak
    (bsc#1012628).
  - mtd: rawnand: brcmnand: fix status read of brcmnand_waitfunc
    (bsc#1012628).
  - media: uvcvideo: Propagate buf->error to userspace
    (bsc#1012628).
  - media: uvcvideo: Fix deadlock during uvc_probe (bsc#1012628).
  - media: camif-core: Add check for clk_enable() (bsc#1012628).
  - media: mipi-csis: Add check for clk_enable() (bsc#1012628).
  - media: i2c: ov9282: Correct the exposure offset (bsc#1012628).
  - media: i2c: imx412: Add missing newline to prints (bsc#1012628).
  - media: i2c: imx290: Register 0x3011 varies between imx327 and
    imx290 (bsc#1012628).
  - media: marvell: Add check for clk_enable() (bsc#1012628).
  - remoteproc: mtk_scp: Only populate devices for SCP cores
    (bsc#1012628).
  - PCI: endpoint: Destroy the EPC device in devm_pci_epc_destroy()
    (bsc#1012628).
  - media: lmedm04: Handle errors for lme2510_int_read
    (bsc#1012628).
  - media: rc: iguanair: handle timeouts (bsc#1012628).
  - of/fdt: Restore possibility to use both ACPI and FDT from
    bootloader (bsc#1012628).
  - spi: omap2-mcspi: Correctly handle devm_clk_get_optional()
    errors (bsc#1012628).
  - mailbox: th1520: Fix memory corruption due to incorrect array
    size (bsc#1012628).
  - mailbox: mpfs: fix copy and paste bug in probe (bsc#1012628).
  - mailbox: th1520: Fix a NULL vs IS_ERR() bug (bsc#1012628).
  - iommufd/iova_bitmap: Fix shift-out-of-bounds in
    iova_bitmap_offset_to_index() (bsc#1012628).
  - iommu: iommufd: fix WARNING in iommufd_device_unbind
    (bsc#1012628).
  - RDMA/rxe: Fix the warning "__rxe_cleanup+0x12c/0x170 [rdma_rxe]"
    (bsc#1012628).
  - RDMA/cxgb4: Notify rdma stack for IB_EVENT_QP_LAST_WQE_REACHED
    event (bsc#1012628).
  - efi: sysfb_efi: fix W=1 warnings when EFI is not set
    (bsc#1012628).
  - of: reserved-memory: Do not make kmemleak ignore freed address
    (bsc#1012628).
  - of: property: Avoiding using uninitialized variable @imaplen
    in parse_interrupt_map() (bsc#1012628).
  - RDMA/mlx5: Fix indirect mkey ODP page count (bsc#1012628).
  - i3c: dw: Fix use-after-free in dw_i3c_master driver due to
    race condition (bsc#1012628).
  - arm64: dts: qcom: x1e80100-romulus: Update firmware nodes
    (bsc#1012628).
  - arm64: tegra: Fix DMA ID for SPI2 (bsc#1012628).
  - fbdev: omapfb: Fix an OF node leak in
    dss_of_port_get_parent_device() (bsc#1012628).
  - arm64: dts: ti: k3-am642-hummingboard-t: Convert overlay to
    board dts (bsc#1012628).
  - arm64: dts: ti: Makefile: Fix typo "k3-j7200-evm-pcie1-ep.dtbo"
    (bsc#1012628).
  - arm64: dts: rockchip: fix num-channels property of wolfvision
    pf5 mic (bsc#1012628).
  - arm64: dts: rockchip: Fix PCIe3 handling for Edgeble-6TOPS
    Modules (bsc#1012628).
  - ARM: dts: mediatek: mt7623: fix IR nodename (bsc#1012628).
  - arm64: dts: marvell: cn9131-cf-solidwan: fix cp1 comphy links
    (bsc#1012628).
  - arm64: dts: qcom: sm8250: Fix interrupt types of camss
    interrupts (bsc#1012628).
  - arm64: dts: qcom: sdm845: Fix interrupt types of camss
    interrupts (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: Fix interrupt type of camss
    interrupts (bsc#1012628).
  - arm64: dts: mediatek: add per-SoC compatibles for keypad nodes
    (bsc#1012628).
  - dts: arm64: mediatek: mt8195: Remove MT8183 compatible for OVL
    (bsc#1012628).
  - dts: arm64: mediatek: mt8188: Update OVL compatible from MT8183
    to MT8195 (bsc#1012628).
  - arm64: dts: mediatek: mt7988: Add missing clock-div property
    for i2c (bsc#1012628).
  - firmware: qcom: scm: Cleanup global '__scm' on probe failures
    (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: Fix up remoteproc register space
    sizes (bsc#1012628).
  - arm64: dts: qcom: sm8150-microsoft-surface-duo: fix typos in
    da7280 properties (bsc#1012628).
  - arm64: dts: qcom: sc7180: fix psci power domain node names
    (bsc#1012628).
  - HID: core: Fix assumption that Resolution Multipliers must be
    in Logical Collections (bsc#1012628).
  - arm64: dts: qcom: sc7180-trogdor-pompom: rename 5v-choke
    thermal zone (bsc#1012628).
  - arm64: dts: qcom: sc7180-trogdor-quackingstick: add missing
    avee-supply (bsc#1012628).
  - arm64: dts: qcom: sdm845-db845c-navigation-mezzanine: remove
    disabled ov7251 camera (bsc#1012628).
  - arm64: dts: qcom: qcm6490-shift-otter: remove invalid
    orientation-switch (bsc#1012628).
  - ARM: omap1: Fix up the Retu IRQ on Nokia 770 (bsc#1012628).
  - RDMA/hns: Clean up the legacy CONFIG_INFINIBAND_HNS
    (bsc#1012628).
  - Update config files.
  - RDMA/rtrs: Add missing deinit() call (bsc#1012628).
  - RDMA/bnxt_re: Fix to drop reference to the mmap entry in case
    of error (bsc#1012628).
  - arm64: dts: rockchip: Fix sdmmc access on rk3308-rock-s0 v1.1
    boards (bsc#1012628).
  - arm64: dts: ti: k3-am62a: Remove duplicate GICR reg
    (bsc#1012628).
  - arm64: dts: ti: k3-am62: Remove duplicate GICR reg
    (bsc#1012628).
  - ARM: dts: microchip: sama5d27_wlsom1_ek: Add no-1-8-v property
    to sdmmc0 node (bsc#1012628).
  - ARM: dts: microchip: sama5d29_curiosity: Add no-1-8-v property
    to sdmmc0 node (bsc#1012628).
  - arm64: dts: qcom: sm8650: Fix CDSP context banks unit addresses
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100: correct sleep clock frequency
    (bsc#1012628).
  - arm64: dts: qcom: sm8650: correct sleep clock frequency
    (bsc#1012628).
  - arm64: dts: qcom: sm8550: correct sleep clock frequency
    (bsc#1012628).
  - arm64: dts: qcom: sm8450: correct sleep clock frequency
    (bsc#1012628).
  - arm64: dts: qcom: sm8350: correct sleep clock frequency
    (bsc#1012628).
  - arm64: dts: qcom: sm8250: correct sleep clock frequency
    (bsc#1012628).
  - arm64: dts: qcom: sm6375: correct sleep clock frequency
    (bsc#1012628).
  - arm64: dts: qcom: sm6125: correct sleep clock frequency
    (bsc#1012628).
  - arm64: dts: qcom: sm4450: correct sleep clock frequency
    (bsc#1012628).
  - arm64: dts: qcom: sdx75: correct sleep clock frequency
    (bsc#1012628).
  - arm64: dts: qcom: sc7280: correct sleep clock frequency
    (bsc#1012628).
  - arm64: dts: qcom: qrb4210-rb2: correct sleep clock frequency
    (bsc#1012628).
  - arm64: dts: qcom: q[dr]u1000: correct sleep clock frequency
    (bsc#1012628).
  - arm64: dts: qcom: qcs404: correct sleep clock frequency
    (bsc#1012628).
  - arm64: dts: qcom: msm8994: correct sleep clock frequency
    (bsc#1012628).
  - arm64: dts: qcom: msm8939: correct sleep clock frequency
    (bsc#1012628).
  - arm64: dts: qcom: msm8916: correct sleep clock frequency
    (bsc#1012628).
  - arm64: dts: qcom: sm7225-fairphone-fp4: Drop extra qcom,msm-id
    value (bsc#1012628).
  - arm64: dts: qcom: msm8994: Describe USB interrupts
    (bsc#1012628).
  - arm64: dts: qcom: msm8996: Fix up USB3 interrupts (bsc#1012628).
  - arm64: defconfig: remove obsolete CONFIG_SM_DISPCC_8650
    (bsc#1012628).
  - arm64: dts: qcom: sa8775p: Use valid node names for GPI DMAs
    (bsc#1012628).
  - arm64: dts: qcom: sa8775p: Add CPUs to psci power domain
    (bsc#1012628).
  - arm64: dts: qcom: sa8775p: Use a SoC-specific compatible for
    GPI DMA (bsc#1012628).
  - arm64: dts: qcom: sa8775p: Update sleep_clk frequency
    (bsc#1012628).
  - arm64: dts: qcom: msm8996-xiaomi-gemini: Fix LP5562 LED1 reg
    property (bsc#1012628).
  - arm64: dts: mediatek: mt8183-kukui-jacuzzi: Drop pp3300_panel
    voltage settings (bsc#1012628).
  - memory: tegra20-emc: fix an OF node reference bug in
    tegra_emc_find_node_by_ram_code() (bsc#1012628).
  - ARM: dts: stm32: Swap USART3 and UART8 alias on STM32MP15xx
    DHCOM SoM (bsc#1012628).
  - ARM: dts: stm32: Deduplicate serial aliases and chosen node
    for STM32MP15xx DHCOM SoM (bsc#1012628).
  - arm64: dts: mediatek: mt8195: Remove suspend-breaking reset
    from pcie1 (bsc#1012628).
  - RDMA/srp: Fix error handling in srp_add_port (bsc#1012628).
  - arm64: dts: mediatek: mt8183: willow: Support second source
    touchscreen (bsc#1012628).
  - arm64: dts: mediatek: mt8183: kenzo: Support second source
    touchscreen (bsc#1012628).
  - RDMA/rxe: Fix mismatched max_msg_sz (bsc#1012628).
  - arm: dts: socfpga: use reset-name "stmmaceth-ocp" instead of
    "ahb" (bsc#1012628).
  - ARM: dts: aspeed: yosemite4: correct the compatible string
    for max31790 (bsc#1012628).
  - ARM: dts: aspeed: yosemite4: Add required properties for IOE
    on fan boards (bsc#1012628).
  - ARM: dts: aspeed: yosemite4: correct the compatible string of
    adm1272 (bsc#1012628).
  - arm64: dts: mediatek: mt8173-evb: Fix MT6397 PMIC sub-node names
    (bsc#1012628).
  - arm64: dts: mediatek: mt8173-elm: Fix MT6397 PMIC sub-node names
    (bsc#1012628).
  - arm64: dts: mediatek: mt8395-genio-1200-evk: Drop
    regulator-compatible property (bsc#1012628).
  - arm64: dts: medaitek: mt8395-nio-12l: Drop regulator-compatible
    property (bsc#1012628).
  - arm64: dts: mediatek: mt8195-demo: Drop regulator-compatible
    property (bsc#1012628).
  - arm64: dts: mediatek: mt8195-cherry: Drop regulator-compatible
    property (bsc#1012628).
  - arm64: dts: mediatek: mt8192-asurada: Drop regulator-compatible
    property (bsc#1012628).
  - arm64: dts: mediatek: mt8173-elm: Drop regulator-compatible
    property (bsc#1012628).
  - arm64: dts: mediatek: mt8173-evb: Drop regulator-compatible
    property (bsc#1012628).
  - rdma/cxgb4: Prevent potential integer overflow on 32bit
    (bsc#1012628).
  - arm64: dts: renesas: rzg3s-smarc: Fix the debug serial alias
    (bsc#1012628).
  - RDMA/mlx4: Avoid false error about access to uninitialized
    gids array (bsc#1012628).
  - ARM: dts: stm32: Fix IPCC EXTI declaration on stm32mp151
    (bsc#1012628).
  - ARM: dts: stm32: Sort M24256E write-lockable page in DH
    STM32MP13xx DHCOR SoM DT (bsc#1012628).
  - ARM: dts: stm32: Increase CPU core voltage on STM32MP13xx
    DHCOR SoM (bsc#1012628).
  - arm64: dts: mediatek: mt8516: reserve 192 KiB for TF-A
    (bsc#1012628).
  - arm64: dts: mediatek: mt8516: add i2c clock-div property
    (bsc#1012628).
  - arm64: dts: mediatek: mt8516: fix wdt irq type (bsc#1012628).
  - arm64: dts: mediatek: mt8516: fix GICv2 range (bsc#1012628).
  - arm64: dts: mt8183: set DMIC one-wire mode on Damu
    (bsc#1012628).
  - arm64: dts: mediatek: mt8186: Move wakeup to MTU3 to get
    working suspend (bsc#1012628).
  - ARM: dts: imx7-tqma7: add missing vs-supply for LM75A
    (rev. 01xxx) (bsc#1012628).
  - ARM: at91: pm: change BU Power Switch to automatic mode
    (bsc#1012628).
  - soc: atmel: fix device_node release in atmel_soc_device_init()
    (bsc#1012628).
  - bpf: Cancel the running bpf_timer through kworker for PREEMPT_RT
    (bsc#1012628).
  - cifs: Use cifs_autodisable_serverino() for disabling
    CIFS_MOUNT_SERVER_INUM in readdir.c (bsc#1012628).
  - smb: client: fix oops due to unset link speed (bsc#1012628).
  - rhashtable: Fix rhashtable_try_insert test (bsc#1012628).
  - padata: avoid UAF for reorder_work (bsc#1012628).
  - padata: add pd get/put refcnt helper (bsc#1012628).
  - padata: fix UAF in padata_reorder (bsc#1012628).
  - perf lock: Fix parse_lock_type which only retrieve one lock flag
    (bsc#1012628).
  - tools: Sync if_xdp.h uapi tooling header (bsc#1012628).
  - ALSA: hda/realtek - Fixed headphone distorted sound on Acer
    Aspire A115-31 laptop (bsc#1012628).
  - iommu/amd: Fully decode all combinations of alloc_paging_flags
    (bsc#1012628).
  - iommu/amd: Change amd_iommu_pgtable to use enum
    protection_domain_mode (bsc#1012628).
  - iommu/amd: Remove type argument from do_iommu_domain_alloc()
    and related (bsc#1012628).
  - iommu/amd: Remove dev == NULL checks (bsc#1012628).
  - iommu/amd: Remove domain_alloc() (bsc#1012628).
  - iommu/amd: Remove unused amd_iommu_domain_update()
    (bsc#1012628).
  - iommu/riscv: Fixup compile warning (bsc#1012628).
  - bpf: tcp: Mark bpf_load_hdr_opt() arg2 as read-write
    (bsc#1012628).
  - libbpf: Fix incorrect traversal end type ID when marking
    BTF_IS_EMBEDDED (bsc#1012628).
  - libbpf: Fix return zero when elf_begin failed (bsc#1012628).
  - selftests/bpf: Fix btf leak on new btf alloc failure in
    btf_distill test (bsc#1012628).
  - selftests/bpf: Fix undefined UINT_MAX in veristat.c
    (bsc#1012628).
  - bpf: Send signals asynchronously if !preemptible (bsc#1012628).
  - ASoC: Intel: sof_sdw: Fix DMI match for Lenovo 83JX, 83MC and
    83NM (bsc#1012628).
  - ASoC: Intel: sof_sdw: Fix DMI match for Lenovo 83LC
    (bsc#1012628).
  - perf inject: Fix use without initialization of local variables
    (bsc#1012628).
  - perf test stat: Avoid hybrid assumption when virtualized
    (bsc#1012628).
  - pinctrl: amd: Take suspend type into consideration which pins
    are non-wake (bsc#1012628).
  - pinctrl: stm32: Add check for clk_enable() (bsc#1012628).
  - perf report: Fix misleading help message about --demangle
    (bsc#1012628).
  - ALSA: hda: Fix compilation of snd_hdac_adsp_xxx() helpers
    (bsc#1012628).
  - perf MANIFEST: Add arch/*/include/uapi/asm/bpf_perf_event.h
    to the perf tarball (bsc#1012628).
  - perf symbol: Prefer non-label symbols with same address
    (bsc#1012628).
  - ASoC: Intel: avs: Fix init-config parsing (bsc#1012628).
  - ASoC: Intel: avs: Fix theoretical infinite loop (bsc#1012628).
  - ASoC: Intel: avs: Fix the minimum firmware version numbers
    (bsc#1012628).
  - ASoC: Intel: avs: Do not readq() u32 registers (bsc#1012628).
  - perf namespaces: Fixup the nsinfo__in_pidns() return type,
    its bool (bsc#1012628).
  - perf namespaces: Introduce nsinfo__set_in_pidns() (bsc#1012628).
  - perf machine: Don't ignore _etext when not a text symbol
    (bsc#1012628).
  - perf maps: Fix display of kernel symbols (bsc#1012628).
  - perf top: Don't complain about lack of vmlinux when not
    resolving some kernel samples (bsc#1012628).
  - iommu/vt-d: Draining PRQ in sva unbind path when FPD bit set
    (bsc#1012628).
  - selftests/bpf: Avoid generating untracked files when running
    bpf selftests (bsc#1012628).
  - padata: fix sysfs store callback check (bsc#1012628).
  - bpf: Reject struct_ops registration that uses module ptr and
    the module btf_id is missing (bsc#1012628).
  - ALSA: seq: Make dependency on UMP clearer (bsc#1012628).
  - bpf: Use refcount_t instead of atomic_t for mmap_count
    (bsc#1012628).
  - crypto: iaa - Fix IAA disabling that occurs when sync_mode is
    set to 'async' (bsc#1012628).
  - perf stat: Fix trailing comma when there is no metric unit
    (bsc#1012628).
  - crypto: ixp4xx - fix OF node reference leaks in
    init_ixp_crypto() (bsc#1012628).
  - crypto: hisilicon/sec2 - fix for aead invalid authsize
    (bsc#1012628).
  - crypto: hisilicon/sec2 - fix for aead icv error (bsc#1012628).
  - rhashtable: Fix potential deadlock by moving schedule_work
    outside lock (bsc#1012628).
  - bpf: bpf_local_storage: Always use bpf_mem_alloc in PREEMPT_RT
    (bsc#1012628).
  - ktest.pl: Remove unused declarations in run_bisect_test function
    (bsc#1012628).
  - pinctrl: nomadik: Add check for clk_enable() (bsc#1012628).
  - perf expr: Initialize is_test value in expr__ctx_new()
    (bsc#1012628).
  - tools build: Remove the libunwind feature tests from the ones
    detected when test-all.o builds (bsc#1012628).
  - ASoC: renesas: rz-ssi: Use only the proper amount of dividers
    (bsc#1012628).
  - perf bpf: Fix two memory leakages when calling
    perf_env__insert_bpf_prog_info() (bsc#1012628).
  - perf header: Fix one memory leakage in process_bpf_prog_info()
    (bsc#1012628).
  - perf header: Fix one memory leakage in process_bpf_btf()
    (bsc#1012628).
  - platform/x86: x86-android-tablets: Make variables only used
    locally static (bsc#1012628).
  - platform/x86: x86-android-tablets: Add missing __init to
    get_i2c_adap_by_*() (bsc#1012628).
  - crypto: caam - use JobR's space to access page 0 regs
    (bsc#1012628).
  - crypto: api - Fix boot-up self-test race (bsc#1012628).
  - crypto: tegra - do not transfer req when tegra init fails
    (bsc#1012628).
  - iommu/arm-smmuv3: Update comments about ATS and bypass
    (bsc#1012628).
  - selftests/bpf: Fix fill_link_info selftest on powerpc
    (bsc#1012628).
  - tools features: Don't check for libunwind devel files by default
    (bsc#1012628).
  - ASoC: sun4i-spdif: Add clock multiplier settings (bsc#1012628).
  - ASoC: Intel: sof_sdw: correct mach_params->dmic_num
    (bsc#1012628).
  - libbpf: Fix segfault due to libelf functions not setting errno
    (bsc#1012628).
  - sched_ext: Use the NUMA scheduling domain for NUMA optimizations
    (bsc#1012628).
  - tools/testing/selftests/bpf/test_tc_tunnel.sh: Fix wait for
    server bind (bsc#1012628).
  - ASoC: wcd937x: Use *-y for Makefile (bsc#1012628).
  - ASoC: cs42l84: Use *-y for Makefile (bsc#1012628).
  - ASoC: SDCA: Use *-y for Makefile (bsc#1012628).
  - ASoC: mediatek: mt8365: Use *-y for Makefile (bsc#1012628).
  - ASoC: cs40l50: Use *-y for Makefile (bsc#1012628).
  - libbpf: don't adjust USDT semaphore address if .stapsdt.base
    addr is missing (bsc#1012628).
  - pinctrl: samsung: Fix irq handling if an error occurs in
    exynos_irq_demux_eint16_31() (bsc#1012628).
  - platform/x86: x86-android-tablets: make platform data be static
    (bsc#1012628).
  - platform/mellanox: mlxbf-pmc: incorrect type in assignment
    (bsc#1012628).
  - net/rose: prevent integer overflows in rose_setsockopt()
    (bsc#1012628).
  - tcp_cubic: fix incorrect HyStart round start detection
    (bsc#1012628).
  - net: ethernet: ti: am65-cpsw: fix freeing IRQ in
    am65_cpsw_nuss_remove_tx_chns() (bsc#1012628).
  - net: sched: refine software bypass handling in tc_run
    (bsc#1012628).
  - netfilter: nft_flow_offload: update tcp state flags under lock
    (bsc#1012628).
  - netfilter: nf_tables: fix set size with rbtree backend
    (bsc#1012628).
  - net: sched: Disallow replacing of child qdisc from one parent
    to another (bsc#1012628).
  - net: avoid race between device unregistration and ethnl ops
    (bsc#1012628).
  - octeon_ep_vf: remove firmware stats fetch in ndo_get_stats64
    (bsc#1012628).
  - octeon_ep: remove firmware stats fetch in ndo_get_stats64
    (bsc#1012628).
  - net/mlxfw: Drop hard coded max FW flash image size
    (bsc#1012628).
  - net: let net.core.dev_weight always be non-zero (bsc#1012628).
  - selftests/landlock: Fix error message (bsc#1012628).
  - selftests/landlock: Fix build with non-default pthread linking
    (bsc#1012628).
  - net: phy: realtek: always clear NBase-T lpa (bsc#1012628).
  - net: phy: realtek: clear master_slave_state if link is down
    (bsc#1012628).
  - net: phy: realtek: clear 1000Base-T lpa if link is down
    (bsc#1012628).
  - pwm: stm32: Add check for clk_enable() (bsc#1012628).
  - dev: Acquire netdev_rename_lock before restoring dev->name in
    dev_change_name() (bsc#1012628).
  - clk: analogbits: Fix incorrect calculation of vco rate delta
    (bsc#1012628).
  - inet: ipmr: fix data-races (bsc#1012628).
  - wifi: wilc1000: unregister wiphy only after netdev registration
    (bsc#1012628).
  - Bluetooth: btrtl: check for NULL in btrtl_setup_realtek()
    (bsc#1012628).
  - Bluetooth: btbcm: Fix NULL deref in btbcm_get_board_name()
    (bsc#1012628).
  - wifi: cfg80211: adjust allocation of colocated AP data
    (bsc#1012628).
  - selftests: harness: fix printing of mismatch values in
    __EXPECT() (bsc#1012628).
  - selftests: timers: clocksource-switch: Adapt progress to
    kselftest framework (bsc#1012628).
  - wifi: ath12k: fix key cache handling (bsc#1012628).
  - cpufreq: ACPI: Fix max-frequency computation (bsc#1012628).
  - i2c: designware: Actually make use of the I2C_DW_COMMON and
    I2C_DW symbol namespaces (bsc#1012628).
  - wifi: mt76: mt7996: fix ldpc setting (bsc#1012628).
  - wifi: mt76: mt7996: fix definition of tx descriptor
    (bsc#1012628).
  - wifi: mt76: mt7996: fix incorrect indexing of MIB FW event
    (bsc#1012628).
  - wifi: mt76: mt7996: fix HE Phy capability (bsc#1012628).
  - wifi: mt76: mt7996: fix the capability of reception of EHT MU
    PPDU (bsc#1012628).
  - wifi: mt76: mt7996: add max mpdu len capability (bsc#1012628).
  - wifi: mt76: mt7996: fix register mapping (bsc#1012628).
  - wifi: mt76: mt7915: fix register mapping (bsc#1012628).
  - wifi: mt76: mt7915: fix omac index assignment after hardware
    reset (bsc#1012628).
  - wifi: mt76: mt7915: firmware restart on devices with a second
    pcie link (bsc#1012628).
  - wifi: mt76: only enable tx worker after setting the channel
    (bsc#1012628).
  - wifi: mt76: mt7996: fix rx filter setting for bfee functionality
    (bsc#1012628).
  - wifi: mt76: mt7925: Properly handle responses for commands
    with events (bsc#1012628).
  - wifi: mt76: mt7925: Cleanup MLO settings post-disconnection
    (bsc#1012628).
  - wifi: mt76: mt7925: Update mt7925_mcu_uni_[tx,rx]_ba for MLO
    (bsc#1012628).
  - wifi: mt76: mt7925: Init secondary link PM state (bsc#1012628).
  - wifi: mt76: mt7925: Update secondary link PS flow (bsc#1012628).
  - wifi: mt76: mt7925: Update mt7925_unassign_vif_chanctx for
    per-link BSS (bsc#1012628).
  - wifi: mt76: mt7925: Update mt792x_rx_get_wcid for per-link STA
    (bsc#1012628).
  - wifi: mt76: mt7925: Update mt7925_mcu_sta_update for BC in
    ASSOC state (bsc#1012628).
  - wifi: mt76: Enhance mt7925_mac_link_sta_add to support MLO
    (bsc#1012628).
  - wifi: mt76: mt7925: Enhance mt7925_mac_link_bss_add to support
    MLO (bsc#1012628).
  - wifi: mt76: mt7925: Fix CNM Timeout with Single Active Link
    in MLO (bsc#1012628).
  - wifi: mt76: mt7925: fix wrong parameter for related cmd of
    chan info (bsc#1012628).
  - wifi: mt76: mt7925: Fix incorrect WCID phy_idx assignment
    (bsc#1012628).
  - wifi: mt76: mt7925: Fix incorrect WCID assignment for MLO
    (bsc#1012628).
  - wifi: mt76: mt7925: Fix incorrect MLD address in bss_mld_tlv
    for MLO support (bsc#1012628).
  - wifi: mt76: connac: Extend mt76_connac_mcu_uni_add_dev for MLO
    (bsc#1012628).
  - wifi: mt76: mt7915: fix overflows seen when writing limit
    attributes (bsc#1012628).
  - wifi: mt76: mt7996: fix overflows seen when writing limit
    attributes (bsc#1012628).
  - wifi: mt76: mt7925: fix the invalid ip address for arp offload
    (bsc#1012628).
  - wifi: mt76: mt7925: fix get wrong chip cap from incorrect
    pointer (bsc#1012628).
  - wifi: mt76: mt7925: fix wrong band_idx setting when enable
    sniffer mode (bsc#1012628).
  - wifi: mt76: mt7925: fix NULL deref check in
    mt7925_change_vif_links (bsc#1012628).
  - wifi: mt76: mt7915: Fix an error handling path in
    mt7915_add_interface() (bsc#1012628).
  - wifi: mt76: mt7921: fix using incorrect group cipher after
    disconnection (bsc#1012628).
  - wifi: mt76: mt76u_vendor_request: Do not print error messages
    when -EPROTO (bsc#1012628).
  - landlock: Handle weird files (bsc#1012628).
  - net/smc: fix data error when recvmsg with MSG_PEEK flag
    (bsc#1012628).
  - clk: thead: Fix cpu2vp_clk for TH1520 AP_SUBSYS clocks
    (bsc#1012628).
  - clk: thead: Add CLK_IGNORE_UNUSED to fix TH1520 boot
    (bsc#1012628).
  - clk: thead: Fix clk gate registration to pass flags
    (bsc#1012628).
  - clk: ralink: mtmips: remove duplicated 'xtal' clock for Ralink
    SoC RT3883 (bsc#1012628).
  - wifi: mac80211: don't flush non-uploaded STAs (bsc#1012628).
  - wifi: mac80211: Fix common size calculation for ML element
    (bsc#1012628).
  - wifi: mac80211: fix tid removal during mesh forwarding
    (bsc#1012628).
  - wifi: cfg80211: Move cfg80211_scan_req_add_chan() n_channels
    increment earlier (bsc#1012628).
  - wifi: mac80211: prohibit deactivating all links (bsc#1012628).
  - wifi: iwlwifi: mvm: remove unneeded NULL pointer checks
    (bsc#1012628).
  - wifi: iwlwifi: mvm: don't count mgmt frames as MPDU
    (bsc#1012628).
  - wifi: iwlwifi: mvm: avoid NULL pointer dereference
    (bsc#1012628).
  - wifi: iwlwifi: fw: read STEP table from correct UEFI var
    (bsc#1012628).
  - wifi: mt76: mt7915: Fix mesh scan on MT7916 DBDC (bsc#1012628).
  - wifi: mt76: mt7925: fix off by one in mt7925_load_clc()
    (bsc#1012628).
  - hwmon: Fix help text for aspeed-g6-pwm-tach (bsc#1012628).
  - wifi: rtw89: fix race between cancel_hw_scan and hw_scan
    completion (bsc#1012628).
  - wifi: rtw89: mcc: consider time limits not divisible by 1024
    (bsc#1012628).
  - wifi: rtw89: avoid to init mgnt_entry list twice when WoWLAN
    failed (bsc#1012628).
  - wifi: rtw89: correct header conversion rule for MLO only
    (bsc#1012628).
  - wifi: rtw89: chan: fix soft lockup in
    rtw89_entity_recalc_mgnt_roles() (bsc#1012628).
  - wifi: rtw89: fix proceeding MCC with wrong scanning state
    after sequence changes (bsc#1012628).
  - wifi: wlcore: fix unbalanced pm_runtime calls (bsc#1012628).
  - wifi: wilc1000: unregister wiphy only if it has been registered
    (bsc#1012628).
  - wifi: mt76: mt7996: fix invalid interface combinations
    (bsc#1012628).
  - samples/landlock: Fix possible NULL dereference in parse_path()
    (bsc#1012628).
  - mfd: syscon: Fix race in device_node_get_regmap() (bsc#1012628).
  - leds: cht-wcove: Use devm_led_classdev_register() to avoid
    memory leak (bsc#1012628).
  - HID: fix generic desktop D-Pad controls (bsc#1012628).
  - HID: hid-thrustmaster: Fix warning in thrustmaster_probe by
    adding endpoint check (bsc#1012628).
  - clk: qcom: gcc-sdm845: Do not use shared clk_ops for QUPs
    (bsc#1012628).
  - wifi: ath12k: fix tx power, max reg power update to firmware
    (bsc#1012628).
  - ipmi: ssif_bmc: Fix new request loss when bmc ready for a
    response (bsc#1012628).
  - OPP: OF: Fix an OF node leak in _opp_add_static_v2()
    (bsc#1012628).
  - net/mlx5: HWS, fix definer's HWS_SET32 macro for negative offset
    (bsc#1012628).
  - ax25: rcu protect dev->ax25_ptr (bsc#1012628).
  - regulator: of: Implement the unwind path of of_regulator_match()
    (bsc#1012628).
  - clk: sunxi-ng: a64: stop force-selecting PLL-MIPI as TCON0
    parent (bsc#1012628).
  - cpufreq/amd-pstate: Fix prefcore rankings (bsc#1012628).
  - team: prevent adding a device which is already a team device
    lower (bsc#1012628).
  - clk: qcom: camcc-x1e80100: Set titan_top_gdsc as the parent
    GDSC of subordinate GDSCs (bsc#1012628).
  - clk: imx: Apply some clks only for i.MX93 (bsc#1012628).
  - arm64: dts: imx93: Use IMX93_CLK_SPDIF_IPG as SPDIF IPG clock
    (bsc#1012628).
  - clk: imx93: Add IMX93_CLK_SPDIF_IPG clock (bsc#1012628).
  - dt-bindings: clock: imx93: Add SPDIF IPG clk (bsc#1012628).
  - clk: imx8mp: Fix clkout1/2 support (bsc#1012628).
  - udp: Deal with race between UDP socket address change and rehash
    (bsc#1012628).
  - cpufreq: qcom: Implement clk_ops::determine_rate() for
    qcom_cpufreq* clocks (bsc#1012628).
  - cpufreq: qcom: Fix qcom_cpufreq_hw_recalc_rate() to query LUT
    if LMh IRQ is not available (bsc#1012628).
  - gpio: pca953x: log an error when failing to get the reset GPIO
    (bsc#1012628).
  - net: airoha: Fix error path in airoha_probe() (bsc#1012628).
  - ptr_ring: do not block hard interrupts in
    ptr_ring_resize_multiple() (bsc#1012628).
  - selftests: ktap_helpers: Fix uninitialized variable
    (bsc#1012628).
  - cpufreq: schedutil: Fix superfluous updates caused by
    need_freq_update (bsc#1012628).
  - pwm: stm32-lp: Add check for clk_enable() (bsc#1012628).
  - inetpeer: do not get a refcount in inet_getpeer() (bsc#1012628).
  - inetpeer: update inetpeer timestamp in inet_getpeer()
    (bsc#1012628).
  - inetpeer: remove create argument of inet_getpeer()
    (bsc#1012628).
  - inetpeer: remove create argument of inet_getpeer_v[46]()
    (bsc#1012628).
  - leds: netxbig: Fix an OF node reference leak in
    netxbig_leds_get_of_pdata() (bsc#1012628).
  - dt-bindings: mfd: bd71815: Fix rsense and typos (bsc#1012628).
  - cpupower: fix TSC MHz calculation (bsc#1012628).
  - ACPI: fan: cleanup resources in the error path of .probe()
    (bsc#1012628).
  - hwmon: (nct6775): Actually make use of the HWMON_NCT6775 symbol
    namespace (bsc#1012628).
  - wifi: brcmfmac: add missing header include for brcmf_dbg
    (bsc#1012628).
  - wifi: ath12k: fix read pointer after free in
    ath12k_mac_assign_vif_to_vdev() (bsc#1012628).
  - regulator: dt-bindings: mt6315: Drop regulator-compatible
    property (bsc#1012628).
  - HID: multitouch: fix support for Goodix PID 0x01e9
    (bsc#1012628).
  - wifi: rtlwifi: pci: wait for firmware loading before releasing
    memory (bsc#1012628).
  - wifi: rtlwifi: fix memory leaks and invalid access at probe
    error path (bsc#1012628).
  - wifi: rtlwifi: destroy workqueue at rtl_deinit_core
    (bsc#1012628).
  - wifi: rtlwifi: remove unused check_buddy_priv (bsc#1012628).
  - dt-bindings: leds: class-multicolor: Fix path to color
    definitions (bsc#1012628).
  - clk: fix an OF node reference leak in of_clk_get_parent_name()
    (bsc#1012628).
  - dt-bindings: mmc: controller: clarify the address-cells
    description (bsc#1012628).
  - rxrpc: Fix handling of received connection abort (bsc#1012628).
  - spi: zynq-qspi: Add check for clk_enable() (bsc#1012628).
  - net_sched: sch_sfq: don't allow 1 packet limit (bsc#1012628).
  - selftests/bpf: Actuate tx_metadata_len in xdp_hw_metadata
    (bsc#1012628).
  - wifi: cfg80211: tests: Fix potential NULL dereference in
    test_cfg80211_parse_colocated_ap() (bsc#1012628).
  - clk: mmp: pxa1908-apbc: Fix NULL vs IS_ERR() check
    (bsc#1012628).
  - clk: mmp: pxa1908-apbcp: Fix a NULL vs IS_ERR() check
    (bsc#1012628).
  - clk: mmp: pxa1908-mpmu: Fix a NULL vs IS_ERR() check
    (bsc#1012628).
  - clk: renesas: cpg-mssr: Fix 'soc' node handling in
    cpg_mssr_reserved_init() (bsc#1012628).
  - wifi: wcn36xx: fix channel survey memory allocation size
    (bsc#1012628).
  - wifi: rtlwifi: usb: fix workqueue leak when probe fails
    (bsc#1012628).
  - wifi: rtlwifi: fix init_sw_vars leak when probe fails
    (bsc#1012628).
  - wifi: rtlwifi: wait for firmware loading before releasing memory
    (bsc#1012628).
  - wifi: rtlwifi: rtl8192se: rise completion of firmware loading
    as last step (bsc#1012628).
  - wifi: rtlwifi: do not complete firmware loading needlessly
    (bsc#1012628).
  - wifi: rtlwifi: rtl8821ae: phy: restore removed code to fix
    infinite loop (bsc#1012628).
  - wifi: ath11k: Fix unexpected return buffer manager error for
    WCN6750/WCN6855 (bsc#1012628).
  - ipmi: ipmb: Add check devm_kasprintf() returned value
    (bsc#1012628).
  - genirq: Make handle_enforce_irqctx() unconditionally available
    (bsc#1012628).
  - drm/amdgpu: fix gpu recovery disable with per queue reset
    (bsc#1012628).
  - drm/amdgpu: tear down ttm range manager for doorbell in
    amdgpu_ttm_fini() (bsc#1012628).
  - drm/amdgpu: Fix shift type in
    amdgpu_debugfs_sdma_sched_mask_set() (bsc#1012628).
  - drm/bridge: it6505: Change definition of AUX_FIFO_MAX_SIZE
    (bsc#1012628).
  - drm/msm/mdp4: correct LCDC regulator name (bsc#1012628).
  - drm/msm: don't clean up priv->kms prematurely (bsc#1012628).
  - drm/msm: Check return value of of_dma_configure() (bsc#1012628).
  - drm/msm/dpu: link DSPP_2/_3 blocks on X1E80100 (bsc#1012628).
  - drm/msm/dpu: link DSPP_2/_3 blocks on SM8650 (bsc#1012628).
  - drm/msm/dpu: link DSPP_2/_3 blocks on SM8550 (bsc#1012628).
  - drm/msm/dpu: link DSPP_2/_3 blocks on SM8350 (bsc#1012628).
  - drm/msm/dpu: link DSPP_2/_3 blocks on SM8250 (bsc#1012628).
  - drm/msm/dpu: link DSPP_2/_3 blocks on SC8180X (bsc#1012628).
  - drm/msm/dpu: link DSPP_2/_3 blocks on SM8150 (bsc#1012628).
  - drm/msm/dpu: provide DSPP and correct LM config for SDM670
    (bsc#1012628).
  - OPP: fix dev_pm_opp_find_bw_*() when bandwidth table not
    initialized (bsc#1012628).
  - OPP: add index check to assert to avoid buffer overflow in
    _read_freq() (bsc#1012628).
  - drm/amdgpu: Fix potential integer overflow in scheduler mask
    calculations (bsc#1012628).
  - drm/amdgpu/vcn: reset fw_shared under SRIOV (bsc#1012628).
  - drm/rockchip: vop2: include rockchip_drm_drv.h (bsc#1012628).
  - drm/rockchip: vop2: Add check for 32 bpp format for rk3588
    (bsc#1012628).
  - drm/rockchip: vop2: Check linear format for Cluster windows
    on rk3566/8 (bsc#1012628).
  - drm/rockchip: vop2: Setup delay cycle for Esmart2/3
    (bsc#1012628).
  - drm/rockchip: vop2: Set AXI id for rk3588 (bsc#1012628).
  - drm/connector: Allow clearing HDMI infoframes (bsc#1012628).
  - printk: Defer legacy printing when holding printk_cpu_sync
    (bsc#1012628).
  - drm/rockchip: vop2: Fix the windows switch between different
    layers (bsc#1012628).
  - drm/msm/dpu: check dpu_plane_atomic_print_state() for valid sspp
    (bsc#1012628).
  - drm/msm/dp: disable the opp table request even for
    dp_ctrl_off_link() (bsc#1012628).
  - drm/msm/dp: dont call dp_catalog_ctrl_mainlink_ctrl in
    dp_ctrl_configure_source_params() (bsc#1012628).
  - drm/msm/dp: do not touch the MMSS_DP_INTF_CONFIG for tpg
    (bsc#1012628).
  - drm/panthor: Preserve the result returned by panthor_fw_resume()
    (bsc#1012628).
  - drm/rockchip: vop2: Fix the mixer alpha setup for layer 0
    (bsc#1012628).
  - drm/rockchip: vop2: Fix cluster windows alpha ctrl regsiters
    offset (bsc#1012628).
  - drm/amdgpu: Fix potential NULL pointer dereference in
    atomctrl_get_smc_sclk_range_table (bsc#1012628).
  - drm/amd/pm: Fix an error handling path in
    vega10_enable_se_edc_force_stall_config() (bsc#1012628).
  - drm/etnaviv: Fix page property being used for non writecombine
    buffers (bsc#1012628).
  - drm/msm/hdmi: simplify code in pll_get_integloop_gain
    (bsc#1012628).
  - dt-bindings: display/msm: qcom,sa8775p-mdss: fix the example
    (bsc#1012628).
  - drm/msm/dp: fix msm_dp_utils_pack_sdp_header interface
    (bsc#1012628).
  - drm/msm/dp: set safe_to_exit_level before printing it
    (bsc#1012628).
  - drm/rockchip: vop2: fix rk3588 dp+dsi maxclk verification
    (bsc#1012628).
  - drm/i915: Grab intel_display from the encoder to avoid potential
    oopsies (bsc#1012628).
  - drm/v3d: Fix performance counter source settings on V3D 7.x
    (bsc#1012628).
  - psi: Fix race when task wakes up before psi_sched_switch()
    adjusts flags (bsc#1012628).
  - x86/topology: Use x86_sched_itmt_flags for PKG domain
    unconditionally (bsc#1012628).
  - sched: Fix race between yield_to() and try_to_wake_up()
    (bsc#1012628).
  - sched/fair: Fix value reported by hot tasks pulled in
    /proc/schedstat (bsc#1012628).
  - sched/fair: Untangle NEXT_BUDDY and pick_next_task()
    (bsc#1012628).
  - perf/core: Save raw sample data conditionally based on sample
    type (bsc#1012628).
  - block: Ensure start sector is aligned for stacking atomic writes
    (bsc#1012628).
  - afs: Fix the fallback handling for the YFS.RemoveFile2 RPC call
    (bsc#1012628).
  - nvme: fix bogus kzalloc() return check in
    nvme_init_effects_log() (bsc#1012628).
  - select: Fix unbalanced user_access_end() (bsc#1012628).
  - btrfs: subpage: fix the bitmap dump of the locked flags
    (bsc#1012628).
  - partitions: ldm: remove the initial kernel-doc notation
    (bsc#1012628).
  - btrfs: improve the warning and error message for
    btrfs_remove_qgroup() (bsc#1012628).
  - nvme: Add error path for xa_store in nvme_init_effects
    (bsc#1012628).
  - selftests/powerpc: Fix argument order to timer_sub()
    (bsc#1012628).
  - powerpc/pseries/iommu: IOMMU incorrectly marks MMIO range in
    DDW (bsc#1012628).
  - nvme: Add error check for xa_store in nvme_get_effects_log
    (bsc#1012628).
  - nvme-tcp: Fix I/O queue cpu spreading for multiple controllers
    (bsc#1012628).
  - block: fix queue freeze vs limits lock order in sysfs store
    methods (bsc#1012628).
  - block: add a store_limit operations for sysfs entries
    (bsc#1012628).
  - block: add a queue_limits_commit_update_frozen helper
    (bsc#1012628).
  - block: don't update BLK_FEAT_POLL in
    __blk_mq_update_nr_hw_queues (bsc#1012628).
  - block: check BLK_FEAT_POLL under q_usage_count (bsc#1012628).
  - pstore/blk: trivial typo fixes (bsc#1012628).
  - nbd: don't allow reconnect after disconnect (bsc#1012628).
  - ps3disk: Do not use dev->bounce_size before it is set
    (bsc#1012628).
  - block: retry call probe after request_module in
    blk_request_module (bsc#1012628).
  - io_uring: prevent reg-wait speculations (bsc#1012628).
  - block: copy back bounce buffer to user-space correctly in case
    of split (bsc#1012628).
  - fs: fix proc_handler for sysctl_nr_open (bsc#1012628).
  - afs: Fix cleanup of immediately failed async calls
    (bsc#1012628).
  - afs: Fix directory format encoding struct (bsc#1012628).
  - afs: Fix EEXIST error returned from afs_rmdir() to be ENOTEMPTY
    (bsc#1012628).
  - dlm: fix srcu_read_lock() return type to int (bsc#1012628).
  - dlm: fix removal of rsb struct that is master and dir record
    (bsc#1012628).
  - powerpc/book3s64/hugetlb: Fix disabling hugetlb when fadump
    is active (bsc#1012628).
  - coredump: Do not lock during 'comm' reporting (bsc#1012628).
  - commit 450e170
* Sun Feb 09 2025 mkubecek@suse.cz
  - update to 6.14-rc2
  - refresh configs
  - commit 84e001f
* Sat Feb 08 2025 svarbanov@suse.de
  - config: update to 6.14-rc2 and reenable armv6hl configs
    Mirrors the update of arm64 configs to 6.14-rc2.
    - CONFIG_CGROUP_DMEM=y
    - CONFIG_DPM_WATCHDOG_WARNING_TIMEOUT=60
    - CONFIG_GENKSYMS=y
    - CONFIG_BASIC_MODVERSIONS=y
    - CONFIG_ARCH_HAS_CACHE_LINE_SIZE=y
    - CONFIG_XFRM_IPTFS=m
    - CONFIG_MD_LINEAR=m
    - CONFIG_REALTEK_PHY_HWMON=y
    - CONFIG_RTW88_LEDS=y
    - CONFIG_INPUT_QNAP_MCU=m
    - CONFIG_PPS_GENERATOR=m
    - CONFIG_PPS_GENERATOR_DUMMY=m
    - CONFIG_FUEL_GAUGE_STC3117=m
    - CONFIG_SENSORS_CRPS=m
    - CONFIG_SENSORS_TPS25990=m
    - CONFIG_SENSORS_TPS25990_REGULATOR=y
    - CONFIG_SENSORS_QNAP_MCU_HWMON=m
    - CONFIG_MFD_QNAP_MCU=m
    - CONFIG_DRM_DRAW=y
    - CONFIG_DRM_CLIENT_DEFAULT_FBDEV=y
    - CONFIG_DRM_CLIENT_DEFAULT="fbdev"
    - CONFIG_DRM_DISPLAY_HDMI_AUDIO_HELPER=y
    - CONFIG_TYPEC_TBT_ALTMODE=m
    - CONFIG_LEDS_LP8864=m
    - CONFIG_LEDS_QNAP_MCU=m
    - CONFIG_LEDS_ST1202=m
    - CONFIG_BD79703=m
    - CONFIG_OPT4060=m
    - CONFIG_FUSE_IO_URING=y
    - CONFIG_HAS_SECURITY_AUDIT=y
    - CONFIG_CRC_OPTIMIZATIONS=y
  - commit 14ae0a4
* Fri Feb 07 2025 svarbanov@suse.de
  - config: update to 6.14-rc2 and reenable armv7hl configs
    Mirrors the update of arm64 configs to 6.14-rc2.
    - CONFIG_CGROUP_DMEM=y
    - CONFIG_ARM_AIROHA_SOC_CPUFREQ=m
    - CONFIG_DPM_WATCHDOG_WARNING_TIMEOUT=60
    - CONFIG_GENKSYMS=y
    - CONFIG_BASIC_MODVERSIONS=y
    - CONFIG_ARCH_HAS_CACHE_LINE_SIZE=y
    - CONFIG_XFRM_IPTFS=m
    - CONFIG_NVME_TARGET_PCI_EPF=m
    - CONFIG_NTSYNC=m
    - CONFIG_MD_LINEAR=m
    - CONFIG_REALTEK_PHY_HWMON=y
    - CONFIG_RTW88_LEDS=y
    - CONFIG_INPUT_QNAP_MCU=m
    - CONFIG_MIPI_I3C_HCI_PCI=m
    - CONFIG_PPS_GENERATOR=m
    - CONFIG_PPS_GENERATOR_DUMMY=m
    - CONFIG_PINCTRL_MSM8917=m
    - CONFIG_FUEL_GAUGE_STC3117=m
    - CONFIG_SENSORS_CRPS=m
    - CONFIG_SENSORS_TPS25990=m
    - CONFIG_SENSORS_TPS25990_REGULATOR=y
    - CONFIG_SENSORS_QNAP_MCU_HWMON=m
    - CONFIG_MFD_QNAP_MCU=m
    - CONFIG_DRM_DRAW=y
    - CONFIG_DRM_CLIENT_DEFAULT_FBDEV=y
    - CONFIG_DRM_CLIENT_DEFAULT="fbdev"
    - CONFIG_DRM_DISPLAY_HDMI_AUDIO_HELPER=y
    - CONFIG_DRM_VRAM_HELPER=m
    - CONFIG_ROCKCHIP_DW_MIPI_DSI2=y
    - CONFIG_DRM_DW_MIPI_DSI2=m
    - CONFIG_DRM_HISI_HIBMC=m
    - CONFIG_SND_COMPRESS_ACCEL=y
    - CONFIG_CROS_EC_UCSI=m
    - CONFIG_TYPEC_TBT_ALTMODE=m
    - CONFIG_LEDS_LP8864=m
    - CONFIG_LEDS_QNAP_MCU=m
    - CONFIG_LEDS_ST1202=m
    - CONFIG_CROS_EC_TYPEC_ALTMODES=y
    - CONFIG_IPQ_CMN_PLL=m
    - CONFIG_EXYNOS_MBOX=m
    - CONFIG_ARM_SMMU_MMU_500_CPRE_ERRATA=y
    - CONFIG_AIROHA_CPU_PM_DOMAIN=m
    - CONFIG_BD79703=m
    - CONFIG_OPT4060=m
    - CONFIG_INTERCONNECT_QCOM_SM8750=m
    - CONFIG_FUSE_IO_URING=y
    - CONFIG_HAS_SECURITY_AUDIT=y
    - CONFIG_ARCH_HAS_CRC_T10DIF=y
    - CONFIG_CRC_T10DIF_ARCH=y
    - CONFIG_ARCH_HAS_CRC32=y
    - CONFIG_CRC32_ARCH=y
    - CONFIG_CRC_OPTIMIZATIONS=y
  - commit a28edd1
* Fri Feb 07 2025 svarbanov@suse.de
  - config: update to 6.14-rc2 and reenable arm64 configs
    update to 6.14-rc2
    - new config options
    - General setup
    - CONFIG_CGROUP_DMEM=y
    - Power management and ACPI options
    - CONFIG_DPM_WATCHDOG_WARNING_TIMEOUT=60
    - Blaize SoC Platforms
    - ARCH_BLAIZE=y
    - CPU frequency scaling drivers
    - Airoha EN7581 SoC CPUFreq support (ARM_AIROHA_SOC_CPUFREQ)
    - Enable loadable module support
    - CONFIG_GENKSYMS=y
    - CONFIG_GENDWARFKSYMS=n
    - CONFIG_EXTENDED_MODVERSIONS=n
    - CONFIG_BASIC_MODVERSIONS=y
    - Memory Management options
    - CONFIG_MHP_DEFAULT_ONLINE_TYPE_OFFLINE=y
    - CONFIG_MHP_DEFAULT_ONLINE_TYPE_ONLINE_AUTO=n
    - CONFIG_MHP_DEFAULT_ONLINE_TYPE_ONLINE_KERNEL=n
    - CONFIG_MHP_DEFAULT_ONLINE_TYPE_ONLINE_MOVABLE=n
    - Networking support
    - CONFIG_XFRM_IPTFS=m
    - Raw/parallel NAND flash controllers
    - MTD_NAND_NUVOTON_MA35=m
    - NVME Support
    - CONFIG_NVME_TARGET_PCI_EPF=m
    - Misc devices
    - CONFIG_NTSYNC=m
    - Multiple devices driver support (RAID and LVM)
    - CONFIG_MD_LINEAR=m
    - STMicroelectronics devices
    - DWMAC_S32=m
    - I3C support
    - CONFIG_MIPI_I3C_HCI_PCI=m
    - SPI support
    - CONFIG_SPI_KSPI2=m
    - PPS support
    - CONFIG_PPS_GENERATOR=m
    - PPS_GENERATOR_DUMMY=m
    - MediaTek pinctrl drivers
    - PINCTRL_MT7988=y
    - Qualcomm core pin controller driver
    - PINCTRL_MSM8917=m
    - Power supply class support
    - FUEL_GAUGE_STC3117=m
    - Hardware Monitoring support
    - CONFIG_SENSORS_CRPS=m
    - CONFIG_SENSORS_TPS25990=m
    - CONFIG_SENSORS_TPS25990_REGULATOR=y
    - Multifunction device drivers
    - MFD_QNAP_MCU=m
    - Media platform devices
    - VIDEO_STM32_CSI=m
    - Graphics support
    - CONFIG_DRM_CLIENT_LOG=n
    - ROCKCHIP_DW_MIPI_DSI2=y
    - DRM_ZYNQMP_DPSUB_AUDIO=n
    - Sound card support
    - CONFIG_SND_HDA_SCODEC_TAS2781_SPI=m
    - USB support
    - CONFIG_CROS_EC_UCSI=m
    - CONFIG_TYPEC_TBT_ALTMODE=m
    - LED drivers
    - LEDS_LP8864=m
    - LEDS_QNAP_MCU=m
    - LEDS_ST1202=m
    - Virtualization drivers
    - VBOXGUEST=m
    - Qualcomm's clock controllers
    - CLK_X1P42100_GPUCC=m
    - IPQ_CMN_PLL=m
    - QCS_GCC_615=m
    - SM_GCC_8750=m
    - SM_LPASSCC_6115=m
    - SM_TCSRCC_8750=m
    - SM_DISPCC_8750=m
    - Mailbox Hardware Support
    - EXYNOS_MBOX=m
    - IOMMU Hardware Support
    - ARM_SMMU_MMU_500_CPRE_ERRATA=y
    - Renesas SoC driver support
    - ARCH_R9A09G047=y
    - MediaTek PM Domains
    - AIROHA_CPU_PM_DOMAIN=m
    - Digital to analog converters
    - BD79703=m
    - Light sensors
    - OPT4060=m
    - On-Chip Interconnect management support
    - INTERCONNECT_QCOM_SM8750=m
    - File systems
    - CONFIG_FUSE_IO_URING=y
    - VBOXSF_FS=m
    - Library routines
    - CONFIG_CRC_OPTIMIZATIONS=y
    - RCU Debugging
    - RCU_TORTURE_TEST_CHK_RDR_STATE=n
    - RCU_TORTURE_TEST_LOG_CPU=n
    - Tracers
    - FPROBE=n
    - Miscellaneous devices
    - INPUT_QNAP_MCU=m
    - Hardware Monitoring support
    - SENSORS_QNAP_MCU_HWMON=m
  - commit 8710428
* Fri Feb 07 2025 mkubecek@suse.cz
  - update to 6.14-rc1
  - drop 5 mainline patches
    - patches.suse/ALSA-hda-realtek-Workaround-for-resume-on-Dell-Venue.patch
    - patches.suse/Input-synaptics-fix-crash-when-enabling-pass-through.patch
    - patches.suse/PCI-DPC-Yet-another-quirk-for-PIO-log-size-on-Intel-.patch
    - patches.suse/Revert-media-uvcvideo-Require-entities-to-have-a-non.patch
    - patches.suse/tpm-Change-to-kvalloc-in-eventlog-acpi.c.patch
  - refresh
    - patches.suse/0001-security-lockdown-expose-a-hook-to-lock-the-kernel-down.patch
    - patches.suse/add-suse-supported-flag.patch
    - patches.suse/genksyms-add-override-flag.diff
  - disable ARM architectures (need config update)
  - new config options
    - General setup
    - CONFIG_CGROUP_DMEM=y
    - Power management and ACPI options
    - CONFIG_DPM_WATCHDOG_WARNING_TIMEOUT=60
    - Enable loadable module support
    - CONFIG_GENKSYMS=y
    - CONFIG_GENDWARFKSYMS=n
    - CONFIG_EXTENDED_MODVERSIONS=n
    - CONFIG_BASIC_MODVERSIONS=y
    - Memory Management options
    - CONFIG_MHP_DEFAULT_ONLINE_TYPE_OFFLINE=y
    - CONFIG_MHP_DEFAULT_ONLINE_TYPE_ONLINE_AUTO=n
    - CONFIG_MHP_DEFAULT_ONLINE_TYPE_ONLINE_KERNEL=n
    - CONFIG_MHP_DEFAULT_ONLINE_TYPE_ONLINE_MOVABLE=n
    - CONFIG_PT_RECLAIM=y
    - Networking support
    - CONFIG_XFRM_IPTFS=m
    - File systems
    - CONFIG_FUSE_IO_URING=y
    - Library routines
    - CONFIG_CRC_OPTIMIZATIONS=y
    - Kernel hacking
    - CONFIG_RCU_TORTURE_TEST_CHK_RDR_STATE=n
    - CONFIG_RCU_TORTURE_TEST_LOG_CPU=n
    - NVME Support
    - CONFIG_NVME_TARGET_PCI_EPF=m
    - Misc devices
    - CONFIG_NTSYNC=m
    - Multiple devices driver support (RAID and LVM)
    - CONFIG_MD_LINEAR=m
    - I3C support
    - CONFIG_MIPI_I3C_HCI_PCI=m
    - SPI support
    - CONFIG_SPI_KSPI2=m
    - PPS support
    - CONFIG_PPS_GENERATOR=m
    - CONFIG_PPS_GENERATOR_DUMMY=m
    - Power supply class support
    - CONFIG_FUEL_GAUGE_STC3117=m
    - Hardware Monitoring support
    - CONFIG_SENSORS_CRPS=m
    - CONFIG_SENSORS_TPS25990=m
    - CONFIG_SENSORS_TPS25990_REGULATOR=y
    - Multifunction device drivers
    - CONFIG_MFD_QNAP_MCU=n
    - CONFIG_MFD_UPBOARD_FPGA=n
    - Graphics support
    - CONFIG_DRM_CLIENT_LOG=n
    - CONFIG_DRM_CLIENT_DEFAULT_FBDEV=y
    - CONFIG_DRM_HISI_HIBMC=m
    - Compute Acceleration Framework
    - CONFIG_DRM_ACCEL_AMDXDNA=m
    - Sound card support
    - CONFIG_SND_HDA_SCODEC_TAS2781_SPI=m
    - HID bus support
    - CONFIG_INTEL_THC_HID=m
    - CONFIG_INTEL_QUICKSPI=m
    - CONFIG_INTEL_QUICKI2C=m
    - USB support
    - CONFIG_CROS_EC_UCSI=m
    - CONFIG_TYPEC_TBT_ALTMODE=m
    - DMA Engine support
    - CONFIG_AMD_AE4DMA=m
    - Industrial I/O support
    - CONFIG_BD79703=n
    - CONFIG_OPT4060=n
    - OF dependent (i386, ppc64le, riscv64)
    - LEDS_LP8864=m
    - LEDS_ST1202=m
    - riscv64
    - ARCH_SPACEMIT=y
    - ERRATA_THEAD_GHOSTWRITE=y
    - RISCV_ISA_VENDOR_EXT_THEAD=y
    - RISCV_ISA_XTHEADVECTOR=y
    - PINCTRL_SPACEMIT_K1=m
    - MCHP_SBI_IPC_MBOX=m
  - commit 0598a74
* Wed Feb 05 2025 jslaby@suse.cz
  - phy: rockchip: naneng-combphy: compatible reset with old DT
    (bsc#1236755).
  - commit 298fb35
* Sun Feb 02 2025 jslaby@suse.cz
  - Linux 6.13.1 (bsc#1012628).
  - pm: cpupower: Makefile: Fix cross compilation (bsc#1012628).
  - drm/v3d: Assign job pointer to NULL before signaling the fence
    (bsc#1012628).
  - Input: xpad - add support for wooting two he (arm)
    (bsc#1012628).
  - Input: xpad - add support for Nacon Evol-X Xbox One Controller
    (bsc#1012628).
  - Input: xpad - improve name of 8BitDo controller 2dc8:3106
    (bsc#1012628).
  - Input: xpad - add QH Electronics VID/PID (bsc#1012628).
  - Input: xpad - add unofficial Xbox 360 wireless receiver clone
    (bsc#1012628).
  - Input: atkbd - map F23 key to support default copilot shortcut
    (bsc#1012628).
  - Input: xpad - add support for Nacon Pro Compact (bsc#1012628).
  - io_uring/rsrc: require cloned buffers to share accounting
    contexts (bsc#1012628).
  - HID: wacom: Initialize brightness of LED trigger (bsc#1012628).
  - wifi: rtl8xxxu: add more missing rtl8192cu USB IDs
    (bsc#1012628).
  - ALSA: usb-audio: Add delay quirk for USB Audio Device
    (bsc#1012628).
  - Revert "usb: gadget: u_serial: Disable ep before setting port to
    null to fix the crash caused by port being null" (bsc#1012628).
  - USB: serial: quatech2: fix null-ptr-deref in
    qt2_process_read_urb() (bsc#1012628).
  - scsi: storvsc: Ratelimit warning logs to prevent VM denial of
    service (bsc#1012628).
  - vfio/platform: check the bounds of read/write syscalls
    (bsc#1012628).
  - cachestat: fix page cache statistics permission checking
    (bsc#1012628).
  - Revert "HID: multitouch: Add support for lenovo Y9000P Touchpad"
    (bsc#1012628).
  - net: sched: fix ets qdisc OOB Indexing (bsc#1012628).
  - smb: client: handle lack of EA support in smb2_query_path_info()
    (bsc#1012628).
  - libfs: Use d_children list to iterate simple_offset directories
    (bsc#1012628).
  - libfs: Replace simple_offset end-of-directory detection
    (bsc#1012628).
  - Revert "libfs: fix infinite directory reads for offset dir"
    (bsc#1012628).
  - Revert "libfs: Add simple_offset_empty()" (bsc#1012628).
  - libfs: Return ENOSPC when the directory offset range is
    exhausted (bsc#1012628).
  - gfs2: Truncate address space when flipping GFS2_DIF_JDATA flag
    (bsc#1012628).
  - commit 8a5bb5a
* Sun Feb 02 2025 tiwai@suse.de
  - Moved upstreamed sound and media patches into sorted section
    Refreshed:
    patches.suse/ALSA-hda-realtek-Workaround-for-resume-on-Dell-Venue.patch
    patches.suse/Revert-media-uvcvideo-Require-entities-to-have-a-non.patch
  - commit bb9c70e
* Thu Jan 30 2025 tiwai@suse.de
  - Delete downstream workaround patch for synaptics (bsc#1219522)
    We received a proper upstream fix now
  - commit 88d7e50
* Thu Jan 30 2025 tiwai@suse.de
  - Input: synaptics - fix crash when enabling pass-through port
    (bsc#1219522).
  - commit e7efc2b
* Thu Jan 30 2025 msuchanek@suse.de
  - Update config files.
    Disable accidentally enabled option
    ppc64le:
    CONFIG_IRQ_TIME_ACCOUNTING=n
  - commit 6dfdd1f
* Thu Jan 30 2025 tiwai@suse.de
  - ALSA: hda/realtek: Workaround for resume on Dell Venue 11 Pro
    7130 (bsc#1235686).
  - commit 3c611e6
* Mon Jan 27 2025 mkoutny@suse.com
  - Revert "config: Disable CONFIG_CGROUP_NET_CLASSID"
    This reverts commit 29cfd15c048c831e29a70be0be141aefd6a219c8.
    It turns out there are users of cgroup matching [1], so restore original
    configs, until only purely v1 part can be disabled.
    [1] https://lists.opensuse.org/archives/list/kernel@lists.opensuse.org/thread/S23NOILB7MUIRHSKPBOQKJHVSK26GP6X/
  - commit 56f1e79
* Mon Jan 27 2025 tiwai@suse.de
  - drm/amd/display: Add sanity checks for drm_edid_raw()
    (bsc#1236415).
  - commit 559d689
* Sun Jan 26 2025 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream reference and move to sorted section:
    - patches.suse/PCI-DPC-Yet-another-quirk-for-PIO-log-size-on-Intel-.patch
  - commit 523cf70
* Sat Jan 25 2025 tiwai@suse.de
  - Move upstreamed TPM patch into sorted section
  - commit 80fdc97
* Thu Jan 23 2025 petr.pavlu@suse.com
  - doc/README.SUSE: Point to the updated version of LKMPG
  - commit 624b259
* Mon Jan 20 2025 jslaby@suse.cz
  - PCI/DPC: Quirk PIO log size for Intel Raptor Lake-P
    (bsc#1234623).
    Update to upstream patch.
  - commit 411f27e
* Mon Jan 20 2025 mkubecek@suse.cz
  - update to 6.13 final
  - drop mainline patch
    - patches.suse/drm-nouveau-disp-Fix-missing-backlight-control-on-Ma.patch
  - refresh configs
  - commit 713be8f
* Sat Jan 18 2025 jslaby@suse.cz
  - Linux 6.12.10 (bsc#1012628).
  - netdev: prevent accessing NAPI instances from another namespace
    (bsc#1012628).
  - iio: imu: inv_icm42600: fix spi burst write not supported
    (bsc#1012628).
  - io_uring: don't touch sqd->thread off tw add (bsc#1012628).
  - drm/mediatek: Only touch DISP_REG_OVL_PITCH_MSB if AFBC is
    supported (bsc#1012628).
  - firewall: remove misplaced semicolon from
    stm32_firewall_get_firewall (bsc#1012628).
  - arm64: dts: rockchip: add hevc power domain clock to rk3328
    (bsc#1012628).
  - block, bfq: fix waker_bfqq UAF after bfq_split_bfqq()
    (bsc#1012628).
  - hwmon: (drivetemp) Fix driver producing garbage data when SCSI
    errors occur (bsc#1012628).
  - arm64: dts: qcom: sa8775p: fix the secure device bootup issue
    (bsc#1012628).
  - ARM: dts: imxrt1050: Fix clocks for mmc (bsc#1012628).
  - arm64: dts: imx95: correct the address length of
    netcmix_blk_ctrl (bsc#1012628).
  - io_uring/eventfd: ensure io_eventfd_signal() defers another
    RCU period (bsc#1012628).
  - iio: adc: ad7124: Disable all channels at probe time
    (bsc#1012628).
  - iio: adc: ad7173: fix using shared static info struct
    (bsc#1012628).
  - iio: inkern: call iio_device_put() only on mapped devices
    (bsc#1012628).
  - iio: adc: at91: call input_free_device() on allocated iio_dev
    (bsc#1012628).
  - iio: adc: ti-ads124s08: Use gpiod_set_value_cansleep()
    (bsc#1012628).
  - iio: imu: inv_icm42600: fix timestamps after suspend if sensor
    is on (bsc#1012628).
  - iio: adc: ti-ads1298: Add NULL check in ads1298_init
    (bsc#1012628).
  - iio: gyro: fxas21002c: Fix missing data update in trigger
    handler (bsc#1012628).
  - iio: adc: ti-ads1119: fix sample size in scan struct for
    triggered buffer (bsc#1012628).
  - iio: adc: ti-ads1119: fix information leak in triggered buffer
    (bsc#1012628).
  - iio: adc: ti-ads8688: fix information leak in triggered buffer
    (bsc#1012628).
  - iio: adc: rockchip_saradc: fix information leak in triggered
    buffer (bsc#1012628).
  - iio: imu: kmx61: fix information leak in triggered buffer
    (bsc#1012628).
  - iio: light: bh1745: fix information leak in triggered buffer
    (bsc#1012628).
  - iio: light: vcnl4035: fix information leak in triggered buffer
    (bsc#1012628).
  - iio: dummy: iio_simply_dummy_buffer: fix information leak in
    triggered buffer (bsc#1012628).
  - iio: pressure: zpa2326: fix information leak in triggered buffer
    (bsc#1012628).
  - usb: typec: fix pm usage counter imbalance in
    ucsi_ccg_sync_control() (bsc#1012628).
  - usb: host: xhci-plat: set skip_phy_initialization if software
    node has XHCI_SKIP_PHY_INIT property (bsc#1012628).
  - usb: gadget: configfs: Ignore trailing LF for user strings to
    cdev (bsc#1012628).
  - usb: gadget: f_fs: Remove WARN_ON in functionfs_bind
    (bsc#1012628).
  - usb: typec: tcpm/tcpci_maxim: fix error code in
    max_contaminant_read_resistance_kohm() (bsc#1012628).
  - usb: gadget: f_uac2: Fix incorrect setting of bNumEndpoints
    (bsc#1012628).
  - usb: typec: tcpci: fix NULL pointer issue on shared irq case
    (bsc#1012628).
  - usb: chipidea: ci_hdrc_imx: decrement device's refcount in
    .remove() and in the error path of .probe() (bsc#1012628).
  - usb: gadget: midi2: Reverse-select at the right place
    (bsc#1012628).
  - usb: fix reference leak in usb_new_device() (bsc#1012628).
  - USB: core: Disable LPM only for non-suspended ports
    (bsc#1012628).
  - USB: usblp: return error when setting unsupported protocol
    (bsc#1012628).
  - usb: dwc3-am62: Disable autosuspend during remove (bsc#1012628).
  - x86/fpu: Ensure shadow stack is active before "getting"
    registers (bsc#1012628).
  - usb: gadget: u_serial: Disable ep before setting port to null
    to fix the crash caused by port being null (bsc#1012628).
  - serial: stm32: use port lock wrappers for break control
    (bsc#1012628).
  - tty: serial: 8250: Fix another runtime PM usage counter
    underflow (bsc#1012628).
  - misc: microchip: pci1xxxx: Resolve return code mismatch during
    GPIO set config (bsc#1012628).
  - misc: microchip: pci1xxxx: Resolve kernel panic during GPIO
    IRQ handling (bsc#1012628).
  - topology: Keep the cpumask unchanged when printing cpumap
    (bsc#1012628).
  - usb: dwc3: gadget: fix writing NYET threshold (bsc#1012628).
  - USB: serial: cp210x: add Phoenix Contact UPS Device
    (bsc#1012628).
  - usb-storage: Add max sectors quirk for Nokia 208 (bsc#1012628).
  - staging: iio: ad9832: Correct phase range check (bsc#1012628).
  - staging: iio: ad9834: Correct phase range check (bsc#1012628).
  - USB: serial: option: add Neoway N723-EA support (bsc#1012628).
  - USB: serial: option: add MeiG Smart SRM815 (bsc#1012628).
  - io_uring/sqpoll: zero sqd->thread on tctx errors (bsc#1012628).
  - io_uring/timeout: fix multishot updates (bsc#1012628).
  - drm/amd/display: increase MAX_SURFACES to the value supported
    by hw (bsc#1012628).
  - drm/amd/display: fix page fault due to max surface definition
    mismatch (bsc#1012628).
  - drm/amd/display: fix divide error in DM plane scale calcs
    (bsc#1012628).
  - drm/amdkfd: wq_release signals dma_fence only when available
    (bsc#1012628).
  - drm/amdkfd: fixed page fault when enable MES shader debugger
    (bsc#1012628).
  - drm/amd/pm: fix BUG: scheduling while atomic (bsc#1012628).
  - drm/amdgpu: Add a lock when accessing the buddy trim function
    (bsc#1012628).
  - ACPI: resource: Add Asus Vivobook X1504VAP to
    irq1_level_low_skip_override[] (bsc#1012628).
  - ACPI: resource: Add TongFang GM5HG0A to
    irq1_edge_low_force_override[] (bsc#1012628).
  - gpio: loongson: Fix Loongson-2K2000 ACPI GPIO register offset
    (bsc#1012628).
  - riscv: kprobes: Fix incorrect address calculation (bsc#1012628).
  - riscv: Fix sleeping in invalid context in die() (bsc#1012628).
  - fs: kill MNT_ONRB (bsc#1012628).
  - smb: client: sync the root session and superblock context
    passwords before automounting (bsc#1012628).
  - arm64: dts: qcom: sa8775p: Fix the size of 'addr_space' regions
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100: Fix up BAR space size for PCIe6a
    (bsc#1012628).
  - sched_ext: idle: Refresh idle masks during idle-to-idle
    transitions (bsc#1012628).
  - cgroup/cpuset: remove kernfs active break (bsc#1012628).
  - sched_ext: switch class when preempted by higher priority
    scheduler (bsc#1012628).
  - sched_ext: Replace rq_lock() to raw_spin_rq_lock() in
    scx_ops_bypass() (bsc#1012628).
  - thermal: of: fix OF node leak in of_thermal_zone_find()
    (bsc#1012628).
  - cgroup/cpuset: Prevent leakage of isolated CPUs into sched
    domains (bsc#1012628).
  - drm/amd/display: Add check for granularity in dml ceil/floor
    helpers (bsc#1012628).
  - drm/amd/display: Remove unnecessary amdgpu_irq_get/put
    (bsc#1012628).
  - Revert "drm/mediatek: dsi: Correct calculation formula of PHY
    Timing" (bsc#1012628).
  - btrfs: zlib: fix avail_in bytes for s390 zlib HW compression
    path (bsc#1012628).
  - ksmbd: Implement new SMB3 POSIX type (bsc#1012628).
  - rds: sysctl: rds_tcp_{rcv,snd}buf: avoid using current->nsproxy
    (bsc#1012628).
  - sctp: sysctl: plpmtud_probe_interval: avoid using
    current->nsproxy (bsc#1012628).
  - sctp: sysctl: udp_port: avoid using current->nsproxy
    (bsc#1012628).
  - sctp: sysctl: auth_enable: avoid using current->nsproxy
    (bsc#1012628).
  - sctp: sysctl: rto_min/max: avoid using current->nsproxy
    (bsc#1012628).
  - sctp: sysctl: cookie_hmac_alg: avoid using current->nsproxy
    (bsc#1012628).
  - mptcp: sysctl: blackhole timeout: avoid using current->nsproxy
    (bsc#1012628).
  - mptcp: sysctl: sched: avoid using current->nsproxy
    (bsc#1012628).
  - mptcp: sysctl: avail sched: remove write access (bsc#1012628).
  - dm-verity FEC: Fix RS FEC repair for roots unaligned to block
    size (take 2) (bsc#1012628).
  - dm-ebs: don't set the flag DM_TARGET_PASSES_INTEGRITY
    (bsc#1012628).
  - fs: fix is_mnt_ns_file() (bsc#1012628).
  - fs: relax assertions on failure to encode file handles
    (bsc#1012628).
  - vfio/pci: Fallback huge faults for unaligned pfn (bsc#1012628).
  - scsi: ufs: qcom: Power off the PHY if it was already powered
    on in ufs_qcom_power_up_sequence() (bsc#1012628).
  - dm thin: make get_first_thin use rcu-safe list first function
    (bsc#1012628).
  - drivers/perf: riscv: Return error for default case
    (bsc#1012628).
  - drivers/perf: riscv: Fix Platform firmware event data
    (bsc#1012628).
  - netfs: Fix read-retry for fs with no ->prepare_read()
    (bsc#1012628).
  - netfs: Fix kernel async DIO (bsc#1012628).
  - drm/xe: Fix tlb invalidation when wedging (bsc#1012628).
  - riscv: use local label names instead of global ones in assembly
    (bsc#1012628).
  - riscv: stacktrace: fix backtracing through exceptions
    (bsc#1012628).
  - riscv: mm: Fix the out of bound issue of vmemmap address
    (bsc#1012628).
  - cpuidle: riscv-sbi: fix device node release in early exit of
    for_each_possible_cpu (bsc#1012628).
  - riscv: module: remove relocation_head rel_entry member
    allocation (bsc#1012628).
  - ksmbd: fix unexpectedly changed path in
    ksmbd_vfs_kern_path_locked (bsc#1012628).
  - platform/x86: intel/pmc: Fix ioremap() of bad address
    (bsc#1012628).
  - platform/x86/amd/pmc: Only disable IRQ1 wakeup where i8042
    actually enabled it (bsc#1012628).
  - afs: Fix the maximum cell name length (bsc#1012628).
  - ksmbd: fix a missing return value check bug (bsc#1012628).
  - drm/mediatek: Add return value check when reading DPCD
    (bsc#1012628).
  - gpio: virtuser: fix handling of multiple conn_ids in lookup
    table (bsc#1012628).
  - gpio: virtuser: fix missing lookup table cleanups (bsc#1012628).
  - drm/mediatek: mtk_dsi: Add registers to pdata to fix
    MT8186/MT8188 (bsc#1012628).
  - drm/mediatek: Fix mode valid issue for dp (bsc#1012628).
  - drm/mediatek: Fix YCbCr422 color format issue for DP
    (bsc#1012628).
  - drm/mediatek: stop selecting foreign drivers (bsc#1012628).
  - drm/mediatek: Add support for 180-degree rotation in the
    display driver (bsc#1012628).
  - drm/mediatek: Move mtk_crtc_finish_page_flip() to ddp_cmdq_cb()
    (bsc#1012628).
  - drm/mediatek: Set private->all_drm_private[i]->drm to NULL if
    mtk_drm_bind returns err (bsc#1012628).
  - net/mlx5: Fix variable not being completed when function returns
    (bsc#1012628).
  - rtase: Fix a check for error in rtase_alloc_msix()
    (bsc#1012628).
  - net: stmmac: dwmac-tegra: Read iommu stream id from device tree
    (bsc#1012628).
  - sched: sch_cake: add bounds checks to host bulk flow fairness
    counts (bsc#1012628).
  - netfilter: conntrack: clamp maximum hashtable size to INT_MAX
    (bsc#1012628).
  - netfilter: nf_tables: imbalance in flowtable binding
    (bsc#1012628).
  - mctp i3c: fix MCTP I3C driver multi-thread issue (bsc#1012628).
  - net: hns3: fix kernel crash when 1588 is sent on HIP08 devices
    (bsc#1012628).
  - net: hns3: fixed hclge_fetch_pf_reg accesses bar space out of
    bounds issue (bsc#1012628).
  - net: hns3: initialize reset_timer before hclgevf_misc_irq_init()
    (bsc#1012628).
  - net: hns3: don't auto enable misc vector (bsc#1012628).
  - net: hns3: Resolved the issue that the debugfs query result
    is inconsistent (bsc#1012628).
  - net: hns3: fix missing features due to dev->features
    configuration too early (bsc#1012628).
  - net: hns3: fixed reset failure issues caused by the incorrect
    reset type (bsc#1012628).
  - tcp: Annotate data-race around sk->sk_mark in tcp_v4_send_reset
    (bsc#1012628).
  - Bluetooth: btmtk: Fix failed to send func ctrl for MediaTek
    devices (bsc#1012628).
  - Bluetooth: btnxpuart: Fix driver sending truncated data
    (bsc#1012628).
  - Bluetooth: MGMT: Fix Add Device to responding before completing
    (bsc#1012628).
  - Bluetooth: hci_sync: Fix not setting Random Address when
    required (bsc#1012628).
  - eth: gve: use appropriate helper to set xdp_features
    (bsc#1012628).
  - ipvlan: Fix use-after-free in ipvlan_get_iflink() (bsc#1012628).
  - tls: Fix tls_sw_sendmsg error handling (bsc#1012628).
  - igc: return early when failing to read EECD register
    (bsc#1012628).
  - ice: fix incorrect PHY settings for 100 GB/s (bsc#1012628).
  - ice: fix max values for dpll pin phase adjust (bsc#1012628).
  - net: don't dump Tx and uninitialized NAPIs (bsc#1012628).
  - cxgb4: Avoid removal of uninserted tid (bsc#1012628).
  - bnxt_en: Fix DIM shutdown (bsc#1012628).
  - bnxt_en: Fix possible memory leak when hwrm_req_replace fails
    (bsc#1012628).
  - pds_core: limit loop over fw name list (bsc#1012628).
  - btrfs: avoid NULL pointer dereference if no valid extent tree
    (bsc#1012628).
  - net: libwx: fix firmware mailbox abnormal return (bsc#1012628).
  - net_sched: cls_flow: validate TCA_FLOW_RSHIFT attribute
    (bsc#1012628).
  - tcp/dccp: allow a connection when sk_max_ack_backlog is zero
    (bsc#1012628).
  - net: 802: LLC+SNAP OID:PID lookup on start of skb data
    (bsc#1012628).
  - ieee802154: ca8210: Add missing check for kfifo_alloc() in
    ca8210_probe() (bsc#1012628).
  - selftests/alsa: Fix circular dependency involving global-timer
    (bsc#1012628).
  - ASoC: mediatek: disable buffer pre-allocation (bsc#1012628).
  - ASoC: rt722: add delay time to wait for the calibration
    procedure (bsc#1012628).
  - ovl: support encoding fid from inode with no alias
    (bsc#1012628).
  - ovl: pass realinode to ovl_encode_real_fh() instead of
    realdentry (bsc#1012628).
  - fuse: respect FOPEN_KEEP_CACHE on opendir (bsc#1012628).
  - exfat: fix the infinite loop in __exfat_free_cluster()
    (bsc#1012628).
  - exfat: fix the new buffer was not zeroed before writing
    (bsc#1012628).
  - exfat: fix the infinite loop in exfat_readdir() (bsc#1012628).
  - netfs: Fix is-caching check in read-retry (bsc#1012628).
  - netfs: Fix the (non-)cancellation of copy when cache is
    temporarily disabled (bsc#1012628).
  - netfs: Fix ceph copy to cache on write-begin (bsc#1012628).
  - netfs: Fix missing barriers by using clear_and_wake_up_bit()
    (bsc#1012628).
  - nfs: Fix oops in nfs_netfs_init_request() when copying to cache
    (bsc#1012628).
  - netfs: Fix enomem handling in buffered reads (bsc#1012628).
  - dm array: fix cursor index when skipping across block boundaries
    (bsc#1012628).
  - dm array: fix unreleased btree blocks on closing a faulty
    array cursor (bsc#1012628).
  - dm array: fix releasing a faulty array block twice in
    dm_array_cursor_end (bsc#1012628).
  - iomap: fix zero padding data issue in concurrent append writes
    (bsc#1012628).
  - iomap: pass byte granular end position to iomap_add_to_ioend
    (bsc#1012628).
  - fs/writeback: convert wbc_account_cgroup_owner to take a folio
    (bsc#1012628).
  - jbd2: flush filesystem device before updating tail sequence
    (bsc#1012628).
  - jbd2: increase IO priority for writing revoke records
    (bsc#1012628).
  - commit a1e0c36
* Fri Jan 17 2025 tiwai@suse.de
  - Move upstreamed DRM patch into sorted section
  - commit de9de0a
* Fri Jan 17 2025 tiwai@suse.de
  - Update config files: enable CONFIG_HSA_AMD_P2P and relevants (bsc#1235936)
    CONFIG_HSA_AMD_P2P was enabled on SLE kernels for ROCm on x86 and
    arm64.  Sync the configs for TW kernels, too.
  - commit 6120283
* Thu Jan 16 2025 tiwai@suse.de
  - tpm: Map the ACPI provided event log (bsc#1233260 bsc#1233259
    bsc#1232421).
  - commit a063815
* Wed Jan 15 2025 tiwai@suse.de
  - Revert "media: uvcvideo: Require entities to have a non-zero
    unique ID" (bsc#1235894).
  - commit 5a0285b
* Mon Jan 13 2025 mkoutny@suse.com
  - config: Disable CONFIG_CGROUP_CPUACCT
    We already have (by default):
    [#] CONFIG_MEMCG_V1 is not set
    [#] CONFIG_CPUSETS_V1 is not set
    that disable memory and cpuset v1 controllers respectively.
    cpuacct is v1-only controller whose functionality was taken over with
    cgroup v2 core. It even adds slight overhead since it doubly-accounts
    CPU time in root cgroup even on v2-only runtimes.
    openSUSE Tumbleweed switched to v2 setup in 2021-03. Today (if not
    earlier) is time for config cleanup.
  - commit 8de3c73
* Mon Jan 13 2025 mkoutny@suse.com
  - config: Disable CONFIG_CGROUP_NET_CLASSID
    We already have (by default):
    [#] CONFIG_MEMCG_V1 is not set
    [#] CONFIG_CPUSETS_V1 is not set
    that disable memory and cpuset v1 controllers respectively.
    net_cls is v1-only controller whose functionality was taken over either
    by per-netns devices or cgroup-attached BPF filters on v2 (strictly
    speaking, net_cls could co-exist with those).
    xt_match could work with cgroup v2 paths but its Kconfig selects the
    legacy CGROUP_NET_CLASSID, so this throws out the baby with the
    bathwater (until xt_match is split).
    openSUSE Tumbleweed switched to v2 setup in 2021-03. Today is time for
    config cleanup.
  - commit 29cfd15
* Mon Jan 13 2025 mkoutny@suse.com
  - config: Disable CONFIG_CGROUP_NET_PRIO
    We already have (by default):
    [#] CONFIG_MEMCG_V1 is not set
    [#] CONFIG_CPUSETS_V1 is not set
    that disable memory and cpuset v1 controllers respectively.
    net_prio is v1-only controller whose functionality was taken over either
    by per-netns devices or cgroup-attached BPF filters on v2 (strictly
    speaking, net_prio could co-exist with those).
    openSUSE Tumbleweed switched to v2 setup in 2021-03. Today is time for
    config cleanup.
  - commit 8f1ca4e
* Mon Jan 13 2025 mkoutny@suse.com
  - config: Disable CONFIG_CGROUP_DEVICE
    We already have (by default):
    [#] CONFIG_MEMCG_V1 is not set
    [#] CONFIG_CPUSETS_V1 is not set
    that disable memory and cpuset v1 controllers respectively.
    The replacement are BPF device-control programs attached to cgroups.
    openSUSE Tumbleweed switched to (such) v2 setup in 2021-03. Today is
    time for config cleanup.
  - commit b0a82b6
* Mon Jan 13 2025 mkubecek@suse.cz
  - update to 6.13-rc7
  - commit 4c12c93
* Fri Jan 10 2025 jslaby@suse.cz
  - Linux 6.12.9 (bsc#1012628).
  - platform/x86: mlx-platform: call pci_dev_put() to balance the
    refcount (bsc#1012628).
  - platform/x86: thinkpad-acpi: Add support for hotkey 0x1401
    (bsc#1012628).
  - platform/x86: hp-wmi: mark 8A15 board for timed OMEN thermal
    profile (bsc#1012628).
  - selinux: ignore unknown extended permissions (bsc#1012628).
  - mmc: sdhci-msm: fix crypto key eviction (bsc#1012628).
  - pmdomain: imx: gpcv2: fix an OF node reference leak in
    imx_gpcv2_probe() (bsc#1012628).
  - pmdomain: core: add dummy release function to genpd device
    (bsc#1012628).
  - block: lift bio_is_zone_append to bio.h (bsc#1012628).
  - btrfs: use bio_is_zone_append() in the completion handler
    (bsc#1012628).
  - RDMA/bnxt_re: Remove always true dattr validity check
    (bsc#1012628).
  - sched_ext: fix application of sizeof to pointer (bsc#1012628).
  - RDMA/mlx5: Enforce same type port association for multiport RoCE
    (bsc#1012628).
  - RDMA/bnxt_re: Fix max SGEs for the Work Request (bsc#1012628).
  - RDMA/bnxt_re: Avoid initializing the software queue for user
    queues (bsc#1012628).
  - RDMA/bnxt_re: Avoid sending the modify QP workaround for latest
    adapters (bsc#1012628).
  - RDMA/core: Fix ENODEV error for iWARP test over vlan
    (bsc#1012628).
  - nvme-pci: 512 byte aligned dma pool segment quirk (bsc#1012628).
  - wifi: iwlwifi: fix CRF name for Bz (bsc#1012628).
  - RDMA/bnxt_re: Fix the check for 9060 condition (bsc#1012628).
  - RDMA/bnxt_re: Add check for path mtu in modify_qp (bsc#1012628).
  - RDMA/bnxt_re: Fix reporting hw_ver in query_device
    (bsc#1012628).
  - RDMA/nldev: Set error code in rdma_nl_notify_event
    (bsc#1012628).
  - RDMA/siw: Remove direct link to net_device (bsc#1012628).
  - RDMA/bnxt_re: Fix max_qp_wrs reported (bsc#1012628).
  - RDMA/bnxt_re: Disable use of reserved wqes (bsc#1012628).
  - RDMA/bnxt_re: Add send queue size check for variable wqe
    (bsc#1012628).
  - RDMA/bnxt_re: Fix MSN table size for variable wqe mode
    (bsc#1012628).
  - RDMA/bnxt_re: Fix the locking while accessing the QP table
    (bsc#1012628).
  - net: phy: micrel: Dynamically control external clock of KSZ PHY
    (bsc#1012628).
  - drm/bridge: adv7511_audio: Update Audio InfoFrame properly
    (bsc#1012628).
  - net: dsa: microchip: Fix KSZ9477 set_ageing_time function
    (bsc#1012628).
  - net: dsa: microchip: Fix LAN937X set_ageing_time function
    (bsc#1012628).
  - selftests: net: local_termination: require mausezahn
    (bsc#1012628).
  - netdev-genl: avoid empty messages in napi get (bsc#1012628).
  - RDMA/hns: Fix mapping error of zero-hop WQE buffer
    (bsc#1012628).
  - RDMA/hns: Fix accessing invalid dip_ctx during destroying QP
    (bsc#1012628).
  - RDMA/hns: Fix warning storm caused by invalid input in IO path
    (bsc#1012628).
  - RDMA/hns: Fix missing flush CQE for DWQE (bsc#1012628).
  - drm/xe: Revert some changes that break a mesa debug tool
    (bsc#1012628).
  - drm/xe/pf: Use correct function to check LMEM provisioning
    (bsc#1012628).
  - drm/xe: Fix fault on fd close after unbind (bsc#1012628).
  - net: stmmac: restructure the error path of
    stmmac_probe_config_dt() (bsc#1012628).
  - net: fix memory leak in tcp_conn_request() (bsc#1012628).
  - net: Fix netns for ip_tunnel_init_flow() (bsc#1012628).
  - netrom: check buffer length before accessing it (bsc#1012628).
  - net: pse-pd: tps23881: Fix power on/off issue (bsc#1012628).
  - net/mlx5: DR, select MSIX vector 0 for completion queue creation
    (bsc#1012628).
  - net/mlx5e: macsec: Maintain TX SA from encoding_sa
    (bsc#1012628).
  - net/mlx5e: Skip restore TC rules for vport rep without loaded
    flag (bsc#1012628).
  - net/mlx5e: Keep netdev when leave switchdev for devlink set
    legacy only (bsc#1012628).
  - RDMA/rxe: Remove the direct link to net_device (bsc#1012628).
  - drm/i915/cx0_phy: Fix C10 pll programming sequence
    (bsc#1012628).
  - drm/i915/dg1: Fix power gate sequence (bsc#1012628).
  - workqueue: add printf attribute to __alloc_workqueue()
    (bsc#1012628).
  - netfilter: nft_set_hash: unaligned atomic read on struct
    nft_set_ext (bsc#1012628).
  - net: llc: reset skb->transport_header (bsc#1012628).
  - nvmet: Don't overflow subsysnqn (bsc#1012628).
  - ALSA: usb-audio: US16x08: Initialize array before use
    (bsc#1012628).
  - eth: bcmsysport: fix call balance of priv->clk handling routines
    (bsc#1012628).
  - net: mv643xx_eth: fix an OF node reference leak (bsc#1012628).
  - net: wwan: t7xx: Fix FSM command timeout issue (bsc#1012628).
  - RDMA/rtrs: Ensure 'ib_sge list' is accessible (bsc#1012628).
  - RDMA/bnxt_re: Fix error recovery sequence (bsc#1012628).
  - io_uring/net: always initialize kmsg->msg.msg_inq upfront
    (bsc#1012628).
  - net: sfc: Correct key_len for efx_tc_ct_zone_ht_params
    (bsc#1012628).
  - net: reenable NETIF_F_IPV6_CSUM offload for BIG TCP packets
    (bsc#1012628).
  - net: restrict SO_REUSEPORT to inet sockets (bsc#1012628).
  - net: wwan: iosm: Properly check for valid exec stage in
    ipc_mmio_init() (bsc#1012628).
  - af_packet: fix vlan_get_tci() vs MSG_PEEK (bsc#1012628).
  - af_packet: fix vlan_get_protocol_dgram() vs MSG_PEEK
    (bsc#1012628).
  - ila: serialize calls to nf_register_net_hooks() (bsc#1012628).
  - net: ti: icssg-prueth: Fix firmware load sequence (bsc#1012628).
  - net: ti: icssg-prueth: Fix clearing of IEP_CMP_CFG registers
    during iep_init (bsc#1012628).
  - btrfs: allow swap activation to be interruptible (bsc#1012628).
  - perf/x86/intel: Add Arrow Lake U support (bsc#1012628).
  - wifi: mac80211: fix mbss changed flags corruption on 32 bit
    systems (bsc#1012628).
  - wifi: cfg80211: clear link ID from bitmap during link delete
    after clean up (bsc#1012628).
  - wifi: mac80211: wake the queues in case of failure in resume
    (bsc#1012628).
  - drm/amdgpu: use sjt mec fw on gfx943 for sriov (bsc#1012628).
  - drm/amdkfd: Correct the migration DMA map direction
    (bsc#1012628).
  - ALSA: hda: cs35l56: Remove calls to
    cs35l56_force_sync_asp1_registers_from_cache() (bsc#1012628).
  - ALSA: hda/realtek - Add support for ASUS Zen AIO 27
    Z272SD_A272SD audio (bsc#1012628).
  - btrfs: handle bio_split() errors (bsc#1012628).
  - btrfs: flush delalloc workers queue before stopping cleaner
    kthread during unmount (bsc#1012628).
  - ALSA: hda/ca0132: Use standard HD-audio quirk matching helpers
    (bsc#1012628).
  - ALSA: hda/realtek: Add new alc2xx-fixup-headset-mic model
    (bsc#1012628).
  - sound: usb: enable DSD output for ddHiFi TC44C (bsc#1012628).
  - sound: usb: format: don't warn that raw DSD is unsupported
    (bsc#1012628).
  - spi: spi-cadence-qspi: Disable STIG mode for Altera SoCFPGA
    (bsc#1012628).
  - ASoC: audio-graph-card: Call of_node_put() on correct node
    (bsc#1012628).
  - ARC: build: disallow invalid PAE40 + 4K page config
    (bsc#1012628).
  - ARC: build: Use __force to suppress per-CPU cmpxchg warnings
    (bsc#1012628).
  - ARC: bpf: Correct conditional check in 'check_jmp_32'
    (bsc#1012628).
  - bpf: fix potential error return (bsc#1012628).
  - ksmbd: retry iterate_dir in smb2_query_dir (bsc#1012628).
  - ksmbd: set ATTR_CTIME flags when setting mtime (bsc#1012628).
  - smb: client: destroy cfid_put_wq on module exit (bsc#1012628).
  - net: usb: qmi_wwan: add Telit FE910C04 compositions
    (bsc#1012628).
  - Bluetooth: hci_core: Fix sleeping function called from invalid
    context (bsc#1012628).
  - irqchip/gic: Correct declaration of *percpu_base pointer in
    union gic_base (bsc#1012628).
  - ARC: build: Try to guess GCC variant of cross compiler
    (bsc#1012628).
  - bpf: refactor bpf_helper_changes_pkt_data to use helper number
    (bsc#1012628).
  - bpf: consider that tail calls invalidate packet pointers
    (bsc#1012628).
  - clk: thead: Fix TH1520 emmc and shdci clock rate (bsc#1012628).
  - scripts/mksysmap: Fix escape chars '$' (bsc#1012628).
  - modpost: fix the missed iteration for the max bit in do_input()
    (bsc#1012628).
  - kbuild: pacman-pkg: provide versioned linux-api-headers package
    (bsc#1012628).
  - Revert "ALSA: ump: Don't enumeration invalid groups for legacy
    rawmidi" (bsc#1012628).
  - RDMA/mlx5: Enable multiplane mode only when it is supported
    (bsc#1012628).
  - io_uring/kbuf: use pre-committed buffer address for non-pollable
    file (bsc#1012628).
  - ALSA: seq: Check UMP support for midi_version change
    (bsc#1012628).
  - ftrace: Fix function profiler's filtering functionality
    (bsc#1012628).
  - drm/xe: Use non-interruptible wait when moving BO to system
    (bsc#1012628).
  - drm/xe: Wait for migration job before unmapping pages
    (bsc#1012628).
  - ALSA hda/realtek: Add quirk for Framework F111:000C
    (bsc#1012628).
  - ALSA: seq: oss: Fix races at processing SysEx messages
    (bsc#1012628).
  - ocfs2: fix slab-use-after-free due to dangling pointer dqi_priv
    (bsc#1012628).
  - kcov: mark in_softirq_really() as __always_inline (bsc#1012628).
  - maple_tree: reload mas before the second call for mas_empty_area
    (bsc#1012628).
  - clk: clk-imx8mp-audiomix: fix function signature (bsc#1012628).
  - scripts/sorttable: fix orc_sort_cmp() to maintain symmetry
    and transitivity (bsc#1012628).
  - sched_ext: Fix invalid irq restore in scx_ops_bypass()
    (bsc#1012628).
  - RDMA/uverbs: Prevent integer overflow issue (bsc#1012628).
  - pinctrl: mcp23s08: Fix sleeping in atomic context due to regmap
    locking (bsc#1012628).
  - workqueue: Do not warn when cancelling WQ_MEM_RECLAIM work
    from !WQ_MEM_RECLAIM worker (bsc#1012628).
  - sky2: Add device ID 11ab:4373 for Marvell 88E8075 (bsc#1012628).
  - sched_ext: initialize kit->cursor.flags (bsc#1012628).
  - net/sctp: Prevent autoclose integer overflow in
    sctp_association_init() (bsc#1012628).
  - io_uring/rw: fix downgraded mshot read (bsc#1012628).
  - drm: adv7511: Drop dsi single lane support (bsc#1012628).
  - dt-bindings: display: adi,adv7533: Drop single lane support
    (bsc#1012628).
  - drm: adv7511: Fix use-after-free in adv7533_attach_dsi()
    (bsc#1012628).
  - wifi: iwlwifi: mvm: Fix __counted_by usage in
    cfg80211_wowlan_nd_* (bsc#1012628).
  - fgraph: Add READ_ONCE() when accessing fgraph_array[]
    (bsc#1012628).
  - net: ethernet: ti: am65-cpsw: default to round-robin for host
    port receive (bsc#1012628).
  - mm/damon/core: fix ignored quota goals and filters of newly
    committed schemes (bsc#1012628).
  - mm/damon/core: fix new damon_target objects leaks on
    damon_commit_targets() (bsc#1012628).
  - mm: shmem: fix the update of 'shmem_falloc->nr_unswapped'
    (bsc#1012628).
  - mm: shmem: fix incorrect index alignment for within_size policy
    (bsc#1012628).
  - fs/proc/task_mmu: fix pagemap flags with PMD THP entries on
    32bit (bsc#1012628).
  - gve: process XSK TX descriptors as part of RX NAPI
    (bsc#1012628).
  - gve: clean XDP queues in gve_tx_stop_ring_gqi (bsc#1012628).
  - gve: guard XSK operations on the existence of queues
    (bsc#1012628).
  - gve: fix XDP allocation path in edge cases (bsc#1012628).
  - gve: guard XDP xmit NDO on existence of xdp queues
    (bsc#1012628).
  - gve: trigger RX NAPI instead of TX NAPI in gve_xsk_wakeup
    (bsc#1012628).
  - mm/readahead: fix large folio support in async readahead
    (bsc#1012628).
  - mm/kmemleak: fix sleeping function called from invalid context
    at print message (bsc#1012628).
  - mm: vmscan: account for free pages to prevent infinite Loop
    in throttle_direct_reclaim() (bsc#1012628).
  - mm: reinstate ability to map write-sealed memfd mappings
    read-only (bsc#1012628).
  - mm: hugetlb: independent PMD page table shared count
    (bsc#1012628).
  - mptcp: fix TCP options overflow (bsc#1012628).
  - mptcp: fix recvbuffer adjust on sleeping rcvmsg (bsc#1012628).
  - mptcp: don't always assume copied data in mptcp_cleanup_rbuf()
    (bsc#1012628).
  - Rename to
    patches.kernel.org/6.12.9-002-drm-amdgpu-fix-backport-of-commit-73dae652dcac.patch.
  - Rename to
    patches.kernel.org/6.12.9-009-tracing-Have-process_string-also-allow-arrays.patch.
  - commit 4e947da
* Thu Jan 09 2025 mkoutny@suse.com
  - config: Disable CONFIG_CGROUP_FREEZER
    We already have (by default):
    [#] CONFIG_MEMCG_V1 is not set
    [#] CONFIG_CPUSETS_V1 is not set
    that disable memory and cpuset v1 controllers respectively.
    Natural extension is disabling freezer v1 controller (as gradually going
    away from v1 controllers).
    This keeps the global freezer functionality (for suspend) and there is
    independent group freezing in cgroup v2.
  - commit 4d0d894
* Thu Jan 09 2025 jslaby@suse.cz
  - drm/nouveau/disp: Fix missing backlight control on Macbook 5,1
    (bsc#1223838).
    Update upstream status.
  - commit 6f66af7
* Wed Jan 08 2025 jslaby@suse.cz
  - Update config files. Set CONFIG_SND_SOC_TAS2781_I2C=m.
    Synchronize with SUSE-2025 -- commit 9821c7add387.
  - Update config files. Set some CONFIG_TINYDRM. (jsc#PED-11186)
    Synchronize with SUSE-2025 -- commit 26a7b09e7108.
  - Update config files. Set some CONFIG_DRM_*=m. (jsc#PED-11186)
    Synchronize with SUSE-2025 -- commit 26a7b09e7108.
  - Update config files. Set CONFIG_DEBUG_SG=y.
    Synchronize with SUSE-2025 -- commit cd73db7ddc80.
  - Update config files. Set CONFIG_NVME_TARGET_{AUTH,PASSTHRU}=y. (bsc#1214883)
    Synchronize with SUSE-2025 -- commit 09e32de220e5.
  - Update config files. Set CONFIG_BUG_ON_DATA_CORRUPTION=y. (jsc#PED-11849)
    Synchronize with SUSE-2025 -- commit ad832d9ab1bf.
  - Update config files. Set CONFIG_RANDOM_KMALLOC_CACHES=y. (jsc#PED-11846)
    Synchronize with SUSE-2025 -- commit 23291c737a28.
    In that commit, Vlasta writes:
    Enable CONFIG_RANDOM_KMALLOC_CACHES except on zfcpdump, disable
    CONFIG_SLAB_BUCKETS on zfcpdump.
    Do the same here.
  - Update config files. Set CONFIG_LIST_HARDENED=y. (jsc#PED-11842)
    Synchronize with SUSE-2025 -- commit a16f97bb750e.
  - Update config files. Set CONFIG_PAGE_POISONING=y. (jsc#PED-11843)
    Synchronize with SUSE-2025 -- commit 0bc6079971af.
  - Update config files. Set CONFIG_DEBUG_WX=y. (jsc#PED-11834)
    Synchronize with SUSE-2025.
  - commit a99fec5
* Mon Jan 06 2025 jslaby@suse.cz
  - rpm/mkspec-dtb: armv7l: remove meson6
    Dropped in upstream commit e2a3f11ae11c (ARM: dts: amlogic: meson6:
    remove support for ATV1200 board).
  - commit a7ea167
* Mon Jan 06 2025 tiwai@suse.de
  - Delete superfluous Mediatek BT patch (bsc#1235020)
    Deleted:
    patches.suse/Bluetooth-btmtk-Remove-resetting-mt7921-before-downl.patch
    The downstream patch seems superfluous now after the upstream addressed
    the issues.
  - commit 7ff303c
* Mon Jan 06 2025 mkubecek@suse.cz
  - update to 6.13-rc6
  - commit 52cb4dc
* Thu Jan 02 2025 tiwai@suse.de
  - PCI/DPC: Yet another quirk for PIO log size on Intel Raptor
    Lake-P (bsc#1234623).
  - commit e1927a2
* Thu Jan 02 2025 mkubecek@suse.cz
  - config: refresh
  - commit c9cfbbb
* Thu Jan 02 2025 jslaby@suse.cz
  - Linux 6.12.8 (bsc#1012628).
  - ALSA: sh: Fix wrong argument order for copy_from_iter()
    (bsc#1012628).
  - ALSA: ump: Shut up truncated string warning (bsc#1012628).
  - Bluetooth: btusb: mediatek: change the conditions for ISO
    interface (bsc#1012628).
  - Bluetooth: btusb: mediatek: add intf release flow when usb
    disconnect (bsc#1012628).
  - Bluetooth: btusb: mediatek: add callback function in
    btusb_disconnect (bsc#1012628).
  - Bluetooth: btusb: mediatek: move Bluetooth power off command
    position (bsc#1012628).
  - btrfs: check folio mapping after unlock in relocate_one_folio()
    (bsc#1012628).
  - btrfs: check folio mapping after unlock in put_file_data()
    (bsc#1012628).
  - btrfs: fix use-after-free when COWing tree bock and tracing
    is enabled (bsc#1012628).
  - btrfs: sysfs: fix direct super block member reads (bsc#1012628).
  - btrfs: fix transaction atomicity bug when enabling simple quotas
    (bsc#1012628).
  - btrfs: fix swap file activation failure due to extents that
    used to be shared (bsc#1012628).
  - btrfs: avoid monopolizing a core when activating a swap file
    (bsc#1012628).
  - btrfs: fix race with memory mapped writes when activating swap
    file (bsc#1012628).
  - power: supply: gpio-charger: Fix set charge current limits
    (bsc#1012628).
  - power: supply: cros_charge-control: hide start threshold on
    v2 cmd (bsc#1012628).
  - power: supply: cros_charge-control: allow start_threshold ==
    end_threshold (bsc#1012628).
  - power: supply: cros_charge-control: add mutex for driver data
    (bsc#1012628).
  - perf/x86/intel/ds: Add PEBS format 6 (bsc#1012628).
  - i2c: microchip-core: fix "ghost" detections (bsc#1012628).
  - i2c: imx: add imx7d compatible string for applying erratum
    ERR007805 (bsc#1012628).
  - perf/x86/intel: Fix bitmask of OCR and FRONTEND events for LNC
    (bsc#1012628).
  - PCI/MSI: Handle lack of irqdomain gracefully (bsc#1012628).
  - virt: tdx-guest: Just leak decrypted memory on unrecoverable
    errors (bsc#1012628).
  - x86/fred: Clear WFE in missing-ENDBRANCH #CPs (bsc#1012628).
  - i2c: microchip-core: actually use repeated sends (bsc#1012628).
  - io_uring/sqpoll: fix sqpoll error handling races (bsc#1012628).
  - rtla/timerlat: Fix histogram ALL for zero samples (bsc#1012628).
  - tracing: Prevent bad count for tracing_cpumask_write
    (bsc#1012628).
  - tracing: Constify string literal data member in struct
    trace_event_call (bsc#1012628).
  - perf/x86/intel/uncore: Add Clearwater Forest support
    (bsc#1012628).
  - dmaengine: loongson2-apb: Change GENMASK to GENMASK_ULL
    (bsc#1012628).
  - freezer, sched: Report frozen tasks as 'D' instead of 'R'
    (bsc#1012628).
  - objtool: Add bch2_trans_unlocked_error() to bcachefs noreturns
    (bsc#1012628).
  - drm/xe: Move the coredump registration to the worker thread
    (bsc#1012628).
  - drm/xe: Take PM ref in delayed snapshot capture worker
    (bsc#1012628).
  - ublk: detach gendisk from ublk device if add_disk() fails
    (bsc#1012628).
  - wifi: iwlwifi: be less noisy if the NIC is dead in S3
    (bsc#1012628).
  - blk-mq: register cpuhp callback after hctx is added to xarray
    table (bsc#1012628).
  - virtio-blk: don't keep queue frozen during system suspend
    (bsc#1012628).
  - drm/dp_mst: Ensure mst_primary pointer is valid in
    drm_dp_mst_handle_up_req() (bsc#1012628).
  - spi: omap2-mcspi: Fix the IS_ERR() bug for
    devm_clk_get_optional_enabled() (bsc#1012628).
  - ACPI/IORT: Add PMCG platform information for HiSilicon HIP09A
    (bsc#1012628).
  - scsi: storvsc: Do not flag MAINTENANCE_IN return of
    SRB_STATUS_DATA_OVERRUN as an error (bsc#1012628).
  - scsi: mpi3mr: Handling of fault code for insufficient power
    (bsc#1012628).
  - scsi: mpi3mr: Start controller indexing from 0 (bsc#1012628).
  - scsi: mpi3mr: Fix corrupt config pages PHY state is switched
    in sysfs (bsc#1012628).
  - scsi: mpi3mr: Synchronize access to ioctl data buffer
    (bsc#1012628).
  - scsi: mpt3sas: Diag-Reset when Doorbell-In-Use bit is set
    during driver load time (bsc#1012628).
  - spi: intel: Add Panther Lake SPI controller support
    (bsc#1012628).
  - bpf: Zero index arg error string for dynptr and iter
    (bsc#1012628).
  - platform/x86: asus-nb-wmi: Ignore unknown event 0xCF
    (bsc#1012628).
  - LoongArch: BPF: Adjust the parameter of emit_jirl()
    (bsc#1012628).
  - LoongArch: Fix reserving screen info memory for above-4G
    firmware (bsc#1012628).
  - regmap: Use correct format specifier for logging range errors
    (bsc#1012628).
  - smb: server: Fix building with GCC 15 (bsc#1012628).
  - ALSA: sh: Use standard helper for buffer accesses (bsc#1012628).
  - ALSA: hda/conexant: fix Z60MR100 startup pop issue
    (bsc#1012628).
  - ALSA: ump: Update legacy substream names upon FB info update
    (bsc#1012628).
  - ALSA: ump: Indicate the inactive group in legacy substream names
    (bsc#1012628).
  - ALSA: ump: Don't open legacy substream for an inactive group
    (bsc#1012628).
  - udf: Verify inode link counts before performing rename
    (bsc#1012628).
  - udf: Skip parent dir link count update if corrupted
    (bsc#1012628).
  - scsi: megaraid_sas: Fix for a potential deadlock (bsc#1012628).
  - scsi: qla1280: Fix hw revision numbering for ISP1020/1040
    (bsc#1012628).
  - watchdog: mediatek: Add support for MT6735 TOPRGU/WDT
    (bsc#1012628).
  - Revert "watchdog: s3c2410_wdt: use
    exynos_get_pmu_regmap_by_phandle() for PMU regs" (bsc#1012628).
  - watchdog: rzg2l_wdt: Power on the watchdog domain in the
    restart handler (bsc#1012628).
  - watchdog: it87_wdt: add PWRGD enable quirk for Qotom QCML04
    (bsc#1012628).
  - tracing/kprobe: Make trace_kprobe's module callback called
    after jump_label update (bsc#1012628).
  - stddef: make __struct_group() UAPI C++-friendly (bsc#1012628).
  - power: supply: bq24190: Fix BQ24296 Vbus regulator support
    (bsc#1012628).
  - powerpc/pseries/vas: Add close() callback in vas_vm_ops struct
    (bsc#1012628).
  - ASoC: Intel: sof_sdw: Fix DMI match for Lenovo 21Q6 and 21Q7
    (bsc#1012628).
  - ASoC: dt-bindings: realtek,rt5645: Fix CPVDD voltage comment
    (bsc#1012628).
  - ASoC: Intel: sof_sdw: Fix DMI match for Lenovo 21QA and 21QB
    (bsc#1012628).
  - ASoC: amd: ps: Fix for enabling DMIC on acp63 platform via
    _DSD entry (bsc#1012628).
  - mtd: rawnand: fix double free in atmel_pmecc_create_user()
    (bsc#1012628).
  - platform/chrome: cros_ec_lpc: fix product identity for early
    Framework Laptops (bsc#1012628).
  - ASoC: SOF: Intel: hda-dai: Do not release the link DMA on STOP
    (bsc#1012628).
  - dmaengine: at_xdmac: avoid null_prt_deref in
    at_xdmac_prep_dma_memset (bsc#1012628).
  - dmaengine: apple-admac: Avoid accessing registers in probe
    (bsc#1012628).
  - dmaengine: fsl-edma: implement the cleanup path of
    fsl_edma3_attach_pd() (bsc#1012628).
  - dmaengine: amd: qdma: Remove using the private get and set
    dma_ops APIs (bsc#1012628).
  - dmaengine: tegra: Return correct DMA status when paused
    (bsc#1012628).
  - dmaengine: dw: Select only supported masters for ACPI devices
    (bsc#1012628).
  - dmaengine: mv_xor: fix child node refcount handling in early
    exit (bsc#1012628).
  - ALSA: memalloc: prefer dma_mapping_error() over explicit
    address checking (bsc#1012628).
  - phy: rockchip: naneng-combphy: fix phy reset (bsc#1012628).
  - phy: rockchip: samsung-hdptx: Set drvdata before enabling
    runtime PM (bsc#1012628).
  - phy: usb: Toggle the PHY power during init (bsc#1012628).
  - phy: core: Fix that API devm_phy_destroy() fails to destroy
    the phy (bsc#1012628).
  - phy: core: Fix that API devm_of_phy_provider_unregister()
    fails to unregister the phy provider (bsc#1012628).
  - phy: core: Fix that API devm_phy_put() fails to release the phy
    (bsc#1012628).
  - phy: core: Fix an OF node refcount leakage in
    of_phy_provider_lookup() (bsc#1012628).
  - phy: core: Fix an OF node refcount leakage in _of_phy_get()
    (bsc#1012628).
  - phy: qcom-qmp: Fix register name in RX Lane config of SC8280XP
    (bsc#1012628).
  - mtd: rawnand: arasan: Fix missing de-registration of NAND
    (bsc#1012628).
  - mtd: rawnand: arasan: Fix double assertion of chip-select
    (bsc#1012628).
  - mtd: diskonchip: Cast an operand to prevent potential overflow
    (bsc#1012628).
  - nfsd: restore callback functionality for NFSv4.0 (bsc#1012628).
  - nfsd: Revert "nfsd: release svc_expkey/svc_export with rcu_work"
    (bsc#1012628).
  - bpf: Check negative offsets in __bpf_skb_min_len()
    (bsc#1012628).
  - tcp_bpf: Add sk_rmem_alloc related logic for tcp_bpf ingress
    redirection (bsc#1012628).
  - tcp_bpf: Charge receive socket buffer in bpf_tcp_ingress()
    (bsc#1012628).
  - smb: fix bytes written value in /proc/fs/cifs/Stats
    (bsc#1012628).
  - smb: client: Deduplicate "select NETFS_SUPPORT" in Kconfig
    (bsc#1012628).
  - selftests/bpf: Fix compilation error in get_uprobe_offset()
    (bsc#1012628).
  - mm/vmstat: fix a W=1 clang compiler warning (bsc#1012628).
  - fork: avoid inappropriate uprobe access to invalid mm
    (bsc#1012628).
  - bpf: Fix bpf_get_smp_processor_id() on !CONFIG_SMP
    (bsc#1012628).
  - arm64: dts: broadcom: Fix L2 linesize for Raspberry Pi 5
    (bsc#1012628).
  - ceph: allocate sparse_ext map only for sparse reads
    (bsc#1012628).
  - media: dvb-frontends: dib3000mb: fix uninit-value in
    dib3000_write_reg (bsc#1012628).
  - commit ab2dfe6
* Thu Jan 02 2025 jslaby@suse.cz
  - drm/amdgpu: fix backport of commit 73dae652dcac (bsc#1234782
    gfo#3853).
  - Delete patches.suse/amdgpu-fix-brightness.patch.
    Replace by a submitted patch.
  - commit 7bf843f
* Thu Jan 02 2025 jslaby@suse.cz
  - amdgpu: fix brightness (bsc#1234782 gfo#3853).
  - commit 4b6eeab
* Thu Jan 02 2025 jslaby@suse.cz
  - tracing: Have process_string() also allow arrays (git-fixes).
  - commit cbb02b1
* Wed Jan 01 2025 jslaby@suse.cz
  - Linux 6.12.7 (bsc#1012628).
  - epoll: Add synchronous wakeup support for ep_poll_callback
    (bsc#1012628).
  - mm: convert partially_mapped set/clear operations to be atomic
    (bsc#1012628).
  - mm: shmem: fix ShmemHugePages at swapout (bsc#1012628).
  - mm: use aligned address in copy_user_gigantic_page()
    (bsc#1012628).
  - mm: use aligned address in clear_gigantic_page() (bsc#1012628).
  - ceph: fix memory leak in ceph_direct_read_write() (bsc#1012628).
  - ceph: fix memory leaks in __ceph_sync_read() (bsc#1012628).
  - ceph: improve error handling and short/overflow-read logic in
    __ceph_sync_read() (bsc#1012628).
  - ceph: validate snapdirname option length when mounting
    (bsc#1012628).
  - ceph: give up on paths longer than PATH_MAX (bsc#1012628).
  - of: Fix refcount leakage for OF node returned by
    __of_get_dma_parent() (bsc#1012628).
  - of: Fix error path in of_parse_phandle_with_args_map()
    (bsc#1012628).
  - of: address: Preserve the flags portion on 1:1 dma-ranges
    mapping (bsc#1012628).
  - of: property: fw_devlink: Do not use interrupt-parent directly
    (bsc#1012628).
  - udmabuf: also check for F_SEAL_FUTURE_WRITE (bsc#1012628).
  - udmabuf: fix racy memfd sealing check (bsc#1012628).
  - nilfs2: prevent use of deleted inode (bsc#1012628).
  - nilfs2: fix buffer head leaks in calls to truncate_inode_pages()
    (bsc#1012628).
  - ocfs2: fix the space leak in LA when releasing LA (bsc#1012628).
  - of/irq: Fix using uninitialized variable @addr_len in API
    of_irq_parse_one() (bsc#1012628).
  - of/irq: Fix interrupt-map cell length check in
    of_irq_parse_imap_parent() (bsc#1012628).
  - KVM: SVM: Allow guest writes to set MSR_AMD64_DE_CFG bits
    (bsc#1012628).
  - NFS/pnfs: Fix a live lock between recalled layouts and layoutget
    (bsc#1012628).
  - io_uring: check if iowq is killed before queuing (bsc#1012628).
  - io_uring: Fix registered ring file refcount leak (bsc#1012628).
  - selftests/bpf: Use asm constraint "m" for LoongArch
    (bsc#1012628).
  - selftests/memfd: run sysctl tests when PID namespace support
    is enabled (bsc#1012628).
  - tracing: Check "%s" dereference via the field and not the
    TP_printk format (bsc#1012628).
  - tracing: Add "%s" check in test_event_printk() (bsc#1012628).
  - tracing: Add missing helper functions in event pointer
    dereference check (bsc#1012628).
  - tracing: Fix test_event_printk() to process entire print
    argument (bsc#1012628).
  - accel/ivpu: Fix WARN in ivpu_ipc_send_receive_internal()
    (bsc#1012628).
  - accel/ivpu: Fix general protection fault in ivpu_bo_list()
    (bsc#1012628).
  - smb: client: fix TCP timers deadlock after rmmod (bsc#1012628).
  - KVM: x86: Play nice with protected guests in
    complete_hypercall_exit() (bsc#1012628).
  - x86/hyperv: Fix hv tsc page based sched_clock for hibernation
    (bsc#1012628).
  - tools: hv: Fix a complier warning in the fcopy uio daemon
    (bsc#1012628).
  - Drivers: hv: util: Avoid accessing a ringbuffer not initialized
    yet (bsc#1012628).
  - fgraph: Still initialize idle shadow stacks when starting
    (bsc#1012628).
  - drm/amdgpu/mmhub4.1: fix IP version check (bsc#1012628).
  - drm/amdgpu/gfx12: fix IP version check (bsc#1012628).
  - drm/amdgpu/nbio7.0: fix IP version check (bsc#1012628).
  - s390/mm: Fix DirectMap accounting (bsc#1012628).
  - btrfs: tree-checker: reject inline extent items with 0 ref count
    (bsc#1012628).
  - btrfs: fix improper generation check in snapshot delete
    (bsc#1012628).
  - btrfs: split bios to the fs sector size boundary (bsc#1012628).
  - alloc_tag: fix set_codetag_empty() when
    !CONFIG_MEM_ALLOC_PROFILING_DEBUG (bsc#1012628).
  - ring-buffer: Fix overflow in __rb_map_vma (bsc#1012628).
  - mm/page_alloc: don't call pfn_to_page() on possibly non-existent
    PFN in split_large_buddy() (bsc#1012628).
  - vmalloc: fix accounting with i915 (bsc#1012628).
  - zram: fix uninitialized ZRAM not releasing backing device
    (bsc#1012628).
  - zram: refuse to use zero sized block device as backing device
    (bsc#1012628).
  - drm/amdgpu/smu14.0.2: fix IP version check (bsc#1012628).
  - drm/amdgpu/nbio7.7: fix IP version check (bsc#1012628).
  - drm/amdgpu/nbio7.11: fix IP version check (bsc#1012628).
  - trace/ring-buffer: Do not use TP_printk() formatting for boot
    mapped buffers (bsc#1012628).
  - block: avoid to reuse `hctx` not removed from cpuhp callback
    list (bsc#1012628).
  - hwmon: (tmp513) Fix interpretation of values of Temperature
    Result and Limit Registers (bsc#1012628).
  - hwmon: (tmp513) Fix Current Register value interpretation
    (bsc#1012628).
  - hwmon: (tmp513) Fix interpretation of values of Shunt Voltage
    and Limit Registers (bsc#1012628).
  - drm/amdgpu: don't access invalid sched (bsc#1012628).
  - i915/guc: Accumulate active runtime on gt reset (bsc#1012628).
  - i915/guc: Ensure busyness counter increases motonically
    (bsc#1012628).
  - i915/guc: Reset engine utilization buffer before registration
    (bsc#1012628).
  - drm/panel: synaptics-r63353: Fix regulator unbalance
    (bsc#1012628).
  - drm/panel: st7701: Add prepare_prev_first flag to drm_panel
    (bsc#1012628).
  - drm/panel: novatek-nt35950: fix return value check in
    nt35950_probe() (bsc#1012628).
  - drm/panel: himax-hx83102: Add a check to prevent NULL pointer
    dereference (bsc#1012628).
  - dma-buf: Fix __dma_buf_debugfs_list_del argument for
    !CONFIG_DEBUG_FS (bsc#1012628).
  - udmabuf: fix memory leak on last export_udmabuf() error path
    (bsc#1012628).
  - udmabuf: udmabuf_create pin folio codestyle cleanup
    (bsc#1012628).
  - drm/amdgpu: Handle NULL bo->tbo.resource (again) in
    amdgpu_vm_bo_update (bsc#1012628).
  - drm/amdgpu: fix amdgpu_coredump (bsc#1012628).
  - drm/modes: Avoid divide by zero harder in drm_mode_vrefresh()
    (bsc#1012628).
  - drm/amd: Update strapping for NBIO 2.5.0 (bsc#1012628).
  - drm/display: use ERR_PTR on DP tunnel manager creation fail
    (bsc#1012628).
  - thunderbolt: Don't display nvm_version unless upgrade supported
    (bsc#1012628).
  - thunderbolt: Improve redrive mode handling (bsc#1012628).
  - thunderbolt: Add support for Intel Panther Lake-M/P
    (bsc#1012628).
  - xhci: Turn NEC specific quirk for handling Stop Endpoint errors
    generic (bsc#1012628).
  - USB: serial: option: add Telit FE910C04 rmnet compositions
    (bsc#1012628).
  - USB: serial: option: add MediaTek T7XX compositions
    (bsc#1012628).
  - USB: serial: option: add Netprisma LCUK54 modules for WWAN Ready
    (bsc#1012628).
  - USB: serial: option: add MeiG Smart SLM770A (bsc#1012628).
  - USB: serial: option: add TCL IK512 MBIM & ECM (bsc#1012628).
  - hexagon: Disable constant extender optimization for LLVM prior
    to 19.1.0 (bsc#1012628).
  - efivarfs: Fix error on non-existent file (bsc#1012628).
  - i2c: riic: Always round-up when calculating bus period
    (bsc#1012628).
  - block: Revert "block: Fix potential deadlock while freezing
    queue and acquiring sysfs_lock" (bsc#1012628).
  - net: mctp: handle skb cleanup on sock_queue failures
    (bsc#1012628).
  - chelsio/chtls: prevent potential integer overflow on 32bit
    (bsc#1012628).
  - net: tun: fix tun_napi_alloc_frags() (bsc#1012628).
  - KVM: x86: Cache CPUID.0xD XSTATE offsets+sizes during module
    init (bsc#1012628).
  - KVM: arm64: Do not allow ID_AA64MMFR0_EL1.ASIDbits to be
    overridden (bsc#1012628).
  - EDAC/amd64: Simplify ECC check on unified memory controllers
    (bsc#1012628).
  - irqchip/gic-v3: Work around insecure GIC integrations
    (bsc#1012628).
  - mmc: mtk-sd: disable wakeup in .remove() and in the error path
    of .probe() (bsc#1012628).
  - mmc: sdhci-tegra: Remove SDHCI_QUIRK_BROKEN_ADMA_ZEROLEN_DESC
    quirk (bsc#1012628).
  - net: mdiobus: fix an OF node reference leak (bsc#1012628).
  - psample: adjust size if rate_as_probability is set
    (bsc#1012628).
  - netdev-genl: avoid empty messages in queue dump (bsc#1012628).
  - net: dsa: restore dsa_software_vlan_untag() ability to operate
    on VLAN-untagged traffic (bsc#1012628).
  - selftests: openvswitch: fix tcpdump execution (bsc#1012628).
  - netfilter: ipset: Fix for recursive locking warning
    (bsc#1012628).
  - ipvs: Fix clamp() of ip_vs_conn_tab on small memory systems
    (bsc#1012628).
  - can: m_can: fix missed interrupts with m_can_pci (bsc#1012628).
  - can: m_can: set init flag earlier in probe (bsc#1012628).
  - net: netdevsim: fix nsim_pp_hold_write() (bsc#1012628).
  - net: ethernet: bgmac-platform: fix an OF node reference leak
    (bsc#1012628).
  - net: ethernet: oa_tc6: fix tx skb race condition between
    reference pointers (bsc#1012628).
  - net: ethernet: oa_tc6: fix infinite loop error when tx credits
    becomes 0 (bsc#1012628).
  - net: hinic: Fix cleanup in create_rxqs/txqs() (bsc#1012628).
  - team: Fix feature exposure when no ports are present
    (bsc#1012628).
  - netdev: fix repeated netlink messages in queue stats
    (bsc#1012628).
  - netdev: fix repeated netlink messages in queue dump
    (bsc#1012628).
  - ksmbd: fix broken transfers when exceeding max simultaneous
    operations (bsc#1012628).
  - ksmbd: count all requests in req_running counter (bsc#1012628).
  - net: renesas: rswitch: rework ts tags management (bsc#1012628).
  - ionic: use ee->offset when returning sprom data (bsc#1012628).
  - ionic: no double destroy workqueue (bsc#1012628).
  - ionic: Fix netdev notifier unregister on failure (bsc#1012628).
  - tools/net/ynl: fix sub-message key lookup for nested attributes
    (bsc#1012628).
  - netdevsim: prevent bad user input in
    nsim_dev_health_break_write() (bsc#1012628).
  - net: mscc: ocelot: fix incorrect IFH SRC_PORT field in
    ocelot_ifh_set_basic() (bsc#1012628).
  - net/smc: check return value of sock_recvmsg when draining clc
    data (bsc#1012628).
  - net/smc: check smcd_v2_ext_offset when receiving proposal msg
    (bsc#1012628).
  - net/smc: check v2_ext_offset/eid_cnt/ism_gid_cnt when receiving
    proposal msg (bsc#1012628).
  - net/smc: check iparea_offset and ipv6_prefixes_cnt when
    receiving proposal msg (bsc#1012628).
  - net/smc: check sndbuf_space again after NOSPACE flag is set
    in smc_poll (bsc#1012628).
  - net/smc: protect link down work from execute after lgr freed
    (bsc#1012628).
  - cxl/region: Fix region creation for greater than x2 switches
    (bsc#1012628).
  - cxl/pci: Fix potential bogus return value upon successful
    probing (bsc#1012628).
  - tools: hv: change permissions of NetworkManager configuration
    file (bsc#1012628).
  - xfs: fix zero byte checking in the superblock scrubber
    (bsc#1012628).
  - xfs: fix sb_spino_align checks for large fsblock sizes
    (bsc#1012628).
  - xfs: fix off-by-one error in fsmap's end_daddr usage
    (bsc#1012628).
  - xfs: fix sparse inode limits on runt AG (bsc#1012628).
  - xfs: sb_spino_align is not verified (bsc#1012628).
  - erofs: use buffered I/O for file-backed mounts by default
    (bsc#1012628).
  - erofs: reference `struct erofs_device_info` for erofs_map_dev
    (bsc#1012628).
  - erofs: use `struct erofs_device_info` for the primary device
    (bsc#1012628).
  - erofs: add erofs_sb_free() helper (bsc#1012628).
  - s390/mm: Consider KMSAN modules metadata for paging levels
    (bsc#1012628).
  - sched/dlserver: Fix dlserver time accounting (bsc#1012628).
  - sched/dlserver: Fix dlserver double enqueue (bsc#1012628).
  - erofs: fix PSI memstall accounting (bsc#1012628).
  - s390/ipl: Fix never less than zero warning (bsc#1012628).
  - i2c: pnx: Fix timeout in wait functions (bsc#1012628).
  - p2sb: Do not scan and remove the P2SB device when it is unhidden
    (bsc#1012628).
  - p2sb: Move P2SB hide and unhide code to p2sb_scan_and_cache()
    (bsc#1012628).
  - p2sb: Introduce the global flag p2sb_hidden_by_bios
    (bsc#1012628).
  - p2sb: Factor out p2sb_read_from_cache() (bsc#1012628).
  - sched/eevdf: More PELT vs DELAYED_DEQUEUE (bsc#1012628).
  - sched/fair: Fix sched_can_stop_tick() for fair tasks
    (bsc#1012628).
  - sched/fair: Fix NEXT_BUDDY (bsc#1012628).
  - RISC-V: KVM: Fix csr_write -> csr_set for HVIEN PMU overflow
    bit (bsc#1012628).
  - firmware: arm_ffa: Fix the race around setting
    ffa_dev->properties (bsc#1012628).
  - firmware: arm_scmi: Fix i.MX build dependency (bsc#1012628).
  - Update config files.
  - net: stmmac: fix TSO DMA API usage causing oops (bsc#1012628).
  - net: sched: fix ordering of qlen adjustment (bsc#1012628).
  - commit 9de0e01
* Sun Dec 29 2024 mkubecek@suse.cz
  - update to 6.13-rc5
  - commit 4603caf
* Mon Dec 23 2024 mkubecek@suse.cz
  - update to 6.13-rc4
  - update configs
    - IMX_SCMI_MISC_DRV=n (except arm, was already =m there)
  - commit 4a5c6a6
* Mon Dec 23 2024 mkubecek@suse.cz
  - series.conf: cleanup
    Remove bug annotation comments, we have patch tags for that.
  - commit f9e6adc
* Thu Dec 19 2024 jslaby@suse.cz
  - Linux 6.12.6 (bsc#1012628).
  - usb: misc: onboard_usb_dev: skip suspend/resume sequence for
    USB5744 SMBus support (bsc#1012628).
  - serial: sh-sci: Check if TX data was written to device in
    .tx_empty() (bsc#1012628).
  - bpf: Fix UAF via mismatching bpf_prog/attachment RCU flavors
    (bsc#1012628).
  - sched/deadline: Fix replenish_dl_new_period dl_server condition
    (bsc#1012628).
  - perf/x86/intel/ds: Unconditionally drain PEBS DS when changing
    PEBS_DATA_CFG (bsc#1012628).
  - clk: en7523: Fix wrong BUS clock for EN7581 (bsc#1012628).
  - ksmbd: fix racy issue from session lookup and expire
    (bsc#1012628).
  - splice: do not checksum AF_UNIX sockets (bsc#1012628).
  - tcp: check space before adding MPTCP SYN options (bsc#1012628).
  - perf ftrace: Fix undefined behavior in cmp_profile_data()
    (bsc#1012628).
  - virtio_net: correct netdev_tx_reset_queue() invocation point
    (bsc#1012628).
  - virtio_ring: add a func argument 'recycle_done' to
    virtqueue_resize() (bsc#1012628).
  - virtio_net: ensure netdev_tx_reset_queue is called on tx ring
    resize (bsc#1012628).
  - riscv: mm: Do not call pmd dtor on vmemmap page table teardown
    (bsc#1012628).
  - riscv: Fix wrong usage of __pa() on a fixmap address
    (bsc#1012628).
  - blk-cgroup: Fix UAF in blkcg_unpin_online() (bsc#1012628).
  - block: Switch to using refcount_t for zone write plugs
    (bsc#1012628).
  - block: Use a zone write plug BIO work for REQ_NOWAIT BIOs
    (bsc#1012628).
  - dm: Fix dm-zoned-reclaim zone write pointer alignment
    (bsc#1012628).
  - block: Prevent potential deadlocks in zone write plug error
    recovery (bsc#1012628).
  - gpio: graniterapids: Fix GPIO Ack functionality (bsc#1012628).
  - memcg: slub: fix SUnreclaim for post charged objects
    (bsc#1012628).
  - spi: rockchip: Fix PM runtime count on no-op cs (bsc#1012628).
  - gpio: ljca: Initialize num before accessing item in
    ljca_gpio_config (bsc#1012628).
  - ALSA: usb-audio: Add implicit feedback quirk for Yamaha THR5
    (bsc#1012628).
  - ALSA: hda/realtek: Fix headset mic on Acer Nitro 5
    (bsc#1012628).
  - riscv: Fix IPIs usage in kfence_protect_page() (bsc#1012628).
  - crypto: hisilicon/debugfs - fix the struct pointer incorrectly
    offset problem (bsc#1012628).
  - drm/panic: remove spurious empty line to clean warning
    (bsc#1012628).
  - usb: host: max3421-hcd: Correctly abort a USB request
    (bsc#1012628).
  - block: Ignore REQ_NOWAIT for zone reset and zone finish
    operations (bsc#1012628).
  - gpio: graniterapids: Fix vGPIO driver crash (bsc#1012628).
  - gpio: graniterapids: Fix incorrect BAR assignment (bsc#1012628).
  - gpio: graniterapids: Fix invalid GPI_IS register offset
    (bsc#1012628).
  - gpio: graniterapids: Fix invalid RXEVCFG register bitmask
    (bsc#1012628).
  - gpio: graniterapids: Determine if GPIO pad can be used by driver
    (bsc#1012628).
  - gpio: graniterapids: Check if GPIO line can be used for IRQs
    (bsc#1012628).
  - usb: core: hcd: only check primary hcd skip_phy_initialization
    (bsc#1012628).
  - bpf: Revert "bpf: Mark raw_tp arguments with PTR_MAYBE_NULL"
    (bsc#1012628).
  - ata: sata_highbank: fix OF node reference leak in
    highbank_initialize_phys() (bsc#1012628).
  - usb: dwc2: Fix HCD resume (bsc#1012628).
  - usb: dwc2: hcd: Fix GetPortStatus & SetPortFeature
    (bsc#1012628).
  - usb: dwc2: Fix HCD port connection race (bsc#1012628).
  - scsi: ufs: core: Update compl_time_stamp_local_clock after
    completing a cqe (bsc#1012628).
  - usb: gadget: midi2: Fix interpretation of is_midi1 bits
    (bsc#1012628).
  - usb: ehci-hcd: fix call balance of clocks handling routines
    (bsc#1012628).
  - usb: typec: anx7411: fix fwnode_handle reference leak
    (bsc#1012628).
  - usb: dwc3: imx8mp: fix software node kernel dump (bsc#1012628).
  - usb: typec: anx7411: fix OF node reference leaks in
    anx7411_typec_switch_probe() (bsc#1012628).
  - usb: gadget: u_serial: Fix the issue that gs_start_io crashed
    due to accessing null pointer (bsc#1012628).
  - usb: typec: ucsi: Fix completion notifications (bsc#1012628).
  - usb: dwc3: xilinx: make sure pipe clock is deselected in usb2
    only mode (bsc#1012628).
  - iommu/tegra241-cmdqv: do not use smp_processor_id in preemptible
    context (bsc#1012628).
  - iommu/vt-d: Remove cache tags before disabling ATS
    (bsc#1012628).
  - iommu/vt-d: Fix qi_batch NULL pointer with nested parent domain
    (bsc#1012628).
  - drm/xe: Call invalidation_fence_fini for PT inval fences in
    error state (bsc#1012628).
  - drm/amdkfd: pause autosuspend when creating pdd (bsc#1012628).
  - drm/i915: Fix memory leak by correcting cache object name in
    error handler (bsc#1012628).
  - drm/i915/color: Stop using non-posted DSB writes for legacy LUT
    (bsc#1012628).
  - drm/i915: Fix NULL pointer dereference in capture_engine
    (bsc#1012628).
  - drm/amdgpu: fix UVD contiguous CS mapping problem (bsc#1012628).
  - drm/amd/pm: Set SMU v13.0.7 default workload type (bsc#1012628).
  - drm/amdgpu: fix when the cleaner shader is emitted
    (bsc#1012628).
  - drm/amdkfd: Dereference null return value (bsc#1012628).
  - drm/amdkfd: hard-code cacheline size for gfx11 (bsc#1012628).
  - drm/amdkfd: hard-code MALL cacheline size for gfx11, gfx12
    (bsc#1012628).
  - xfs: set XFS_SICK_INO_SYMLINK_ZAPPED explicitly when zapping
    a symlink (bsc#1012628).
  - xfs: update btree keys correctly when _insrec splits an inode
    root block (bsc#1012628).
  - xfs: don't drop errno values when we fail to ficlone the entire
    range (bsc#1012628).
  - xfs: return a 64-bit block count from xfs_btree_count_blocks
    (bsc#1012628).
  - xfs: fix null bno_hint handling in xfs_rtallocate_rtg
    (bsc#1012628).
  - xfs: return from xfs_symlink_verify early on V4 filesystems
    (bsc#1012628).
  - xfs: fix scrub tracepoints when inode-rooted btrees are involved
    (bsc#1012628).
  - xfs: only run precommits once per transaction object
    (bsc#1012628).
  - xfs: unlock inodes when erroring out of xfs_trans_alloc_dir
    (bsc#1012628).
  - bpf: Check size for BTF-based ctx access of pointer members
    (bsc#1012628).
  - bpf: Fix theoretical prog_array UAF in __uprobe_perf_func()
    (bsc#1012628).
  - bpf,perf: Fix invalid prog_array access in
    perf_event_detach_bpf_prog (bsc#1012628).
  - bpf, sockmap: Fix race between element replace and close()
    (bsc#1012628).
  - bpf, sockmap: Fix update element with same (bsc#1012628).
  - bpf: Augment raw_tp arguments with PTR_MAYBE_NULL (bsc#1012628).
  - perf tools: Fix build-id event recording (bsc#1012628).
  - wifi: nl80211: fix NL80211_ATTR_MLO_LINK_ID off-by-one
    (bsc#1012628).
  - wifi: mac80211: init cnt before accessing elem in
    ieee80211_copy_mbssid_beacon (bsc#1012628).
  - wifi: mac80211: fix a queue stall in certain cases of CSA
    (bsc#1012628).
  - wifi: mac80211: fix station NSS capability initialization order
    (bsc#1012628).
  - perf machine: Initialize machine->env to address a segfault
    (bsc#1012628).
  - acpi: nfit: vmalloc-out-of-bounds Read in acpi_nfit_ctl
    (bsc#1012628).
  - batman-adv: Do not send uninitialized TT changes (bsc#1012628).
  - batman-adv: Remove uninitialized data in full table TT response
    (bsc#1012628).
  - batman-adv: Do not let TT changes list grows indefinitely
    (bsc#1012628).
  - net/mlx5: DR, prevent potential error pointer dereference
    (bsc#1012628).
  - wifi: cfg80211: sme: init n_channels before channels[] access
    (bsc#1012628).
  - selftests: mlxsw: sharedbuffer: Remove h1 ingress test case
    (bsc#1012628).
  - selftests: mlxsw: sharedbuffer: Remove duplicate test cases
    (bsc#1012628).
  - selftests: mlxsw: sharedbuffer: Ensure no extra packets are
    counted (bsc#1012628).
  - ptp: kvm: x86: Return EOPNOTSUPP instead of ENODEV from
    kvm_arch_ptp_init() (bsc#1012628).
  - bnxt_en: Fix GSO type for HW GRO packets on 5750X chips
    (bsc#1012628).
  - net: lapb: increase LAPB_HEADER_LEN (bsc#1012628).
  - net: defer final 'struct net' free in netns dismantle
    (bsc#1012628).
  - net: mscc: ocelot: fix memory leak on
    ocelot_port_add_txtstamp_skb() (bsc#1012628).
  - net: mscc: ocelot: improve handling of TX timestamp for unknown
    skb (bsc#1012628).
  - net: mscc: ocelot: ocelot->ts_id_lock and
    ocelot_port->tx_skbs.lock are IRQ-safe (bsc#1012628).
  - net: mscc: ocelot: be resilient to loss of PTP packets during
    transmission (bsc#1012628).
  - net: mscc: ocelot: perform error cleanup in ocelot_hwstamp_set()
    (bsc#1012628).
  - regulator: axp20x: AXP717: set ramp_delay (bsc#1012628).
  - spi: aspeed: Fix an error handling path in
    aspeed_spi_[read|write]_user() (bsc#1012628).
  - net: sparx5: fix FDMA performance issue (bsc#1012628).
  - net: sparx5: fix the maximum frame length register
    (bsc#1012628).
  - ACPI: resource: Fix memory resource type union access
    (bsc#1012628).
  - cxgb4: use port number to set mac addr (bsc#1012628).
  - qca_spi: Fix clock speed for multiple QCA7000 (bsc#1012628).
  - qca_spi: Make driver probing reliable (bsc#1012628).
  - ALSA: control: Avoid WARN() for symlink errors (bsc#1012628).
  - ASoC: amd: yc: Fix the wrong return value (bsc#1012628).
  - Documentation: PM: Clarify pm_runtime_resume_and_get() return
    value (bsc#1012628).
  - block: get wp_offset by bdev_offset_from_zone_start
    (bsc#1012628).
  - bnxt_en: Fix aggregation ID mask to prevent oops on 5760X chips
    (bsc#1012628).
  - Documentation: networking: Add a caveat to nexthop_compat_mode
    sysctl (bsc#1012628).
  - cifs: Fix rmdir failure due to ongoing I/O on deleted file
    (bsc#1012628).
  - net: renesas: rswitch: fix possible early skb release
    (bsc#1012628).
  - net: renesas: rswitch: fix race window between tx start and
    complete (bsc#1012628).
  - net: renesas: rswitch: fix leaked pointer on error path
    (bsc#1012628).
  - net: renesas: rswitch: avoid use-after-put for a device tree
    node (bsc#1012628).
  - net: renesas: rswitch: handle stop vs interrupt race
    (bsc#1012628).
  - ASoC: tas2781: Fix calibration issue in stress test
    (bsc#1012628).
  - Bluetooth: Improve setsockopt() handling of malformed user input
    (bsc#1012628).
  - libperf: evlist: Fix --cpu argument on hybrid platform
    (bsc#1012628).
  - ASoC: fsl_xcvr: change IFACE_PCM to IFACE_MIXER (bsc#1012628).
  - ASoC: fsl_spdif: change IFACE_PCM to IFACE_MIXER (bsc#1012628).
  - selftests: netfilter: Stabilize rpath.sh (bsc#1012628).
  - netfilter: IDLETIMER: Fix for possible ABBA deadlock
    (bsc#1012628).
  - netfilter: nf_tables: do not defer rule destruction via call_rcu
    (bsc#1012628).
  - net: mana: Fix memory leak in mana_gd_setup_irqs (bsc#1012628).
  - net: mana: Fix irq_contexts memory leak in mana_gd_setup_irqs
    (bsc#1012628).
  - net: dsa: felix: fix stuck CPU-injected packets with short
    taprio windows (bsc#1012628).
  - net/sched: netem: account for backlog updates from child qdisc
    (bsc#1012628).
  - net, team, bonding: Add netdev_base_features helper
    (bsc#1012628).
  - bonding: Fix initial {vlan,mpls}_feature set in
    bond_compute_features (bsc#1012628).
  - bonding: Fix feature propagation of NETIF_F_GSO_ENCAP_ALL
    (bsc#1012628).
  - team: Fix initial vlan_feature set in __team_compute_features
    (bsc#1012628).
  - team: Fix feature propagation of NETIF_F_GSO_ENCAP_ALL
    (bsc#1012628).
  - ASoC: Intel: sof_sdw: Add space for a terminator into DAIs array
    (bsc#1012628).
  - ACPICA: events/evxfregn: don't release the ContextMutex that
    was never acquired (bsc#1012628).
  - Bluetooth: hci_event: Fix using rcu_read_(un)lock while
    iterating (bsc#1012628).
  - Bluetooth: iso: Always release hdev at the end of iso_listen_bis
    (bsc#1012628).
  - Bluetooth: iso: Fix recursive locking warning (bsc#1012628).
  - Bluetooth: SCO: Add support for 16 bits transparent voice
    setting (bsc#1012628).
  - Bluetooth: iso: Fix circular lock in iso_listen_bis
    (bsc#1012628).
  - Bluetooth: iso: Fix circular lock in iso_conn_big_sync
    (bsc#1012628).
  - Bluetooth: btmtk: avoid UAF in btmtk_process_coredump
    (bsc#1012628).
  - net: renesas: rswitch: fix initial MPIC register setting
    (bsc#1012628).
  - net: dsa: microchip: KSZ9896 register regmap alignment to 32
    bit boundaries (bsc#1012628).
  - net: dsa: tag_ocelot_8021q: fix broken reception (bsc#1012628).
  - drm/xe: fix the ERR_PTR() returned on failure to allocate tiny
    pt (bsc#1012628).
  - drm/xe/reg_sr: Remove register pool (bsc#1012628).
  - blk-iocost: Avoid using clamp() on inuse in
    __propagate_weights() (bsc#1012628).
  - kselftest/arm64: abi: fix SVCR detection (bsc#1012628).
  - blk-mq: move cpuhp callback registering out of q->sysfs_lock
    (bsc#1012628).
  - block: Fix potential deadlock while freezing queue and acquiring
    sysfs_lock (bsc#1012628).
  - rust: kbuild: set `bindgen`'s Rust target version (bsc#1012628).
  - KVM: arm64: Disable MPAM visibility by default and ignore VMM
    writes (bsc#1012628).
  - xen/netfront: fix crash when removing device (bsc#1012628).
  - x86: make get_cpu_vendor() accessible from Xen code
    (bsc#1012628).
  - objtool/x86: allow syscall instruction (bsc#1012628).
  - x86/static-call: provide a way to do very early static-call
    updates (bsc#1012628).
  - x86/xen: don't do PV iret hypercall through hypercall page
    (bsc#1012628).
  - x86/xen: add central hypercall functions (bsc#1012628).
  - x86/xen: use new hypercall functions instead of hypercall page
    (bsc#1012628).
  - x86/xen: remove hypercall page (bsc#1012628).
  - x86/static-call: fix 32-bit build (bsc#1012628).
  - Rename to
    patches.kernel.org/6.12.6-089-amdgpu-uvd-get-ring-reference-from-rq-schedule.patch.
  - Rename to
    patches.kernel.org/6.12.6-093-tipc-fix-NULL-deref-in-cleanup_bearer.patch.
  - commit fb072de
* Thu Dec 19 2024 jslaby@suse.cz
  - Refresh
    patches.suse/0002-security-Add-a-kernel-lockdown-flag-for-early-boot-s.patch.
    Fixups.
  - commit 7185e51
* Thu Dec 19 2024 jlee@suse.com
  - kgdb: Check early kernel lockdown flag before using kgdb
    (bsc#1234646).
  - commit 98c4172
* Thu Dec 19 2024 jlee@suse.com
  - ACPI: Check early kernel lockdown flag before overlaying tables
    (bsc#1234646).
  - commit 49cacc5
* Thu Dec 19 2024 jlee@suse.com
  - efi: Set early kernel lock down flag if booted in secure boot
    mode (bsc#1234646).
  - commit 7346449
* Thu Dec 19 2024 jlee@suse.com
  - security: Add a kernel lockdown flag for early boot stage
    (bsc#1234646).
    Update config files.
    Add CONFIG_LOCK_DOWN_KERNEL_EARLY=y to x86_64/default and arm64/default.
  - commit 4851578
* Thu Dec 19 2024 jlee@suse.com
  - Lock down x86_64 kernel in secure boot mode in subsys_initcall
    stage (bsc#1234646).
  - commit a3ecd31
* Mon Dec 16 2024 jslaby@suse.cz
  - amdgpu/uvd: get ring reference from rq scheduler (git-fixes).
  - tipc: fix NULL deref in cleanup_bearer() (git-fixes).
  - commit dfae15e
* Mon Dec 16 2024 jslaby@suse.cz
  - Linux 6.12.5 (bsc#1012628).
  - iTCO_wdt: mask NMI_NOW bit for update_no_reboot_bit() call
    (bsc#1012628).
  - watchdog: xilinx_wwdt: Calculate max_hw_heartbeat_ms using
    clock frequency (bsc#1012628).
  - watchdog: apple: Actually flush writes after requesting watchdog
    restart (bsc#1012628).
  - watchdog: mediatek: Make sure system reset gets asserted in
    mtk_wdt_restart() (bsc#1012628).
  - can: gs_usb: add usb endpoint address detection at driver
    probe step (bsc#1012628).
  - can: c_can: c_can_handle_bus_err(): update statistics if skb
    allocation fails (bsc#1012628).
  - can: sun4i_can: sun4i_can_err(): call can_change_state()
    even if cf is NULL (bsc#1012628).
  - can: hi311x: hi3110_can_ist(): fix potential use-after-free
    (bsc#1012628).
  - can: m_can: m_can_handle_lec_err(): fix {rx,tx}_errors
    statistics (bsc#1012628).
  - can: ifi_canfd: ifi_canfd_handle_lec_err(): fix {rx,tx}_errors
    statistics (bsc#1012628).
  - can: hi311x: hi3110_can_ist(): fix {rx,tx}_errors statistics
    (bsc#1012628).
  - can: sja1000: sja1000_err(): fix {rx,tx}_errors statistics
    (bsc#1012628).
  - can: sun4i_can: sun4i_can_err(): fix {rx,tx}_errors statistics
    (bsc#1012628).
  - can: ems_usb: ems_usb_rx_err(): fix {rx,tx}_errors statistics
    (bsc#1012628).
  - can: f81604: f81604_handle_can_bus_errors(): fix {rx,tx}_errors
    statistics (bsc#1012628).
  - ipvs: fix UB due to uninitialized stack access in
    ip_vs_protocol_init() (bsc#1012628).
  - netfilter: x_tables: fix LED ID check in led_tg_check()
    (bsc#1012628).
  - netfilter: nft_socket: remove WARN_ON_ONCE on maximum cgroup
    level (bsc#1012628).
  - selftests: hid: fix typo and exit code (bsc#1012628).
  - net: enetc: Do not configure preemptible TCs if SIs do not
    support (bsc#1012628).
  - ptp: Add error handling for adjfine callback in
    ptp_clock_adjtime (bsc#1012628).
  - net/sched: tbf: correct backlog statistic for GSO packets
    (bsc#1012628).
  - net: hsr: avoid potential out-of-bound access in
    fill_frame_info() (bsc#1012628).
  - bnxt_en: ethtool: Supply ntuple rss context action
    (bsc#1012628).
  - net: Fix icmp host relookup triggering ip_rt_bug (bsc#1012628).
  - ipv6: avoid possible NULL deref in modify_prefix_route()
    (bsc#1012628).
  - can: j1939: j1939_session_new(): fix skb reference counting
    (bsc#1012628).
  - platform/x86: asus-wmi: Ignore return value when writing
    thermal policy (bsc#1012628).
  - net: phy: microchip: Reset LAN88xx PHY to ensure clean link
    state on LAN7800/7850 (bsc#1012628).
  - net/ipv6: release expired exception dst cached in socket
    (bsc#1012628).
  - dccp: Fix memory leak in dccp_feat_change_recv (bsc#1012628).
  - tipc: Fix use-after-free of kernel socket in cleanup_bearer()
    (bsc#1012628).
  - net/smc: initialize close_work early to avoid warning
    (bsc#1012628).
  - net/smc: fix LGR and link use-after-free issue (bsc#1012628).
  - net/qed: allow old cards not supporting "num_images" to work
    (bsc#1012628).
  - net: hsr: must allocate more bytes for RedBox support
    (bsc#1012628).
  - ice: fix PHY Clock Recovery availability check (bsc#1012628).
  - ice: fix PHY timestamp extraction for ETH56G (bsc#1012628).
  - ice: Fix VLAN pruning in switchdev mode (bsc#1012628).
  - idpf: set completion tag for "empty" bufs associated with a
    packet (bsc#1012628).
  - ixgbevf: stop attempting IPSEC offload on Mailbox API 1.5
    (bsc#1012628).
  - ixgbe: downgrade logging of unsupported VF API version to debug
    (bsc#1012628).
  - ixgbe: Correct BASE-BX10 compliance code (bsc#1012628).
  - igb: Fix potential invalid memory access in igb_init_module()
    (bsc#1012628).
  - netfilter: nft_inner: incorrect percpu area handling under
    softirq (bsc#1012628).
  - Revert "udp: avoid calling sock_def_readable() if possible"
    (bsc#1012628).
  - net: sched: fix erspan_opt settings in cls_flower (bsc#1012628).
  - netfilter: ipset: Hold module reference while requesting a
    module (bsc#1012628).
  - netfilter: nft_set_hash: skip duplicated elements pending gc
    run (bsc#1012628).
  - ethtool: Fix wrong mod state in case of verbose and no_mask
    bitset (bsc#1012628).
  - mlxsw: spectrum_acl_flex_keys: Constify struct
    mlxsw_afk_element_inst (bsc#1012628).
  - mlxsw: spectrum_acl_flex_keys: Use correct key block on
    Spectrum-4 (bsc#1012628).
  - geneve: do not assume mac header is set in geneve_xmit_skb()
    (bsc#1012628).
  - net/mlx5: HWS: Fix memory leak in mlx5hws_definer_calc_layout
    (bsc#1012628).
  - net/mlx5: HWS: Properly set bwc queue locks lock classes
    (bsc#1012628).
  - net/mlx5e: SD, Use correct mdev to build channel param
    (bsc#1012628).
  - net/mlx5e: Remove workaround to avoid syndrome for internal port
    (bsc#1012628).
  - vsock/test: fix failures due to wrong SO_RCVLOWAT parameter
    (bsc#1012628).
  - vsock/test: fix parameter types in SO_VM_SOCKETS_* calls
    (bsc#1012628).
  - net: avoid potential UAF in default_operstate() (bsc#1012628).
  - gpio: grgpio: use a helper variable to store the address of
    ofdev->dev (bsc#1012628).
  - gpio: grgpio: Add NULL check in grgpio_probe (bsc#1012628).
  - mmc: mtk-sd: use devm_mmc_alloc_host (bsc#1012628).
  - mmc: mtk-sd: Fix error handle of probe function (bsc#1012628).
  - mmc: mtk-sd: fix devm_clk_get_optional usage (bsc#1012628).
  - mmc: mtk-sd: Fix MMC_CAP2_CRYPTO flag setting (bsc#1012628).
  - mmc: sd: SDUC Support Recognition (bsc#1012628).
  - mmc: core: Adjust ACMD22 to SDUC (bsc#1012628).
  - mmc: core: Use GFP_NOIO in ACMD22 (bsc#1012628).
  - zram: do not mark idle slots that cannot be idle (bsc#1012628).
  - zram: clear IDLE flag in mark_idle() (bsc#1012628).
  - ntp: Remove invalid cast in time offset math (bsc#1012628).
  - f2fs: clean up w/ F2FS_{BLK_TO_BYTES,BTYES_TO_BLK}
    (bsc#1012628).
  - f2fs: fix to adjust appropriate length for fiemap (bsc#1012628).
  - f2fs: fix to requery extent which cross boundary of inquiry
    (bsc#1012628).
  - i3c: master: Replace hard code 2 with macro
    I3C_ADDR_SLOT_STATUS_BITS (bsc#1012628).
  - i3c: master: Extend address status bit to 4 and add
    I3C_ADDR_SLOT_EXT_DESIRED (bsc#1012628).
  - i3c: master: Fix dynamic address leak when 'assigned-address'
    is present (bsc#1012628).
  - drm/amd/display: calculate final viewport before TAP
    optimization (bsc#1012628).
  - drm/amd/display: Ignore scalar validation failure if pipe is
    phantom (bsc#1012628).
  - scsi: ufs: core: Always initialize the UIC done completion
    (bsc#1012628).
  - scsi: ufs: core: Add ufshcd_send_bsg_uic_cmd() for UFS BSG
    (bsc#1012628).
  - bpf, vsock: Fix poll() missing a queue (bsc#1012628).
  - bpf, vsock: Invoke proto::close on close() (bsc#1012628).
  - xsk: always clear DMA mapping information when unmapping the
    pool (bsc#1012628).
  - bpftool: fix potential NULL pointer dereferencing in prog_dump()
    (bsc#1012628).
  - drm/sti: Add __iomem for mixer_dbg_mxn's parameter
    (bsc#1012628).
  - tcp_bpf: Fix the sk_mem_uncharge logic in tcp_bpf_sendmsg
    (bsc#1012628).
  - ALSA: seq: ump: Fix seq port updates per FB info notify
    (bsc#1012628).
  - ALSA: usb-audio: Notify xrun for low-latency mode (bsc#1012628).
  - tools: Override makefile ARCH variable if defined, but empty
    (bsc#1012628).
  - spi: mpc52xx: Add cancel_work_sync before module remove
    (bsc#1012628).
  - ASoC: SOF: ipc3-topology: Convert the topology pin index to
    ALH dai index (bsc#1012628).
  - ASoC: SOF: ipc3-topology: fix resource leaks in
    sof_ipc3_widget_setup_comp_dai() (bsc#1012628).
  - pmdomain: core: Add missing put_device() (bsc#1012628).
  - pmdomain: core: Fix error path in pm_genpd_init() when ida
    alloc fails (bsc#1012628).
  - nvme: don't apply NVME_QUIRK_DEALLOCATE_ZEROES when DSM is
    not supported (bsc#1012628).
  - x86/pkeys: Change caller of update_pkru_in_sigframe()
    (bsc#1012628).
  - x86/pkeys: Ensure updated PKRU value is XRSTOR'd (bsc#1012628).
  - bpf: Ensure reg is PTR_TO_STACK in process_iter_arg
    (bsc#1012628).
  - irqchip/stm32mp-exti: CONFIG_STM32MP_EXTI should not default
    to y when compile-testing (bsc#1012628).
  - drivers/virt: pkvm: Don't fail ioremap() call if MMIO_GUARD
    fails (bsc#1012628).
  - bpf: Don't mark STACK_INVALID as STACK_MISC in
    mark_stack_slot_misc (bsc#1012628).
  - bpf: Fix narrow scalar spill onto 64-bit spilled scalar slots
    (bsc#1012628).
  - nvme-fabrics: handle zero MAXCMD without closing the connection
    (bsc#1012628).
  - nvme-tcp: fix the memleak while create new ctrl failed
    (bsc#1012628).
  - nvme-rdma: unquiesce admin_q before destroy it (bsc#1012628).
  - scsi: sg: Fix slab-use-after-free read in sg_release()
    (bsc#1012628).
  - scsi: scsi_debug: Fix hrtimer support for ndelay (bsc#1012628).
  - ASoC: mediatek: mt8188-mt6359: Remove hardcoded dmic codec
    (bsc#1012628).
  - drm/v3d: Enable Performance Counters before clearing them
    (bsc#1012628).
  - ocfs2: free inode when ocfs2_get_init_inode() fails
    (bsc#1012628).
  - scatterlist: fix incorrect func name in kernel-doc
    (bsc#1012628).
  - iio: magnetometer: yas530: use signed integer type for clamp
    limits (bsc#1012628).
  - smb: client: fix potential race in cifs_put_tcon()
    (bsc#1012628).
  - bpf: Handle BPF_EXIST and BPF_NOEXIST for LPM trie
    (bsc#1012628).
  - bpf: Remove unnecessary kfree(im_node) in lpm_trie_update_elem
    (bsc#1012628).
  - bpf: Handle in-place update for full LPM trie correctly
    (bsc#1012628).
  - bpf: Fix exact match conditions in trie_get_next_key()
    (bsc#1012628).
  - x86/CPU/AMD: WARN when setting EFER.AUTOIBRS if and only if
    the WRMSR fails (bsc#1012628).
  - rust: allow `clippy::needless_lifetimes` (bsc#1012628).
  - HID: i2c-hid: Revert to using power commands to wake on resume
    (bsc#1012628).
  - HID: wacom: fix when get product name maybe null pointer
    (bsc#1012628).
  - LoongArch: Add architecture specific huge_pte_clear()
    (bsc#1012628).
  - LoongArch: KVM: Protect kvm_check_requests() with SRCU
    (bsc#1012628).
  - ksmbd: fix Out-of-Bounds Read in ksmbd_vfs_stream_read
    (bsc#1012628).
  - ksmbd: fix Out-of-Bounds Write in ksmbd_vfs_stream_write
    (bsc#1012628).
  - watchdog: rti: of: honor timeout-sec property (bsc#1012628).
  - can: dev: can_set_termination(): allow sleeping GPIOs
    (bsc#1012628).
  - can: mcp251xfd: mcp251xfd_get_tef_len(): work around erratum
    DS80000789E 6 (bsc#1012628).
  - tracing: Fix cmp_entries_dup() to respect sort() comparison
    rules (bsc#1012628).
  - net :mana :Request a V2 response version for MANA_QUERY_GF_STAT
    (bsc#1012628).
  - iommufd: Fix out_fput in iommufd_fault_alloc() (bsc#1012628).
  - arm64: mm: Fix zone_dma_limit calculation (bsc#1012628).
  - arm64: Ensure bits ASID[15:8] are masked out when the kernel
    uses 8-bit ASIDs (bsc#1012628).
  - arm64: ptrace: fix partial SETREGSET for NT_ARM_TAGGED_ADDR_CTRL
    (bsc#1012628).
  - arm64: ptrace: fix partial SETREGSET for NT_ARM_FPMR
    (bsc#1012628).
  - arm64: ptrace: fix partial SETREGSET for NT_ARM_POE
    (bsc#1012628).
  - ALSA: usb-audio: Fix a DMA to stack memory bug (bsc#1012628).
  - ALSA: usb-audio: Add extra PID for RME Digiface USB
    (bsc#1012628).
  - ALSA: hda/realtek: fix micmute LEDs don't work on HP Laptops
    (bsc#1012628).
  - ALSA: usb-audio: add mixer mapping for Corsair HS80
    (bsc#1012628).
  - ALSA: hda/realtek: Enable mute and micmute LED on HP ProBook
    430 G8 (bsc#1012628).
  - ALSA: hda/realtek: Add support for Samsung Galaxy Book3 360
    (NP730QFG) (bsc#1012628).
  - scsi: qla2xxx: Fix abort in bsg timeout (bsc#1012628).
  - scsi: qla2xxx: Fix NVMe and NPIV connect issue (bsc#1012628).
  - scsi: qla2xxx: Supported speed displayed incorrectly for VPorts
    (bsc#1012628).
  - scsi: qla2xxx: Fix use after free on unload (bsc#1012628).
  - scsi: qla2xxx: Remove check req_sg_cnt should be equal to
    rsp_sg_cnt (bsc#1012628).
  - scsi: ufs: core: sysfs: Prevent div by zero (bsc#1012628).
  - scsi: ufs: core: Cancel RTC work during ufshcd_remove()
    (bsc#1012628).
  - scsi: ufs: qcom: Only free platform MSIs when ESI is enabled
    (bsc#1012628).
  - scsi: ufs: pltfrm: Disable runtime PM during removal of glue
    drivers (bsc#1012628).
  - scsi: ufs: core: Add missing post notify for power mode change
    (bsc#1012628).
  - nilfs2: fix potential out-of-bounds memory access in
    nilfs_find_entry() (bsc#1012628).
  - fs/smb/client: avoid querying SMB2_OP_QUERY_WSL_EA for SMB3
    POSIX (bsc#1012628).
  - fs/smb/client: Implement new SMB3 POSIX type (bsc#1012628).
  - fs/smb/client: cifs_prime_dcache() for SMB3 POSIX reparse points
    (bsc#1012628).
  - smb3.1.1: fix posix mounts to older servers (bsc#1012628).
  - io_uring: Change res2 parameter type in io_uring_cmd_done
    (bsc#1012628).
  - bcache: revert replacing IS_ERR_OR_NULL with IS_ERR again
    (bsc#1012628).
  - Revert "readahead: properly shorten readahead when falling
    back to do_page_cache_ra()" (bsc#1012628).
  - pmdomain: imx: gpcv2: Adjust delay after power up handshake
    (bsc#1012628).
  - selftests/damon: add _damon_sysfs.py to TEST_FILES
    (bsc#1012628).
  - selftest: hugetlb_dio: fix test naming (bsc#1012628).
  - cacheinfo: Allocate memory during CPU hotplug if not done from
    the primary CPU (bsc#1012628).
  - x86/cacheinfo: Delete global num_cache_leaves (bsc#1012628).
  - drm/amdkfd: hard-code cacheline for gc943,gc944 (bsc#1012628).
  - drm/dp_mst: Fix MST sideband message body length check
    (bsc#1012628).
  - drm/amdkfd: add MEC version that supports no PCIe atomics for
    GFX12 (bsc#1012628).
  - drm/amd/pm: fix and simplify workload handling (bsc#1012628).
  - drm/dp_mst: Verify request type in the corresponding down
    message reply (bsc#1012628).
  - drm/dp_mst: Fix resetting msg rx state after topology removal
    (bsc#1012628).
  - drm/amd/display: Correct prefetch calculation (bsc#1012628).
  - drm/amd/display: Limit VTotal range to max hw cap minus fp
    (bsc#1012628).
  - drm/amd/display: Add a left edge pixel if in YCbCr422 or
    YCbCr420 and odm (bsc#1012628).
  - drm/amdgpu/hdp6.0: do a posting read when flushing HDP
    (bsc#1012628).
  - drm/amdgpu/hdp4.0: do a posting read when flushing HDP
    (bsc#1012628).
  - drm/amdgpu/hdp5.0: do a posting read when flushing HDP
    (bsc#1012628).
  - drm/amdgpu/hdp7.0: do a posting read when flushing HDP
    (bsc#1012628).
  - drm/amdgpu/hdp5.2: do a posting read when flushing HDP
    (bsc#1012628).
  - modpost: Add .irqentry.text to OTHER_SECTIONS (bsc#1012628).
  - x86/kexec: Restore GDT on return from ::preserve_context kexec
    (bsc#1012628).
  - bpf: fix OOB devmap writes when deleting elements (bsc#1012628).
  - dma-buf: fix dma_fence_array_signaled v4 (bsc#1012628).
  - dma-fence: Fix reference leak on fence merge failure path
    (bsc#1012628).
  - dma-fence: Use kernel's sort for merging fences (bsc#1012628).
  - xsk: fix OOB map writes when deleting elements (bsc#1012628).
  - regmap: detach regmap from dev on regmap_exit (bsc#1012628).
  - arch_numa: Restore nid checks before registering a memblock
    with a node (bsc#1012628).
  - mmc: sdhci-pci: Add DMI quirk for missing CD GPIO on Vexia
    Edu Atla 10 tablet (bsc#1012628).
  - mmc: core: Further prevent card detect during shutdown
    (bsc#1012628).
  - x86/cpu: Add Lunar Lake to list of CPUs with a broken MONITOR
    implementation (bsc#1012628).
  - ocfs2: update seq_file index in ocfs2_dlm_seq_next
    (bsc#1012628).
  - stackdepot: fix stack_depot_save_flags() in NMI context
    (bsc#1012628).
  - lib: stackinit: hide never-taken branch from compiler
    (bsc#1012628).
  - sched/numa: fix memory leak due to the overwritten
    vma->numab_state (bsc#1012628).
  - kasan: make report_lock a raw spinlock (bsc#1012628).
  - mm/gup: handle NULL pages in unpin_user_pages() (bsc#1012628).
  - mm/mempolicy: fix migrate_to_node() assuming there is at least
    one VMA in a MM (bsc#1012628).
  - x86/cpu/topology: Remove limit of CPUs due to disabled IO/APIC
    (bsc#1012628).
  - x86/mm: Add _PAGE_NOPTISHADOW bit to avoid updating userspace
    page tables (bsc#1012628).
  - mm/damon: fix order of arguments in damos_before_apply
    tracepoint (bsc#1012628).
  - mm: memcg: declare do_memsw_account inline (bsc#1012628).
  - mm: open-code PageTail in folio_flags() and const_folio_flags()
    (bsc#1012628).
  - mm: open-code page_folio() in dump_page() (bsc#1012628).
  - mm: fix vrealloc()'s KASAN poisoning logic (bsc#1012628).
  - mm: respect mmap hint address when aligning for THP
    (bsc#1012628).
  - scsi: ufs: pltfrm: Drop PM runtime reference count after
    ufshcd_remove() (bsc#1012628).
  - memblock: allow zero threshold in validate_numa_converage()
    (bsc#1012628).
  - rust: enable arbitrary_self_types and remove `Receiver`
    (bsc#1012628).
  - s390/pci: Sort PCI functions prior to creating virtual busses
    (bsc#1012628).
  - s390/pci: Use topology ID for multi-function devices
    (bsc#1012628).
  - s390/pci: Ignore RID for isolated VFs (bsc#1012628).
  - epoll: annotate racy check (bsc#1012628).
  - kselftest/arm64: Log fp-stress child startup errors to stdout
    (bsc#1012628).
  - s390/cpum_sf: Handle CPU hotplug remove during sampling
    (bsc#1012628).
  - block: RCU protect disk->conv_zones_bitmap (bsc#1012628).
  - btrfs: don't take dev_replace rwsem on task already holding it
    (bsc#1012628).
  - btrfs: avoid unnecessary device path update for the same device
    (bsc#1012628).
  - btrfs: canonicalize the device path before adding it
    (bsc#1012628).
  - btrfs: do not clear read-only when adding sprout device
    (bsc#1012628).
  - kselftest/arm64: Don't leak pipe fds in pac.exec_sign_all()
    (bsc#1012628).
  - ext4: partial zero eof block on unaligned inode size extension
    (bsc#1012628).
  - crypto: ecdsa - Avoid signed integer overflow on signature
    decoding (bsc#1012628).
  - kcsan: Turn report_filterlist_lock into a raw_spinlock
    (bsc#1012628).
  - hwmon: (nct6775) Add 665-ACE/600M-CL to ASUS WMI monitoring list
    (bsc#1012628).
  - ACPI: x86: Make UART skip quirks work on PCI UARTs without an
    UID (bsc#1012628).
  - ACPI: x86: Add adev NULL check to
    acpi_quirk_skip_serdev_enumeration() (bsc#1012628).
  - ACPI: video: force native for Apple MacbookPro11,2 and Air7,2
    (bsc#1012628).
  - perf/x86/amd: Warn only on new bits set (bsc#1012628).
  - cleanup: Adjust scoped_guard() macros to avoid potential warning
    (bsc#1012628).
  - iio: magnetometer: fix if () scoped_guard() formatting
    (bsc#1012628).
  - timekeeping: Always check for negative motion (bsc#1012628).
  - gpio: free irqs that are still requested when the chip is
    being removed (bsc#1012628).
  - spi: spi-fsl-lpspi: Adjust type of scldiv (bsc#1012628).
  - soc: qcom: llcc: Use designated initializers for LLC settings
    (bsc#1012628).
  - HID: add per device quirk to force bind to hid-generic
    (bsc#1012628).
  - firmware: qcom: scm: Allow QSEECOM on Lenovo Yoga Slim 7x
    (bsc#1012628).
  - soc: qcom: pd-mapper: Add QCM6490 PD maps (bsc#1012628).
  - media: uvcvideo: RealSense D421 Depth module metadata
    (bsc#1012628).
  - media: uvcvideo: Add a quirk for the Kaiweets KTI-W02 infrared
    camera (bsc#1012628).
  - media: uvcvideo: Force UVC version to 1.0a for 0408:4033
    (bsc#1012628).
  - media: cx231xx: Add support for Dexatek USB Video Grabber
    1d19:6108 (bsc#1012628).
  - mmc: core: Add SD card quirk for broken poweroff notification
    (bsc#1012628).
  - mmc: sdhci-esdhc-imx: enable quirks SDHCI_QUIRK_NO_LED
    (bsc#1012628).
  - firmware: qcom: scm: Allow QSEECOM on Dell XPS 13 9345
    (bsc#1012628).
  - soc: imx8m: Probe the SoC driver as platform driver
    (bsc#1012628).
  - regmap: maple: Provide lockdep (sub)class for maple tree's
    internal lock (bsc#1012628).
  - selftests/resctrl: Protect against array overflow when reading
    strings (bsc#1012628).
  - sched_ext: add a missing rcu_read_lock/unlock pair at
    scx_select_cpu_dfl() (bsc#1012628).
  - HID: magicmouse: Apple Magic Trackpad 2 USB-C driver support
    (bsc#1012628).
  - drm/xe/pciids: separate RPL-U and RPL-P PCI IDs (bsc#1012628).
  - drm/xe/pciids: separate ARL and MTL PCI IDs (bsc#1012628).
  - drm/vc4: hdmi: Avoid log spam for audio start failure
    (bsc#1012628).
  - drm/vc4: hvs: Set AXI panic modes for the HVS (bsc#1012628).
  - drm/xe/pciids: Add PVC's PCI device ID macros (bsc#1012628).
  - wifi: rtw88: use ieee80211_purge_tx_queue() to purge TX skb
    (bsc#1012628).
  - drm/xe/pciid: Add new PCI id for ARL (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirk for AYA NEO 2 model
    (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirk for AYA NEO Founder
    edition (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirk for AYA NEO GEEK
    (bsc#1012628).
  - drm/bridge: it6505: Enable module autoloading (bsc#1012628).
  - drm/mcde: Enable module autoloading (bsc#1012628).
  - wifi: rtw89: check return value of ieee80211_probereq_get()
    for RNR (bsc#1012628).
  - drm/amd/display: Fix out-of-bounds access in
    'dcn21_link_encoder_create' (bsc#1012628).
  - drm/radeon/r600_cs: Fix possible int overflow in
    r600_packet3_check() (bsc#1012628).
  - ASoC: Intel: sof_rt5682: Add HDMI-In capture with rt5682
    support for MTL (bsc#1012628).
  - dlm: fix possible lkb_resource null dereference (bsc#1012628).
  - drm/amd/display: skip disable CRTC in seemless bootup case
    (bsc#1012628).
  - drm/amd/display: Fix garbage or black screen when resetting otg
    (bsc#1012628).
  - drm/amd/display: disable SG displays on cyan skillfish
    (bsc#1012628).
  - drm/xe/ptl: L3bank mask is not available on the media GT
    (bsc#1012628).
  - drm/xe/xe3: Add initial set of workarounds (bsc#1012628).
  - drm/display: Fix building with GCC 15 (bsc#1012628).
  - ALSA: hda: Use own quirk lookup helper (bsc#1012628).
  - ALSA: hda/conexant: Use the new codec SSID matching
    (bsc#1012628).
  - ALSA: hda/realtek: Use codec SSID matching for Lenovo devices
    (bsc#1012628).
  - r8169: don't apply UDP padding quirk on RTL8126A (bsc#1012628).
  - samples/bpf: Fix a resource leak (bsc#1012628).
  - wifi: ath12k: fix atomic calls in
    ath12k_mac_op_set_bitrate_mask() (bsc#1012628).
  - accel/qaic: Add AIC080 support (bsc#1012628).
  - drm/amd/display: Full exit out of IPS2 when all allow signals
    have been cleared (bsc#1012628).
  - net: fec_mpc52xx_phy: Use %pa to format resource_size_t
    (bsc#1012628).
  - net: ethernet: fs_enet: Use %pa to format resource_size_t
    (bsc#1012628).
  - net/sched: cbs: Fix integer overflow in cbs_set_port_rate()
    (bsc#1012628).
  - af_packet: avoid erroring out after sock_init_data() in
    packet_create() (bsc#1012628).
  - Bluetooth: L2CAP: do not leave dangling sk pointer on error
    in l2cap_sock_create() (bsc#1012628).
  - Bluetooth: RFCOMM: avoid leaving dangling sk pointer in
    rfcomm_sock_alloc() (bsc#1012628).
  - net: af_can: do not leave a dangling sk pointer in can_create()
    (bsc#1012628).
  - net: ieee802154: do not leave a dangling sk pointer in
    ieee802154_create() (bsc#1012628).
  - net: inet: do not leave a dangling sk pointer in inet_create()
    (bsc#1012628).
  - net: inet6: do not leave a dangling sk pointer in inet6_create()
    (bsc#1012628).
  - wifi: ath10k: avoid NULL pointer error during sdio remove
    (bsc#1012628).
  - wifi: ath5k: add PCI ID for SX76X (bsc#1012628).
  - wifi: ath5k: add PCI ID for Arcadyan devices (bsc#1012628).
  - fanotify: allow reporting errors on failure to open fd
    (bsc#1012628).
  - bpf: Prevent tailcall infinite loop caused by freplace
    (bsc#1012628).
  - ASoC: sdw_utils: Add support for exclusion DAI quirks
    (bsc#1012628).
  - ASoC: sdw_utils: Add a quirk to allow the cs42l43 mic DAI to
    be ignored (bsc#1012628).
  - ASoC: Intel: sof_sdw: Add quirk for cs42l43 system using host
    DMICs (bsc#1012628).
  - ASoC: Intel: sof_sdw: Add quirks for some new Lenovo laptops
    (bsc#1012628).
  - drm/xe/guc/ct: Flush g2h worker in case of g2h response timeout
    (bsc#1012628).
  - drm/panel: simple: Add Microchip AC69T88A LVDS Display panel
    (bsc#1012628).
  - net: sfp: change quirks for Alcatel Lucent G-010S-P
    (bsc#1012628).
  - net: stmmac: Programming sequence for VLAN packets with split
    header (bsc#1012628).
  - drm/sched: memset() 'job' in drm_sched_job_init() (bsc#1012628).
  - drm/amd/display: Adding array index check to prevent memory
    corruption (bsc#1012628).
  - drm/amdgpu/gfx9: Add cleaner shader for GFX9.4.2 (bsc#1012628).
  - drm/amdgpu: clear RB_OVERFLOW bit when enabling interrupts
    for vega20_ih (bsc#1012628).
  - drm/amdgpu: Dereference the ATCS ACPI buffer (bsc#1012628).
  - netlink: specs: Add missing bitset attrs to ethtool spec
    (bsc#1012628).
  - drm/amdgpu: refine error handling in amdgpu_ttm_tt_pin_userptr
    (bsc#1012628).
  - ASoC: sdw_utils: Add quirk to exclude amplifier function
    (bsc#1012628).
  - ASoC: Intel: soc-acpi-intel-arl-match: Add rt722 and rt1320
    support (bsc#1012628).
  - drm/amd/display: Fix underflow when playing 8K video in full
    screen mode (bsc#1012628).
  - mptcp: annotate data-races around subflow->fully_established
    (bsc#1012628).
  - dma-debug: fix a possible deadlock on radix_lock (bsc#1012628).
  - jfs: array-index-out-of-bounds fix in dtReadFirst (bsc#1012628).
  - jfs: fix shift-out-of-bounds in dbSplit (bsc#1012628).
  - jfs: fix array-index-out-of-bounds in jfs_readdir (bsc#1012628).
  - jfs: add a check to prevent array-index-out-of-bounds in
    dbAdjTree (bsc#1012628).
  - fsl/fman: Validate cell-index value obtained from Device Tree
    (bsc#1012628).
  - net/tcp: Add missing lockdep annotations for TCP-AO hlist
    traversals (bsc#1012628).
  - drm/panic: Add ABGR2101010 support (bsc#1012628).
  - drm/amd/display: Remove hw w/a toggle if on DP2/HPO
    (bsc#1012628).
  - drm/amd/display: parse umc_info or vram_info based on ASIC
    (bsc#1012628).
  - drm/amd/display: Prune Invalid Modes For HDMI Output
    (bsc#1012628).
  - drm/amdgpu: skip amdgpu_device_cache_pci_state under sriov
    (bsc#1012628).
  - virtio-net: fix overflow inside virtnet_rq_alloc (bsc#1012628).
  - ALSA: usb-audio: Make mic volume workarounds globally applicable
    (bsc#1012628).
  - drm/amdgpu: set the right AMDGPU sg segment limitation
    (bsc#1012628).
  - wifi: ipw2x00: libipw_rx_any(): fix bad alignment (bsc#1012628).
  - wifi: brcmfmac: Fix oops due to NULL pointer dereference in
    brcmf_sdiod_sglist_rw() (bsc#1012628).
  - bpf: Call free_htab_elem() after htab_unlock_bucket()
    (bsc#1012628).
  - mptcp: fix possible integer overflow in mptcp_reset_tout_timer
    (bsc#1012628).
  - dsa: qca8k: Use nested lock to avoid splat (bsc#1012628).
  - i2c: i801: Add support for Intel Panther Lake (bsc#1012628).
  - Bluetooth: hci_conn: Reduce hci_conn_drop() calls in two
    functions (bsc#1012628).
  - Bluetooth: btusb: Add RTL8852BE device 0489:e123 to device
    tables (bsc#1012628).
  - Bluetooth: btusb: Add USB HW IDs for MT7920/MT7925
    (bsc#1012628).
  - Bluetooth: hci_conn: Use disable_delayed_work_sync
    (bsc#1012628).
  - Bluetooth: hci_core: Fix not checking skb length on
    hci_acldata_packet (bsc#1012628).
  - Bluetooth: Add new quirks for ATS2851 (bsc#1012628).
  - Bluetooth: Support new quirks for ATS2851 (bsc#1012628).
  - Bluetooth: Set quirks for ATS2851 (bsc#1012628).
  - Bluetooth: btusb: Add new VID/PID 0489/e111 for MT7925
    (bsc#1012628).
  - Bluetooth: btusb: Add new VID/PID 0489/e124 for MT7925
    (bsc#1012628).
  - Bluetooth: btusb: Add 3 HWIDs for MT7925 (bsc#1012628).
  - ASoC: hdmi-codec: reorder channel allocation list (bsc#1012628).
  - rocker: fix link status detection in rocker_carrier_init()
    (bsc#1012628).
  - net/neighbor: clear error in case strict check is not set
    (bsc#1012628).
  - netpoll: Use rcu_access_pointer() in __netpoll_setup
    (bsc#1012628).
  - pinctrl: freescale: fix COMPILE_TEST error with PINCTRL_IMX_SCU
    (bsc#1012628).
  - rtla: Fix consistency in getopt_long for timerlat_hist
    (bsc#1012628).
  - tracing/ftrace: disable preemption in syscall probe
    (bsc#1012628).
  - tracing: Use atomic64_inc_return() in trace_clock_counter()
    (bsc#1012628).
  - tools/rtla: fix collision with glibc sched_attr/sched_set_attr
    (bsc#1012628).
  - rtla/timerlat: Make timerlat_top_cpu->*_count unsigned long long
    (bsc#1012628).
  - rtla/timerlat: Make timerlat_hist_cpu->*_count unsigned long
    long (bsc#1012628).
  - scsi: hisi_sas: Add cond_resched() for no forced preemption
    model (bsc#1012628).
  - scsi: hisi_sas: Create all dump files during debugfs
    initialization (bsc#1012628).
  - ring-buffer: Limit time with disabled interrupts in
    rb_check_pages() (bsc#1012628).
  - pinmux: Use sequential access to access desc->pinmux data
    (bsc#1012628).
  - scsi: ufs: core: Make DMA mask configuration more flexible
    (bsc#1012628).
  - iommu/amd: Fix corruption when mapping large pages from 0
    (bsc#1012628).
  - bpf: put bpf_link's program when link is safe to be deallocated
    (bsc#1012628).
  - scsi: lpfc: Call lpfc_sli4_queue_unset() in restart and rmmod
    paths (bsc#1012628).
  - scsi: lpfc: Check SLI_ACTIVE flag in FDMI cmpl before submitting
    follow up FDMI (bsc#1012628).
  - scsi: lpfc: Prevent NDLP reference count underflow in
    dev_loss_tmo callback (bsc#1012628).
  - clk: qcom: rcg2: add clk_rcg2_shared_floor_ops (bsc#1012628).
  - clk: qcom: rpmh: add support for SAR2130P (bsc#1012628).
  - clk: qcom: tcsrcc-sm8550: add SAR2130P support (bsc#1012628).
  - clk: qcom: dispcc-sm8550: enable support for SAR2130P
    (bsc#1012628).
  - clk: qcom: clk-alpha-pll: Add NSS HUAYRA ALPHA PLL support
    for ipq9574 (bsc#1012628).
  - leds: class: Protect brightness_show() with led_cdev->led_access
    mutex (bsc#1012628).
  - scsi: st: Don't modify unknown block number in MTIOCGET
    (bsc#1012628).
  - scsi: st: Add MTIOCGET and MTLOAD to ioctls allowed after
    device reset (bsc#1012628).
  - pinctrl: qcom-pmic-gpio: add support for PM8937 (bsc#1012628).
  - pinctrl: qcom: spmi-mpp: Add PM8937 compatible (bsc#1012628).
  - thermal/drivers/qcom/tsens-v1: Add support for MSM8937 tsens
    (bsc#1012628).
  - nvdimm: rectify the illogical code within nd_dax_probe()
    (bsc#1012628).
  - smb: client: memcpy() with surrounding object base address
    (bsc#1012628).
  - tracing: Fix function name for trampoline (bsc#1012628).
  - tools/rtla: Enhance argument parsing in timerlat_load.py
    (bsc#1012628).
  - verification/dot2: Improve dot parser robustness (bsc#1012628).
  - mailbox: pcc: Check before sending MCTP PCC response ACK
    (bsc#1012628).
  - f2fs: fix f2fs_bug_on when uninstalling filesystem call
    f2fs_evict_inode (bsc#1012628).
  - KMSAN: uninit-value in inode_go_dump (5) (bsc#1012628).
  - i3c: mipi-i3c-hci: Mask ring interrupts before ring stop request
    (bsc#1012628).
  - PCI: qcom: Add support for IPQ9574 (bsc#1012628).
  - PCI: vmd: Add DID 8086:B06F and 8086:B60B for Intel client SKUs
    (bsc#1012628).
  - PCI: vmd: Set devices to D0 before enabling PM L1 Substates
    (bsc#1012628).
  - PCI: Detect and trust built-in Thunderbolt chips (bsc#1012628).
  - PCI: starfive: Enable controller runtime PM before probing
    host bridge (bsc#1012628).
  - PCI: Add 'reset_subordinate' to reset hierarchy below bridge
    (bsc#1012628).
  - PCI: Add ACS quirk for Wangxun FF5xxx NICs (bsc#1012628).
  - remoteproc: qcom: pas: enable SAR2130P audio DSP support
    (bsc#1012628).
  - i3c: Use i3cdev->desc->info instead of calling
    i3c_device_get_info() to avoid deadlock (bsc#1012628).
  - f2fs: print message if fscorrupted was found in
    f2fs_new_node_page() (bsc#1012628).
  - f2fs: fix to shrink read extent node in batches (bsc#1012628).
  - f2fs: add a sysfs node to limit max read extent count per-inode
    (bsc#1012628).
  - ACPI: x86: Add skip i2c clients quirk for Acer Iconia One 8
    A1-840 (bsc#1012628).
  - ACPI: x86: Clean up Asus entries in acpi_quirk_skip_dmi_ids[]
    (bsc#1012628).
  - LoongArch: Fix sleeping in atomic context for PREEMPT_RT
    (bsc#1012628).
  - fs/ntfs3: Fix warning in ni_fiemap (bsc#1012628).
  - fs/ntfs3: Fix case when unmarked clusters intersect with zone
    (bsc#1012628).
  - regulator: qcom-rpmh: Update ranges for FTSMPS525 (bsc#1012628).
  - usb: chipidea: add CI_HDRC_HAS_SHORT_PKT_LIMIT flag
    (bsc#1012628).
  - usb: chipidea: udc: limit usb request length to max 16KB
    (bsc#1012628).
  - usb: chipidea: udc: create bounce buffer for problem sglist
    entries if possible (bsc#1012628).
  - usb: chipidea: udc: handle USB Error Interrupt if IOC not set
    (bsc#1012628).
  - usb: typec: ucsi: Do not call ACPI _DSM method for UCSI read
    operations (bsc#1012628).
  - iio: adc: ad7192: properly check spi_get_device_match_data()
    (bsc#1012628).
  - iio: light: ltr501: Add LTER0303 to the supported devices
    (bsc#1012628).
  - usb: typec: ucsi: glink: be more precise on orientation-aware
    ports (bsc#1012628).
  - ASoC: amd: yc: fix internal mic on Redmi G 2022 (bsc#1012628).
  - drm/amdgpu/vcn: reset fw_shared when VCPU buffers corrupted
    on vcn v4.0.3 (bsc#1012628).
  - MIPS: Loongson64: DTS: Really fix PCIe port nodes for ls7a
    (bsc#1012628).
  - ASoC: amd: yc: Add quirk for microphone on Lenovo Thinkpad
    T14s Gen 6 21M1CTO1WW (bsc#1012628).
  - powerpc/prom_init: Fixup missing powermac #size-cells
    (bsc#1012628).
  - misc: eeprom: eeprom_93cx6: Add quirk for extra read clock cycle
    (bsc#1012628).
  - rtc: cmos: avoid taking rtc_lock for extended period of time
    (bsc#1012628).
  - serial: 8250_dw: Add Sophgo SG2044 quirk (bsc#1012628).
  - Revert "nvme: make keep-alive synchronous operation"
    (bsc#1012628).
  - irqchip/gicv3-its: Add workaround for hip09 ITS erratum
    162100801 (bsc#1012628).
  - smb: client: don't try following DFS links in
    cifs_tree_connect() (bsc#1012628).
  - setlocalversion: work around "git describe" performance
    (bsc#1012628).
  - io_uring/tctx: work around xa_store() allocation error issue
    (bsc#1012628).
  - scsi: ufs: pltfrm: Dellocate HBA during ufshcd_pltfrm_remove()
    (bsc#1012628).
  - drm/xe/devcoredump: Use drm_puts and already cached local
    variables (bsc#1012628).
  - drm/xe/devcoredump: Improve section headings and add tile info
    (bsc#1012628).
  - drm/xe/devcoredump: Add ASCII85 dump helper function
    (bsc#1012628).
  - drm/xe/guc: Copy GuC log prior to dumping (bsc#1012628).
  - drm/xe/forcewake: Add a helper xe_force_wake_ref_has_domain()
    (bsc#1012628).
  - drm/xe/devcoredump: Update handling of xe_force_wake_get return
    (bsc#1012628).
  - drm/amd/display: Add option to retrieve detile buffer size
    (bsc#1012628).
  - sched: fix warning in sched_setaffinity (bsc#1012628).
  - sched/core: Remove the unnecessary need_resched() check in
    nohz_csd_func() (bsc#1012628).
  - sched/fair: Check idle_cpu() before need_resched() to detect
    ilb CPU turning busy (bsc#1012628).
  - sched/core: Prevent wakeup of ksoftirqd during idle load balance
    (bsc#1012628).
  - sched/deadline: Fix warning in migrate_enable for boosted tasks
    (bsc#1012628).
  - btrfs: drop unused parameter options from open_ctree()
    (bsc#1012628).
  - btrfs: drop unused parameter data from btrfs_fill_super()
    (bsc#1012628).
  - btrfs: fix missing snapshot drew unlock when root is dead
    during swap activation (bsc#1012628).
  - clk: en7523: Initialize num before accessing hws in
    en7523_register_clocks() (bsc#1012628).
  - tracing/eprobe: Fix to release eprobe when failed to add
    dyn_event (bsc#1012628).
  - x86: Fix build regression with CONFIG_KEXEC_JUMP enabled
    (bsc#1012628).
  - Revert "unicode: Don't special case ignorable code points"
    (bsc#1012628).
  - vfio/mlx5: Align the page tracking max message size with the
    device capability (bsc#1012628).
  - selftests/ftrace: adjust offset for kprobe syntax error test
    (bsc#1012628).
  - KVM: x86/mmu: Ensure that kvm_release_pfn_clean() takes exact
    pfn from kvm_faultin_pfn() (bsc#1012628).
  - jffs2: Prevent rtime decompress memory corruption (bsc#1012628).
  - jffs2: Fix rtime decompressor (bsc#1012628).
  - media: ipu6: use the IPU6 DMA mapping APIs to do mapping
    (bsc#1012628).
  - ocfs2: Revert "ocfs2: fix the la space leak when unmounting
    an ocfs2 volume" (bsc#1012628).
  - net/mlx5: unique names for per device caches (bsc#1012628).
  - ASoC: Intel: avs: Fix return status of
    avs_pcm_hw_constraints_init() (bsc#1012628).
  - drm/amdgpu: rework resume handling for display (v2)
    (bsc#1012628).
  - ALSA: hda: Fix build error without CONFIG_SND_DEBUG
    (bsc#1012628).
  - Revert "drm/amd/display: parse umc_info or vram_info based on
    ASIC" (bsc#1012628).
  - s390/pci: Fix leak of struct zpci_dev when zpci_add_device()
    fails (bsc#1012628).
  - ALSA: hda/realtek: Fix spelling mistake "Firelfy" -> "Firefly"
    (bsc#1012628).
  - timekeeping: Remove CONFIG_DEBUG_TIMEKEEPING (bsc#1012628).
  - clocksource: Make negative motion detection more robust
    (bsc#1012628).
  - softirq: Allow raising SCHED_SOFTIRQ from SMP-call-function
    on RT kernel (bsc#1012628).
  - Update config files.
  - Rename to
    patches.kernel.org/6.12.5-445-btrfs-fix-mount-failure-due-to-remount-races.patch.
  - commit 941f839
* Mon Dec 16 2024 mkubecek@suse.cz
  - update to 6.13-rc3
  - refresh configs
  - commit fcd2144
* Fri Dec 13 2024 jslaby@suse.cz
  - rpm/kernel-binary.spec.in: fix KMPs build on 6.13+ (bsc#1234454)
    Upstream commit 822b11a74ba2 (kbuild: use absolute path in the generated
    wrapper Makefile) sets also KBUILD_OUTPUT in objdir's Makefile before
    including srcdir's Makefile.
    So emulate this too, otherwise KMPs fail to build:
    /usr/src/linux-6.13.0-rc2-1.gf92fc5d/Makefile:782: /usr/src/linux-6.13.0-rc2-1.gf92fc5d/include/config/auto.conf: No such file or directory
  - commit 46168e5
* Thu Dec 12 2024 dsterba@suse.com
  - btrfs: fix mount failure due to remount races (bsc#1231836)
  - commit 65674ea
* Mon Dec 09 2024 svarbanov@suse.de
  - config: update and reenable armv6hl configs
    Options mirrored from x86_64, except:
    CONFIG_CC_HAS_COUNTED_BY=y
    CONFIG_OF_PARTITION=y
    CONFIG_VMAP_PFN=y
    CONFIG_NET_SHAPER=y
    CONFIG_NET_DSA_MV88E6XXX_LEDS=y
    CONFIG_NXP_NETC_BLK_CTRL=m
    CONFIG_MTK_NET_PHYLIB=m
    CONFIG_RTW88_88XXA=m
    CONFIG_RTW88_8821A=m
    CONFIG_RTW88_8812A=m
    CONFIG_RTW88_8821AU=m
    CONFIG_RTW88_8812AU=m
    CONFIG_GPIO_MPSSE=m
    CONFIG_SENSORS_ISL28022=m
    CONFIG_SENSORS_NCT7363=m
    CONFIG_VIDEO_RP1_CFE=m
    CONFIG_DRM_CLIENT=y
    CONFIG_DRM_CLIENT_LIB=y
    CONFIG_DRM_CLIENT_SELECTION=y
    CONFIG_DRM_CLIENT_SETUP=y
    CONFIG_DRM_DISPLAY_DSC_HELPER=y
    CONFIG_FB_DMAMEM_HELPERS_DEFERRED=y
    CONFIG_HID_KYSONA=m
    CONFIG_TYPEC_MUX_TUSB1046=m
    CONFIG_CHROMEOS_OF_HW_PROBER=m
    CONFIG_AD7625=m
    CONFIG_AD7779=m
    CONFIG_GEHC_PMC_ADC=m
    CONFIG_AD3552R_HS=m
    CONFIG_AD3552R_LIB=m
    CONFIG_AD8460=m
    CONFIG_BMI270=m
    CONFIG_BMI270_I2C=m
    CONFIG_BMI270_SPI=m
    CONFIG_SMI240=m
    CONFIG_VEML3235=m
    CONFIG_ALS31300=m
    CONFIG_PHY_NXP_PTN3222=m
    CONFIG_MIN_HEAP=y
    CONFIG_GPIO_POLARFIRE_SOC=n
    CONFIG_CHARGER_TWL6030=n
    CONFIG_DRM_PANEL_SAMSUNG_AMS581VF01=n
    CONFIG_DRM_PANEL_SAMSUNG_AMS639RQ08=n
    CONFIG_DRM_PANEL_SAMSUNG_S6E88A0_AMS427AP24=n
    CONFIG_DRM_PANEL_SAMSUNG_S6E3HA8=n
    CONFIG_DRM_ITE_IT6263=n
    CONFIG_DRM_TI_TDP158=n
    CONFIG_TINYDRM_SHARP_MEMORY=n
    CONFIG_GPIB=n
    CONFIG_BTRFS_EXPERIMENTAL=n
    CONFIG_DEBUG_NET_SMALL_RTNL=n
    CONFIG_FAIL_SKB_REALLOC=n
    CONFIG_TEST_KALLSYMS=n
  - commit 7cfe858
* Mon Dec 09 2024 svarbanov@suse.de
  - config: update and reenable armv7hl configs
    Options mirrored from x86_64, except:
    CONFIG_CC_HAS_COUNTED_BY=y
    CONFIG_CPUFREQ_VIRT=m
    CONFIG_OF_PARTITION=y
    CONFIG_NET_SHAPER=y
    CONFIG_PCIE_TPH=y
    CONFIG_NET_DSA_MV88E6XXX_LEDS=y
    CONFIG_NXP_ENETC_PF_COMMON=m
    CONFIG_HIBMCGE=m
    CONFIG_MTK_NET_PHYLIB=m
    CONFIG_RTW88_88XXA=m
    CONFIG_RTW88_8821A=m
    CONFIG_RTW88_8812A=m
    CONFIG_RTW88_8821AU=m
    CONFIG_RTW88_8812AU=m
    CONFIG_HW_RANDOM_AIROHA=m
    CONFIG_HW_RANDOM_BCM74110=m
    CONFIG_GPIO_MPSSE=m
    CONFIG_CHARGER_TWL6030=m
    CONFIG_SENSORS_ISL28022=m
    CONFIG_SENSORS_NCT7363=m
    CONFIG_PCIE_THERMAL=y
    CONFIG_AIROHA_WATCHDOG=m
    CONFIG_REGULATOR_MTK_DVFSRC=m
    CONFIG_VIDEO_RP1_CFE=m
    CONFIG_DRM_CLIENT=y
    CONFIG_DRM_CLIENT_LIB=y
    CONFIG_DRM_CLIENT_SELECTION=y
    CONFIG_DRM_CLIENT_SETUP=y
    CONFIG_DRM_DISPLAY_DSC_HELPER=y
    CONFIG_ROCKCHIP_DW_HDMI_QP=y
    CONFIG_DRM_IMX_LEGACY_BRIDGE=m
    CONFIG_DRM_DW_HDMI_QP=m
    CONFIG_SND_SOC_SDCA_OPTIONAL=m
    CONFIG_HID_KYSONA=m
    CONFIG_TYPEC_MUX_TUSB1046=m
    CONFIG_RTC_DRV_AMLOGIC_A4=m
    CONFIG_CHROMEOS_OF_HW_PROBER=m
    CONFIG_COMMON_CLK_NPCM8XX=m
    CONFIG_COMMON_CLK_MT6735=y
    CONFIG_COMMON_CLK_MT6735_IMGSYS=y
    CONFIG_COMMON_CLK_MT6735_MFGCFG=y
    CONFIG_COMMON_CLK_MT6735_VDECSYS=y
    CONFIG_COMMON_CLK_MT6735_VENCSYS=y
    CONFIG_SAR_GCC_2130P=m
    CONFIG_SAR_GPUCC_2130P=m
    CONFIG_MTK_DVFSRC=m
    CONFIG_AD7625=m
    CONFIG_AD7779=m
    CONFIG_GEHC_PMC_ADC=m
    CONFIG_AD3552R_HS=m
    CONFIG_AD3552R_LIB=m
    CONFIG_AD8460=m
    CONFIG_BMI270=m
    CONFIG_BMI270_I2C=m
    CONFIG_BMI270_SPI=m
    CONFIG_SMI240=m
    CONFIG_VEML3235=m
    CONFIG_ALS31300=m
    CONFIG_RESET_MESON_COMMON=y
    CONFIG_RESET_MESON=y
    CONFIG_RESET_MESON_AUX=m
    CONFIG_RESET_MESON_AUDIO_ARB=m
    CONFIG_PHY_NXP_PTN3222=m
    CONFIG_INTERCONNECT_MTK_DVFSRC_EMI=m
    CONFIG_INTERCONNECT_MTK_MT8183=m
    CONFIG_INTERCONNECT_MTK_MT8195=m
    CONFIG_INTERCONNECT_QCOM_QCS615=m
    CONFIG_INTERCONNECT_QCOM_QCS8300=m
    CONFIG_INTERCONNECT_QCOM_SAR2130P=m
    CONFIG_UNION_FIND=y
    CONFIG_MIN_HEAP=y
    CONFIG_FUNCTION_GRAPH_RETADDR=y
    CONFIG_HOTPLUG_PCI_OCTEONEP=n
    CONFIG_MCHP_LAN966X_PCI=n
    CONFIG_NXP_ENETC4=n
    CONFIG_NXP_NETC_BLK_CTRL=n
    CONFIG_ATH12K_COREDUMP=n
    CONFIG_GPIO_POLARFIRE_SOC=n
    CONFIG_DRM_XE_DEBUG_MEMIRQ=n
    CONFIG_DRM_PANEL_SAMSUNG_AMS581VF01=n
    CONFIG_DRM_PANEL_SAMSUNG_AMS639RQ08=n
    CONFIG_DRM_PANEL_SAMSUNG_S6E88A0_AMS427AP24=n
    CONFIG_DRM_PANEL_SAMSUNG_S6E3HA8=n
    CONFIG_DRM_ITE_IT6263=n
    CONFIG_DRM_TI_TDP158=n
    CONFIG_TINYDRM_SHARP_MEMORY=n
    CONFIG_SND_SOC_ADAU1373=n
    CONFIG_SND_SOC_AW88081=n
    CONFIG_SND_SOC_CS42L84=n
    CONFIG_SND_SOC_ES8323=n
    CONFIG_SND_SOC_SMA1307=n
    CONFIG_SND_SOC_UDA1342=n
    CONFIG_SND_SOC_NTP8918=n
    CONFIG_SND_SOC_NTP8835=n
    CONFIG_GPIB=n
    CONFIG_BTRFS_EXPERIMENTAL=n
    CONFIG_DEBUG_NET_SMALL_RTNL=n
    CONFIG_FAIL_SKB_REALLOC=n
    CONFIG_TEST_KALLSYMS=n
    CONFIG_SND_SOC_RT721_SDCA_SDW=n
  - commit da04051
* Mon Dec 09 2024 svarbanov@suse.de
  - config: update and reenable arm64 configs
    Options mirrored from x86_64, except:
    CONFIG_CC_HAS_COUNTED_BY=y
    CONFIG_HISILICON_ERRATUM_162100801=y
    CONFIG_AS_HAS_MOPS=y
    CONFIG_ARM64_HAFT=y
    CONFIG_CPUFREQ_VIRT=m
    CONFIG_ACPI_EC=y
    CONFIG_ARCH_HAS_CC_PLATFORM=y
    CONFIG_ARCH_HAS_NONLEAF_PMD_YOUNG=y
    CONFIG_OF_PARTITION=y
    CONFIG_NET_SHAPER=y
    CONFIG_PCIE_TPH=y
    CONFIG_HOTPLUG_PCI_OCTEONEP=y
    CONFIG_EEPROM_93CX6=y
    CONFIG_KEBA_LAN9252=m
    CONFIG_NET_DSA_MV88E6XXX_LEDS=y
    CONFIG_NXP_ENETC_PF_COMMON=m
    CONFIG_NXP_ENETC4=m
    CONFIG_NXP_NETC_BLK_CTRL=m
    CONFIG_HIBMCGE=m
    CONFIG_RVU_ESWITCH=m
    CONFIG_MTK_NET_PHYLIB=m
    CONFIG_RTW88_88XXA=m
    CONFIG_RTW88_8821A=m
    CONFIG_RTW88_8812A=m
    CONFIG_RTW88_8821AU=m
    CONFIG_RTW88_8812AU=m
    CONFIG_HW_RANDOM_AIROHA=m
    CONFIG_HW_RANDOM_BCM74110=m
    CONFIG_SPI_APPLE=m
    CONFIG_PTP_1588_CLOCK_VMCLOCK=m
    CONFIG_PINCTRL_AIROHA=m
    CONFIG_PINCTRL_IPQ5424=m
    CONFIG_PINCTRL_QCS615=m
    CONFIG_PINCTRL_QCS8300=m
    CONFIG_PINCTRL_SAR2130P=m
    CONFIG_PINCTRL_SM8750=m
    CONFIG_GPIO_MPSSE=m
    CONFIG_SENSORS_ISL28022=m
    CONFIG_SENSORS_NCT7363=m
    CONFIG_PCIE_THERMAL=y
    CONFIG_REGULATOR_MTK_DVFSRC=m
    CONFIG_VIDEO_RP1_CFE=m
    CONFIG_DRM_CLIENT=y
    CONFIG_DRM_CLIENT_LIB=y
    CONFIG_DRM_CLIENT_SELECTION=y
    CONFIG_DRM_CLIENT_SETUP=y
    CONFIG_DRM_DISPLAY_DSC_HELPER=y
    CONFIG_ROCKCHIP_DW_HDMI_QP=y
    CONFIG_DRM_DW_HDMI_QP=m
    CONFIG_FB_DMAMEM_HELPERS_DEFERRED=y
    CONFIG_SND_SOC_SH4_FSI=m
    CONFIG_SND_SOC_RCAR=m
    CONFIG_SND_SOC_RZ=m
    CONFIG_SND_SOC_SDCA_OPTIONAL=m
    CONFIG_HID_KYSONA=m
    CONFIG_TYPEC_MUX_TUSB1046=m
    CONFIG_MMC_SDHCI_UHS2=m
    CONFIG_RTC_DRV_RENESAS_RTCA3=m
    CONFIG_RTC_DRV_AMLOGIC_A4=m
    CONFIG_VIRTIO_VFIO_PCI=m
    CONFIG_TSM_REPORTS=m
    CONFIG_ARM_CCA_GUEST=m
    CONFIG_CHROMEOS_OF_HW_PROBER=m
    CONFIG_COMMON_CLK_NPCM8XX=m
    CONFIG_COMMON_CLK_MT6735=y
    CONFIG_COMMON_CLK_MT6735_IMGSYS=y
    CONFIG_COMMON_CLK_MT6735_MFGCFG=y
    CONFIG_COMMON_CLK_MT6735_VDECSYS=y
    CONFIG_COMMON_CLK_MT6735_VENCSYS=y
    CONFIG_IPQ_GCC_5424=m
    CONFIG_SA_CAMCC_8775P=m
    CONFIG_QCS_GCC_8300=m
    CONFIG_SA_DISPCC_8775P=m
    CONFIG_SAR_GCC_2130P=m
    CONFIG_SAR_GPUCC_2130P=m
    CONFIG_SA_VIDEOCC_8775P=m
    CONFIG_CLK_RENESAS_VBATTB=m
    CONFIG_MTK_DVFSRC=m
    CONFIG_AD7625=m
    CONFIG_AD7779=m
    CONFIG_GEHC_PMC_ADC=m
    CONFIG_AD3552R_HS=m
    CONFIG_AD3552R_LIB=m
    CONFIG_AD8460=m
    CONFIG_BMI270=m
    CONFIG_BMI270_I2C=m
    CONFIG_BMI270_SPI=m
    CONFIG_SMI240=m
    CONFIG_VEML3235=m
    CONFIG_ALS31300=m
    CONFIG_RENESAS_RZV2H_ICU=y
    CONFIG_RESET_MESON_COMMON=y
    CONFIG_RESET_MESON=y
    CONFIG_RESET_MESON_AUX=m
    CONFIG_RESET_MESON_AUDIO_ARB=m
    CONFIG_PHY_NXP_PTN3222=m
    CONFIG_PHY_STM32_COMBOPHY=m
    CONFIG_MARVELL_PEM_PMU=m
    CONFIG_NVMEM_RCAR_EFUSE=m
    CONFIG_INTERCONNECT_MTK_DVFSRC_EMI=m
    CONFIG_INTERCONNECT_MTK_MT8183=m
    CONFIG_INTERCONNECT_MTK_MT8195=m
    CONFIG_INTERCONNECT_QCOM_QCS615=m
    CONFIG_INTERCONNECT_QCOM_QCS8300=m
    CONFIG_INTERCONNECT_QCOM_SAR2130P=m
    CONFIG_ARCH_HAS_FORCE_DMA_UNENCRYPTED=y
    CONFIG_UNION_FIND=y
    CONFIG_MIN_HEAP=y
    CONFIG_FUNCTION_GRAPH_RETADDR=y
    CONFIG_MCHP_LAN966X_PCI=n
    CONFIG_LAN969X_SWITCH=n
    CONFIG_ATH12K_COREDUMP=n
    CONFIG_I2C_AMD_ASF=n
    CONFIG_GPIO_POLARFIRE_SOC=n
    CONFIG_AIROHA_WATCHDOG=n
    CONFIG_DRM_XE_DEBUG_MEMIRQ=n
    CONFIG_DRM_PANEL_SAMSUNG_AMS581VF01=n
    CONFIG_DRM_PANEL_SAMSUNG_AMS639RQ08=n
    CONFIG_DRM_PANEL_SAMSUNG_S6E88A0_AMS427AP24=n
    CONFIG_DRM_PANEL_SAMSUNG_S6E3HA8=n
    CONFIG_DRM_ITE_IT6263=n
    CONFIG_DRM_TI_TDP158=n
    CONFIG_TINYDRM_SHARP_MEMORY=n
    CONFIG_SND_SOC_ADAU1373=n
    CONFIG_SND_SOC_AW88081=n
    CONFIG_SND_SOC_CS42L84=n
    CONFIG_SND_SOC_ES8323=n
    CONFIG_SND_SOC_RT721_SDCA_SDW=n
    CONFIG_SND_SOC_SMA1307=n
    CONFIG_SND_SOC_UDA1342=n
    CONFIG_SND_SOC_NTP8918=n
    CONFIG_SND_SOC_NTP8835=n
    CONFIG_GPIB=n
    CONFIG_BTRFS_EXPERIMENTAL=n
    CONFIG_DEBUG_NET_SMALL_RTNL=n
    CONFIG_FAIL_SKB_REALLOC=n
    CONFIG_TEST_KALLSYMS=n
  - commit d563005
* Mon Dec 09 2024 jslaby@suse.cz
  - Linux 6.12.4 (bsc#1012628).
  - xfs: remove unknown compat feature check in superblock write
    validation (bsc#1012628).
  - quota: flush quota_release_work upon quota writeback
    (bsc#1012628).
  - btrfs: drop unused parameter file_offset from
    btrfs_encoded_read_regular_fill_pages() (bsc#1012628).
  - btrfs: change btrfs_encoded_read() so that reading of extent
    is done by caller (bsc#1012628).
  - btrfs: move priv off stack in
    btrfs_encoded_read_regular_fill_pages() (bsc#1012628).
  - btrfs: fix use-after-free in btrfs_encoded_read_endio()
    (bsc#1012628).
  - btrfs: don't loop for nowait writes when checking for cross
    references (bsc#1012628).
  - btrfs: add a sanity check for btrfs root in btrfs_search_slot()
    (bsc#1012628).
  - btrfs: ref-verify: fix use-after-free after invalid ref action
    (bsc#1012628).
  - netkit: Add option for scrubbing skb meta data (bsc#1012628).
  - md/raid5: Wait sync io to finish before changing group cnt
    (bsc#1012628).
  - md/md-bitmap: Add missing destroy_work_on_stack() (bsc#1012628).
  - arm64: dts: allwinner: pinephone: Add mount matrix to
    accelerometer (bsc#1012628).
  - arm64: dts: mediatek: mt8186-corsola: Fix GPU supply coupling
    max-spread (bsc#1012628).
  - arm64: dts: freescale: imx8mm-verdin: Fix SD regulator startup
    delay (bsc#1012628).
  - arm64: dts: ti: k3-am62-verdin: Fix SD regulator startup delay
    (bsc#1012628).
  - arm64: dts: mediatek: mt8186-corsola: Fix IT6505 reset line
    polarity (bsc#1012628).
  - media: qcom: camss: fix error path on configuration of power
    domains (bsc#1012628).
  - media: amphion: Set video drvdata before register video device
    (bsc#1012628).
  - media: imx-jpeg: Set video drvdata before register video device
    (bsc#1012628).
  - media: mtk-jpeg: Fix null-ptr-deref during unload module
    (bsc#1012628).
  - media: i2c: dw9768: Fix pm_runtime_set_suspended() with runtime
    pm enabled (bsc#1012628).
  - arm64: dts: freescale: imx8mp-verdin: Fix SD regulator startup
    delay (bsc#1012628).
  - media: i2c: tc358743: Fix crash in the probe error path when
    using polling (bsc#1012628).
  - media: imx-jpeg: Ensure power suppliers be suspended before
    detach them (bsc#1012628).
  - media: platform: rga: fix 32-bit DMA limitation (bsc#1012628).
  - media: verisilicon: av1: Fix reference video buffer pointer
    assignment (bsc#1012628).
  - media: ts2020: fix null-ptr-deref in ts2020_probe()
    (bsc#1012628).
  - media: platform: exynos4-is: Fix an OF node reference leak in
    fimc_md_is_isp_available (bsc#1012628).
  - efi/libstub: Free correct pointer on failure (bsc#1012628).
  - net: phy: dp83869: fix status reporting for 1000base-x
    autonegotiation (bsc#1012628).
  - media: amphion: Fix pm_runtime_set_suspended() with runtime
    pm enabled (bsc#1012628).
  - media: venus: Fix pm_runtime_set_suspended() with runtime pm
    enabled (bsc#1012628).
  - media: gspca: ov534-ov772x: Fix off-by-one error in
    set_frame_rate() (bsc#1012628).
  - media: ov08x40: Fix burst write sequence (bsc#1012628).
  - media: platform: allegro-dvt: Fix possible memory leak in
    allocate_buffers_internal() (bsc#1012628).
  - media: uvcvideo: Stop stream during unregister (bsc#1012628).
  - media: uvcvideo: Require entities to have a non-zero unique ID
    (bsc#1012628).
  - tracing: Fix function timing profiler to initialize hashtable
    (bsc#1012628).
  - kunit: Fix potential null dereference in
    kunit_device_driver_test() (bsc#1012628).
  - kunit: string-stream: Fix a UAF bug in kunit_init_suite()
    (bsc#1012628).
  - ovl: Filter invalid inodes with missing lookup function
    (bsc#1012628).
  - maple_tree: refine mas_store_root() on storing NULL
    (bsc#1012628).
  - ftrace: Fix regression with module command in stack_trace_filter
    (bsc#1012628).
  - vmstat: call fold_vm_zone_numa_events() before show per zone
    NUMA event (bsc#1012628).
  - zram: clear IDLE flag after recompression (bsc#1012628).
  - iommu/io-pgtable-arm: Fix stage-2 map/unmap for concatenated
    tables (bsc#1012628).
  - iommu/arm-smmu: Defer probe of clients after smmu device bound
    (bsc#1012628).
  - leds: lp55xx: Remove redundant test for invalid channel number
    (bsc#1012628).
  - mm/damon/vaddr: fix issue in damon_va_evenly_split_region()
    (bsc#1012628).
  - powerpc/vdso: Drop -mstack-protector-guard flags in 32-bit
    files with clang (bsc#1012628).
  - cpufreq: scmi: Fix cleanup path when boost enablement fails
    (bsc#1012628).
  - clk: qcom: gcc-qcs404: fix initial rate of GPLL3 (bsc#1012628).
  - ad7780: fix division by zero in ad7780_write_raw()
    (bsc#1012628).
  - nvmem: core: Check read_only flag for force_ro in
    bin_attr_nvmem_write() (bsc#1012628).
  - driver core: fw_devlink: Stop trying to optimize cycle detection
    logic (bsc#1012628).
  - spmi: pmic-arb: fix return path in
    for_each_available_child_of_node() (bsc#1012628).
  - ARM: 9429/1: ioremap: Sync PGDs for VMALLOC shadow
    (bsc#1012628).
  - s390/entry: Mark IRQ entries to fix stack depot warnings
    (bsc#1012628).
  - ARM: 9430/1: entry: Do a dummy read from VMAP shadow
    (bsc#1012628).
  - ARM: 9431/1: mm: Pair atomic_set_release() with _read_acquire()
    (bsc#1012628).
  - net: stmmac: set initial EEE policy configuration (bsc#1012628).
  - vfio/qat: fix overflow check in qat_vf_resume_write()
    (bsc#1012628).
  - PCI: qcom: Disable ASPM L0s for X1E80100 (bsc#1012628).
  - perf jevents: fix breakage when do perf stat on system metric
    (bsc#1012628).
  - remoteproc: qcom_q6v5_pas: disable auto boot for wpss
    (bsc#1012628).
  - PCI: imx6: Fix suspend/resume support on i.MX6QDL (bsc#1012628).
  - mm/slub: Avoid list corruption when removing a slab from the
    full list (bsc#1012628).
  - f2fs: fix to drop all discards after creating snapshot on lvm
    device (bsc#1012628).
  - ceph: extract entity name from device id (bsc#1012628).
  - ceph: pass cred pointer to ceph_mds_auth_match() (bsc#1012628).
  - ceph: fix cred leak in ceph_mds_check_access() (bsc#1012628).
  - mtd: spinand: winbond: Fix 512GW and 02JW OOB layout
    (bsc#1012628).
  - mtd: spinand: winbond: Fix 512GW, 01GW, 01JW and 02JW ECC
    information (bsc#1012628).
  - util_macros.h: fix/rework find_closest() macros (bsc#1012628).
  - s390/stacktrace: Use break instead of return statement
    (bsc#1012628).
  - scsi: ufs: exynos: Add check inside exynos_ufs_config_smu()
    (bsc#1012628).
  - scsi: ufs: exynos: Fix hibern8 notify callbacks (bsc#1012628).
  - i3c: master: svc: Fix pm_runtime_set_suspended() with runtime
    pm enabled (bsc#1012628).
  - i3c: master: Fix miss free init_dyn_addr at
    i3c_master_put_i3c_addrs() (bsc#1012628).
  - i3c: master: svc: fix possible assignment of the same address
    to two devices (bsc#1012628).
  - i3c: master: svc: Modify enabled_events bit 7:0 to act as IBI
    enable counter (bsc#1012628).
  - PCI: keystone: Set mode as Root Complex for "ti,keystone-pcie"
    compatible (bsc#1012628).
  - PCI: keystone: Add link up check to ks_pcie_other_map_bus()
    (bsc#1012628).
  - PCI: endpoint: Fix PCI domain ID release in pci_epc_destroy()
    (bsc#1012628).
  - PCI: endpoint: Clear secondary (not primary) EPC in
    pci_epc_remove_epf() (bsc#1012628).
  - slab: Fix too strict alignment check in create_cache()
    (bsc#1012628).
  - fs/proc/kcore.c: Clear ret value in read_kcore_iter after
    successful iov_iter_zero (bsc#1012628).
  - thermal: int3400: Fix reading of current_uuid for active policy
    (bsc#1012628).
  - leds: flash: mt6360: Fix device_for_each_child_node()
    refcounting in error paths (bsc#1012628).
  - ovl: properly handle large files in ovl_security_fileattr
    (bsc#1012628).
  - mm/vmalloc: combine all TLB flush operations of KASAN shadow
    virtual address into one operation (bsc#1012628).
  - dm: Fix typo in error message (bsc#1012628).
  - dm thin: Add missing destroy_work_on_stack() (bsc#1012628).
  - PCI: dwc: ep: Fix advertised resizable BAR size regression
    (bsc#1012628).
  - PCI: of_property: Assign PCI instead of CPU bus address to
    dynamic PCI nodes (bsc#1012628).
  - PCI: rockchip-ep: Fix address translation unit programming
    (bsc#1012628).
  - nfsd: make sure exp active before svc_export_show (bsc#1012628).
  - nfsd: fix nfs4_openowner leak when concurrent nfsd4_open occur
    (bsc#1012628).
  - iio: accel: kx022a: Fix raw read format (bsc#1012628).
  - iio: invensense: fix multiple odr switch when FIFO is off
    (bsc#1012628).
  - iio: Fix fwnode_handle in __fwnode_iio_channel_get_by_name()
    (bsc#1012628).
  - iio: adc: ad7923: Fix buffer overflow for tx_buf and ring_xfer
    (bsc#1012628).
  - iio: gts: fix infinite loop for gain_to_scaletables()
    (bsc#1012628).
  - powerpc: Fix stack protector Kconfig test for clang
    (bsc#1012628).
  - powerpc: Adjust adding stack protector flags to KBUILD_CLAGS
    for clang (bsc#1012628).
  - binder: fix node UAF in binder_add_freeze_work() (bsc#1012628).
  - binder: fix OOB in binder_add_freeze_work() (bsc#1012628).
  - binder: fix freeze UAF in binder_release_work() (bsc#1012628).
  - binder: fix BINDER_WORK_FROZEN_BINDER debug logs (bsc#1012628).
  - binder: fix BINDER_WORK_CLEAR_FREEZE_NOTIFICATION debug logs
    (bsc#1012628).
  - binder: allow freeze notification for dead nodes (bsc#1012628).
  - binder: fix memleak of proc->delivered_freeze (bsc#1012628).
  - binder: add delivered_freeze to debugfs output (bsc#1012628).
  - dt-bindings: net: fec: add pps channel property (bsc#1012628).
  - net: fec: refactor PPS channel configuration (bsc#1012628).
  - net: fec: make PPS channel configurable (bsc#1012628).
  - drm/panic: Fix uninitialized spinlock acquisition with
    CONFIG_DRM_PANIC=n (bsc#1012628).
  - drm/sti: avoid potential dereference of error pointers in
    sti_hqvdp_atomic_check (bsc#1012628).
  - drm/sti: avoid potential dereference of error pointers in
    sti_gdp_atomic_check (bsc#1012628).
  - drm: panel: jd9365da-h3: Remove unused num_init_cmds structure
    member (bsc#1012628).
  - drm/sti: avoid potential dereference of error pointers
    (bsc#1012628).
  - drm/fbdev-dma: Select FB_DEFERRED_IO (bsc#1012628).
  - drm/mediatek: Fix child node refcount handling in early exit
    (bsc#1012628).
  - drm/bridge: it6505: Fix inverted reset polarity (bsc#1012628).
  - drm/etnaviv: flush shader L1 cache after user commandstream
    (bsc#1012628).
  - drm: xlnx: zynqmp_dpsub: fix hotplug detection (bsc#1012628).
  - drm/xe/xe_guc_ads: save/restore OA registers and allowlist regs
    (bsc#1012628).
  - drm/xe/migrate: fix pat index usage (bsc#1012628).
  - Revert "drm/radeon: Delay Connector detecting when HPD singals
    is unstable" (bsc#1012628).
  - drm/xe/migrate: use XE_BO_FLAG_PAGETABLE (bsc#1012628).
  - drm/xe/guc_submit: fix race around suspend_pending
    (bsc#1012628).
  - drm/amdkfd: Use the correct wptr size (bsc#1012628).
  - drm/amdgpu/pm: add gen5 display to the user on smu v14.0.2/3
    (bsc#1012628).
  - drm/amd: Add some missing straps from NBIO 7.11.0 (bsc#1012628).
  - drm/amdgpu: fix usage slab after free (bsc#1012628).
  - drm/amd/pm: skip setting the power source on smu v14.0.2/3
    (bsc#1012628).
  - drm/amd: Fix initialization mistake for NBIO 7.11 devices
    (bsc#1012628).
  - drm/amd/pm: update current_socclk and current_uclk in
    gpu_metrics on smu v13.0.7 (bsc#1012628).
  - drm/amd/pm: disable pcie speed switching on Intel platform
    for smu v14.0.2/3 (bsc#1012628).
  - drm/amd/pm: Remove arcturus min power limit (bsc#1012628).
  - drm/amd/display: Fix handling of plane refcount (bsc#1012628).
  - drm/amd/display: update pipe selection policy to check head pipe
    (bsc#1012628).
  - drm/amd/display: Remove PIPE_DTO_SRC_SEL programming from
    set_dtbclk_dto (bsc#1012628).
  - posix-timers: Target group sigqueue to current task only if
    not exiting (bsc#1012628).
  - Revert "drm/xe/xe_guc_ads: save/restore OA registers and
    allowlist regs" (bsc#1012628).
  - Update config files.
  - Rename to
    patches.kernel.org/6.12.4-010-iommu-tegra241-cmdqv-Fix-unused-variable-warni.patch.
  - commit 16f6531
* Mon Dec 09 2024 mkubecek@suse.cz
  - update to 6.13-rc2
  - refresh configs
  - commit f92fc5d
* Fri Dec 06 2024 jslaby@suse.cz
  - iommu/tegra241-cmdqv: Fix unused variable warning (git-fixes).
  - commit 78b0030
* Fri Dec 06 2024 jslaby@suse.cz
  - Update config files. Copy HZ values from SUSE-2025 (bsc#1196438).
    SUSE-2025 has the HZ values set from the architectures' defaults. Let's
    copy the values for the same reasons as expressed in jsc#PED-11606 for
    x86_64. Especially to use values everyone else uses.
    Since SUSE-2025 does not support armv6hl, armv7hl, i386, and riscv64,
    the values are taken from respective defconfigs.
  - commit a7fb275
* Fri Dec 06 2024 jslaby@suse.cz
  - Linux 6.12.3 (bsc#1012628).
  - sched: Initialize idle tasks only once (bsc#1012628).
  - commit 1dfa624
* Fri Dec 06 2024 jslaby@suse.cz
  - Linux 6.12.2 (bsc#1012628).
  - MAINTAINERS: appoint myself the XFS maintainer for 6.12 LTS
    (bsc#1012628).
  - drm/amd/display: Skip Invalid Streams from DSC Policy
    (bsc#1012628).
  - drm/amd/display: Fix incorrect DSC recompute trigger
    (bsc#1012628).
  - s390/facilities: Fix warning about shadow of global variable
    (bsc#1012628).
  - s390/virtio_ccw: Fix dma_parm pointer not set up (bsc#1012628).
  - efs: fix the efs new mount api implementation (bsc#1012628).
  - arm64: probes: Disable kprobes/uprobes on MOPS instructions
    (bsc#1012628).
  - kselftest/arm64: hwcap: fix f8dp2 cpuinfo name (bsc#1012628).
  - kselftest/arm64: mte: fix printf type warnings about __u64
    (bsc#1012628).
  - kselftest/arm64: mte: fix printf type warnings about longs
    (bsc#1012628).
  - block/fs: Pass an iocb to generic_atomic_write_valid()
    (bsc#1012628).
  - fs/block: Check for IOCB_DIRECT in generic_atomic_write_valid()
    (bsc#1012628).
  - s390/cio: Do not unregister the subchannel based on DNV
    (bsc#1012628).
  - s390/pageattr: Implement missing kernel_page_present()
    (bsc#1012628).
  - x86/pvh: Call C code via the kernel virtual mapping
    (bsc#1012628).
  - brd: defer automatic disk creation until module initialization
    succeeds (bsc#1012628).
  - ext4: avoid remount errors with 'abort' mount option
    (bsc#1012628).
  - mips: asm: fix warning when disabling MIPS_FP_SUPPORT
    (bsc#1012628).
  - s390/cpum_sf: Fix and protect memory allocation of SDBs with
    mutex (bsc#1012628).
  - initramfs: avoid filename buffer overrun (bsc#1012628).
  - arm64: Expose ID_AA64ISAR1_EL1.XS to sanitised feature consumers
    (bsc#1012628).
  - kselftest/arm64: Fix encoding for SVE B16B16 test (bsc#1012628).
  - nvme-pci: fix freeing of the HMB descriptor table (bsc#1012628).
  - m68k: mvme147: Fix SCSI controller IRQ numbers (bsc#1012628).
  - m68k: mvme147: Reinstate early console (bsc#1012628).
  - arm64: fix .data.rel.ro size assertion when CONFIG_LTO_CLANG
    (bsc#1012628).
  - acpi/arm64: Adjust error handling procedure in
    gtdt_parse_timer_block() (bsc#1012628).
  - loop: fix type of block size (bsc#1012628).
  - cachefiles: Fix incorrect length return value in
    cachefiles_ondemand_fd_write_iter() (bsc#1012628).
  - cachefiles: Fix missing pos updates in
    cachefiles_ondemand_fd_write_iter() (bsc#1012628).
  - cachefiles: Fix NULL pointer dereference in object->file
    (bsc#1012628).
  - netfs/fscache: Add a memory barrier for FSCACHE_VOLUME_CREATING
    (bsc#1012628).
  - block: take chunk_sectors into account in bio_split_write_zeroes
    (bsc#1012628).
  - block: fix bio_split_rw_at to take zone_write_granularity into
    account (bsc#1012628).
  - s390/syscalls: Avoid creation of arch/arch/ directory
    (bsc#1012628).
  - hfsplus: don't query the device logical block size multiple
    times (bsc#1012628).
  - ext4: fix race in buffer_head read fault injection
    (bsc#1012628).
  - nvme-pci: reverse request order in nvme_queue_rqs (bsc#1012628).
  - virtio_blk: reverse request order in virtio_queue_rqs
    (bsc#1012628).
  - crypto: mxs-dcp - Fix AES-CBC with hardware-bound keys
    (bsc#1012628).
  - crypto: caam - Fix the pointer passed to caam_qi_shutdown()
    (bsc#1012628).
  - crypto: qat - remove check after debugfs_create_dir()
    (bsc#1012628).
  - crypto: qat/qat_420xx - fix off by one in uof_get_name()
    (bsc#1012628).
  - crypto: qat/qat_4xxx - fix off by one in uof_get_name()
    (bsc#1012628).
  - firmware: google: Unregister driver_info on failure
    (bsc#1012628).
  - EDAC/bluefield: Fix potential integer overflow (bsc#1012628).
  - crypto: qat - remove faulty arbiter config reset (bsc#1012628).
  - thermal: core: Initialize thermal zones before registering them
    (bsc#1012628).
  - thermal: core: Rearrange PM notification code (bsc#1012628).
  - thermal: core: Represent suspend-related thermal zone flags
    as bits (bsc#1012628).
  - thermal: core: Mark thermal zones as initializing to start with
    (bsc#1012628).
  - thermal: core: Fix race between zone registration and system
    suspend (bsc#1012628).
  - EDAC/fsl_ddr: Fix bad bit shift operations (bsc#1012628).
  - EDAC/skx_common: Differentiate memory error sources
    (bsc#1012628).
  - EDAC/{skx_common,i10nm}: Fix incorrect far-memory error source
    indicator (bsc#1012628).
  - crypto: pcrypt - Call crypto layer directly when
    padata_do_parallel() return -EBUSY (bsc#1012628).
  - crypto: cavium - Fix the if condition to exit loop after timeout
    (bsc#1012628).
  - cpufreq/amd-pstate: Don't update CPPC request in
    amd_pstate_cpu_boost_update() (bsc#1012628).
  - amd-pstate: Set min_perf to nominal_perf for active mode
    performance gov (bsc#1012628).
  - crypto: hisilicon/qm - disable same error report before
    resetting (bsc#1012628).
  - EDAC/igen6: Avoid segmentation fault on module unload
    (bsc#1012628).
  - crypto: qat - Fix missing destroy_workqueue in adf_init_aer()
    (bsc#1012628).
  - crypto: inside-secure - Fix the return value of
    safexcel_xcbcmac_cra_init() (bsc#1012628).
  - sched/cpufreq: Ensure sd is rebuilt for EAS check (bsc#1012628).
  - doc: rcu: update printed dynticks counter bits (bsc#1012628).
  - rcu/srcutiny: don't return before reenabling preemption
    (bsc#1012628).
  - rcu/kvfree: Fix data-race in __mod_timer / kvfree_call_rcu
    (bsc#1012628).
  - rcu/nocb: Fix missed RCU barrier on deoffloading (bsc#1012628).
  - hwmon: (pmbus/core) clear faults after setting smbalert mask
    (bsc#1012628).
  - hwmon: (nct6775-core) Fix overflows seen when writing limit
    attributes (bsc#1012628).
  - ACPI: CPPC: Fix _CPC register setting issue (bsc#1012628).
  - thermal: testing: Use DEFINE_FREE() and __free() to simplify
    code (bsc#1012628).
  - thermal: testing: Initialize some variables annoteded with
    _free() (bsc#1012628).
  - crypto: caam - add error check to caam_rsa_set_priv_key_form
    (bsc#1012628).
  - crypto: bcm - add error check in the ahash_hmac_init function
    (bsc#1012628).
  - crypto: cavium - Fix an error handling path in
    cpt_ucode_load_fw() (bsc#1012628).
  - rcuscale: Do a proper cleanup if kfree_scale_init() fails
    (bsc#1012628).
  - tools/lib/thermal: Make more generic the command encoding
    function (bsc#1012628).
  - thermal/lib: Fix memory leak on error in thermal_genl_auto()
    (bsc#1012628).
  - x86/unwind/orc: Fix unwind for newly forked tasks (bsc#1012628).
  - Revert "scripts/faddr2line: Check only two symbols when
    calculating symbol size" (bsc#1012628).
  - cleanup: Remove address space of returned pointer (bsc#1012628).
  - time: Partially revert cleanup on msecs_to_jiffies()
    documentation (bsc#1012628).
  - time: Fix references to _msecs_to_jiffies() handling of values
    (bsc#1012628).
  - timers: Add missing READ_ONCE() in __run_timer_base()
    (bsc#1012628).
  - locking/atomic/x86: Use ALT_OUTPUT_SP() for
    __alternative_atomic64() (bsc#1012628).
  - locking/atomic/x86: Use ALT_OUTPUT_SP() for
    __arch_{,try_}cmpxchg64_emu() (bsc#1012628).
  - kcsan, seqlock: Support seqcount_latch_t (bsc#1012628).
  - kcsan, seqlock: Fix incorrect assumption in read_seqbegin()
    (bsc#1012628).
  - sched/ext: Remove sched_fork() hack (bsc#1012628).
  - locking/rt: Add sparse annotation PREEMPT_RT's sleeping locks
    (bsc#1012628).
  - rust: helpers: Avoid raw_spin_lock initialization for PREEMPT_RT
    (bsc#1012628).
  - clocksource/drivers:sp804: Make user selectable (bsc#1012628).
  - clocksource/drivers/timer-ti-dm: Fix child node refcount
    handling (bsc#1012628).
  - irqchip/riscv-aplic: Prevent crash when MSI domain is missing
    (bsc#1012628).
  - regulator: qcom-smd: make smd_vreg_rpm static (bsc#1012628).
  - spi: spi-fsl-lpspi: Use IRQF_NO_AUTOEN flag in request_irq()
    (bsc#1012628).
  - arm64: dts: qcom: qcs6390-rb3gen2: use modem.mbn for modem DSP
    (bsc#1012628).
  - ARM: dts: renesas: genmai: Fix partition size for QSPI NOR Flash
    (bsc#1012628).
  - drivers: soc: xilinx: add the missing kfree in
    xlnx_add_cb_for_suspend() (bsc#1012628).
  - microblaze: Export xmb_manager functions (bsc#1012628).
  - arm64: dts: mediatek: mt8188: Fix wrong clock provider in MFG1
    power domain (bsc#1012628).
  - arm64: dts: mediatek: mt8395-genio-1200-evk: Fix dtbs_check
    error for phy (bsc#1012628).
  - arm64: dts: mt8195: Fix dtbs_check error for mutex node
    (bsc#1012628).
  - arm64: dts: mt8195: Fix dtbs_check error for infracfg_ao node
    (bsc#1012628).
  - arm64: dts: mediatek: mt8183-kukui: Disable DPI display
    interface (bsc#1012628).
  - arm64: dts: mt8183: Add port node to dpi node (bsc#1012628).
  - soc: ti: smartreflex: Use IRQF_NO_AUTOEN flag in request_irq()
    (bsc#1012628).
  - soc: qcom: geni-se: fix array underflow in geni_se_clk_tbl_get()
    (bsc#1012628).
  - arm64: dts: qcom: sm6350: Fix GPU frequencies missing on some
    speedbins (bsc#1012628).
  - arm64: dts: qcom: sda660-ifc6560: fix l10a voltage ranges
    (bsc#1012628).
  - ARM: dts: microchip: sam9x60: Add missing property
    atmel,usart-mode (bsc#1012628).
  - mmc: mmc_spi: drop buggy snprintf() (bsc#1012628).
  - scripts/kernel-doc: Do not track section counter across
    processed files (bsc#1012628).
  - arm64: dts: qcom: x1e80100-slim7x: Drop orientation-switch
    from USB SS[0-1] QMP PHYs (bsc#1012628).
  - arm64: dts: qcom: x1e80100-vivobook-s15: Drop orientation-switch
    from USB SS[0-1] QMP PHYs (bsc#1012628).
  - openrisc: Implement fixmap to fix earlycon (bsc#1012628).
  - efi/libstub: fix efi_parse_options() ignoring the default
    command line (bsc#1012628).
  - tpm: fix signed/unsigned bug when checking event logs
    (bsc#1012628).
  - media: i2c: max96717: clean up on error in
    max96717_subdev_init() (bsc#1012628).
  - media: i2c: vgxy61: Fix an error handling path in
    vgxy61_detect() (bsc#1012628).
  - media: i2c: ds90ub960: Fix missing return check on
    ub960_rxport_read call (bsc#1012628).
  - arm64: dts: mt8183: krane: Fix the address of eeprom at i2c4
    (bsc#1012628).
  - arm64: dts: mt8183: kukui: Fix the address of eeprom at i2c4
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100: Resize GIC Redistributor register
    region (bsc#1012628).
  - kernel-doc: allow object-like macros in ReST output
    (bsc#1012628).
  - arm64: dts: ti: k3-am62x-phyboard-lyra: Drop unnecessary McASP
    AFIFOs (bsc#1012628).
  - gpio: sloppy-logic-analyzer remove reference to
    rcu_momentary_dyntick_idle() (bsc#1012628).
  - arm64: dts: mediatek: mt8173-elm-hana: Add vdd-supply to second
    source trackpad (bsc#1012628).
  - arm64: dts: mediatek: mt8188: Fix USB3 PHY port default status
    (bsc#1012628).
  - arm64: dts: mediatek: mt8195-cherry: Use correct audio codec
    DAI (bsc#1012628).
  - Revert "cgroup: Fix memory leak caused by missing
    cgroup_bpf_offline" (bsc#1012628).
  - cgroup/bpf: only cgroup v2 can be attached by bpf programs
    (bsc#1012628).
  - regulator: rk808: Restrict DVS GPIOs to the RK808 variant only
    (bsc#1012628).
  - power: sequencing: make the QCom PMU pwrseq driver depend on
    CONFIG_OF (bsc#1012628).
  - arm64: tegra: p2180: Add mandatory compatible for WiFi node
    (bsc#1012628).
  - arm64: dts: rockchip: Remove 'enable-active-low' from two boards
    (bsc#1012628).
  - arm64: dts: mt8183: fennel: add i2c2's i2c-scl-internal-delay-ns
    (bsc#1012628).
  - arm64: dts: mt8183: burnet: add i2c2's i2c-scl-internal-delay-ns
    (bsc#1012628).
  - arm64: dts: mt8183: cozmo: add i2c2's i2c-scl-internal-delay-ns
    (bsc#1012628).
  - arm64: dts: mt8183: Damu: add i2c2's i2c-scl-internal-delay-ns
    (bsc#1012628).
  - pwm: imx27: Workaround of the pwm output bug when decrease
    the duty cycle (bsc#1012628).
  - ARM: dts: cubieboard4: Fix DCDC5 regulator constraints
    (bsc#1012628).
  - arm64: dts: ti: k3-j7200: Fix register map for main domain pmx
    (bsc#1012628).
  - arm64: dts: ti: k3-j7200: Fix clock ids for MCSPI instances
    (bsc#1012628).
  - arm64: dts: ti: k3-j721e: Fix clock IDs for MCSPI instances
    (bsc#1012628).
  - arm64: dts: ti: k3-j721s2: Fix clock IDs for MCSPI instances
    (bsc#1012628).
  - watchdog: Add HAS_IOPORT dependency for SBC8360 and SBC7240
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100: Update C4/C5 residency/exit numbers
    (bsc#1012628).
  - dt-bindings: cache: qcom,llcc: Fix X1E80100 reg entries
    (bsc#1012628).
  - of/fdt: add dt_phys arg to early_init_dt_scan and
    early_init_dt_verify (bsc#1012628).
  - pmdomain: ti-sci: Add missing of_node_put() for args.np
    (bsc#1012628).
  - spi: tegra210-quad: Avoid shift-out-of-bounds (bsc#1012628).
  - =?UTF-8?q?spi:=20zynqmp-gqspi:=20Undo=20runtime=20PM=20ch?=
    =?UTF-8?q?anges=20at=20driver=20exit=20time=E2=80=8B?=
    (bsc#1012628).
  - regmap: irq: Set lockdep class for hierarchical IRQ domains
    (bsc#1012628).
  - arm64: dts: renesas: hihope: Drop #sound-dai-cells
    (bsc#1012628).
  - arm64: dts: imx8mn-tqma8mqnl-mba8mx-usbot: fix coexistence of
    output-low and output-high in GPIO (bsc#1012628).
  - arm64: dts: mediatek: mt6358: fix dtbs_check error
    (bsc#1012628).
  - arm64: dts: mediatek: mt8183-kukui-jacuzzi: Fix DP bridge
    supply names (bsc#1012628).
  - arm64: dts: mediatek: mt8183-kukui-jacuzzi: Add supplies for
    fixed regulators (bsc#1012628).
  - selftests/resctrl: Print accurate buffer size as part of MBM
    results (bsc#1012628).
  - selftests/resctrl: Fix memory overflow due to unhandled
    wraparound (bsc#1012628).
  - selftests/resctrl: Protect against array overrun during iMC
    config parsing (bsc#1012628).
  - firmware: arm_scpi: Check the DVFS OPP count returned by the
    firmware (bsc#1012628).
  - media: ipu6: Fix DMA and physical address debugging messages
    for 32-bit (bsc#1012628).
  - media: ipu6: not override the dma_ops of device in driver
    (bsc#1012628).
  - media: ipu6: remove architecture DMA ops dependency in Kconfig
    (bsc#1012628).
  - pwm: Assume a disabled PWM to emit a constant inactive output
    (bsc#1012628).
  - media: atomisp: Add check for rgby_data memory allocation
    failure (bsc#1012628).
  - arm64: dts: rockchip: correct analog audio name on Indiedroid
    Nova (bsc#1012628).
  - sched_ext: scx_bpf_dispatch_from_dsq_set_*() are allowed from
    unlocked context (bsc#1012628).
  - HID: hyperv: streamline driver probe to avoid devres issues
    (bsc#1012628).
  - platform/x86: asus-wmi: Fix inconsistent use of thermal policies
    (bsc#1012628).
  - platform/x86/intel/pmt: allow user offset for PMT callbacks
    (bsc#1012628).
  - platform/x86: panasonic-laptop: Return errno correctly in show
    callback (bsc#1012628).
  - drm/imagination: Convert to use time_before macro (bsc#1012628).
  - drm/imagination: Use pvr_vm_context_get() (bsc#1012628).
  - drm/mm: Mark drm_mm_interval_tree*() functions with
    __maybe_unused (bsc#1012628).
  - drm/vc4: hvs: Don't write gamma luts on 2711 (bsc#1012628).
  - drm/vc4: hdmi: Avoid hang with debug registers when suspended
    (bsc#1012628).
  - drm/vc4: hvs: Fix dlist debug not resetting the next entry
    pointer (bsc#1012628).
  - drm/vc4: hvs: Remove incorrect limit from hvs_dlist debugfs
    function (bsc#1012628).
  - drm/vc4: hvs: Correct logic on stopping an HVS channel
    (bsc#1012628).
  - wifi: ath9k: add range check for conn_rsp_epid in
    htc_connect_service() (bsc#1012628).
  - drm/omap: Fix possible NULL dereference (bsc#1012628).
  - drm/omap: Fix locking in omap_gem_new_dmabuf() (bsc#1012628).
  - drm/v3d: Appease lockdep while updating GPU stats (bsc#1012628).
  - wifi: p54: Use IRQF_NO_AUTOEN flag in request_irq()
    (bsc#1012628).
  - wifi: mwifiex: Use IRQF_NO_AUTOEN flag in request_irq()
    (bsc#1012628).
  - udmabuf: change folios array from kmalloc to kvmalloc
    (bsc#1012628).
  - udmabuf: fix vmap_udmabuf error page set (bsc#1012628).
  - drm/imx/dcss: Use IRQF_NO_AUTOEN flag in request_irq()
    (bsc#1012628).
  - drm/imx/ipuv3: Use IRQF_NO_AUTOEN flag in request_irq()
    (bsc#1012628).
  - drm/panel: nt35510: Make new commands optional (bsc#1012628).
  - drm/v3d: Address race-condition in MMU flush (bsc#1012628).
  - drm/v3d: Flush the MMU before we supply more memory to the
    binner (bsc#1012628).
  - drm/amdgpu: Fix JPEG v4.0.3 register write (bsc#1012628).
  - wifi: ath10k: fix invalid VHT parameters in
    supported_vht_mcs_rate_nss1 (bsc#1012628).
  - wifi: ath10k: fix invalid VHT parameters in
    supported_vht_mcs_rate_nss2 (bsc#1012628).
  - wifi: ath12k: Skip Rx TID cleanup for self peer (bsc#1012628).
  - dt-bindings: vendor-prefixes: Add NeoFidelity, Inc
    (bsc#1012628).
  - ASoC: fsl_micfil: fix regmap_write_bits usage (bsc#1012628).
  - ASoC: dt-bindings: mt6359: Update generic node name and
    dmic-mode (bsc#1012628).
  - drm/amdgpu/gfx9: Add Cleaner Shader Deinitialization in gfx_v9_0
    Module (bsc#1012628).
  - ASoC: fsl-asoc-card: Add missing handling of {hp,mic}-dt-gpios
    (bsc#1012628).
  - drm/bridge: anx7625: Drop EDID cache on bridge power off
    (bsc#1012628).
  - drm/bridge: it6505: Drop EDID cache on bridge power off
    (bsc#1012628).
  - libbpf: Fix expected_attach_type set handling in program load
    callback (bsc#1012628).
  - libbpf: Fix output .symtab byte-order during linking
    (bsc#1012628).
  - selftests/bpf: Fix uprobe_multi compilation error (bsc#1012628).
  - dlm: fix swapped args sb_flags vs sb_status (bsc#1012628).
  - wifi: rtl8xxxu: Perform update_beacon_work when beaconing is
    enabled (bsc#1012628).
  - ASoC: amd: acp: fix for inconsistent indenting (bsc#1012628).
  - ASoC: amd: acp: fix for cpu dai index logic (bsc#1012628).
  - drm/amd/display: fix a memleak issue when driver is removed
    (bsc#1012628).
  - wifi: ath12k: fix use-after-free in ath12k_dp_cc_cleanup()
    (bsc#1012628).
  - wifi: ath12k: fix one more memcpy size error (bsc#1012628).
  - bpf: Fix the xdp_adjust_tail sample prog issue (bsc#1012628).
  - selftests/bpf: Fix backtrace printing for selftests crashes
    (bsc#1012628).
  - wifi: ath11k: Fix CE offset address calculation for WCN6750
    in SSR (bsc#1012628).
  - selftests/bpf: add missing header include for htons
    (bsc#1012628).
  - wifi: cfg80211: check radio iface combination for multi radio
    per wiphy (bsc#1012628).
  - ice: consistently use q_idx in ice_vc_cfg_qs_msg()
    (bsc#1012628).
  - drm/vc4: hdmi: Increase audio MAI fifo dreq threshold
    (bsc#1012628).
  - drm/vc4: Introduce generation number enum (bsc#1012628).
  - drm/vc4: Match drm_dev_enter and exit calls in vc4_hvs_lut_load
    (bsc#1012628).
  - drm/vc4: Match drm_dev_enter and exit calls in
    vc4_hvs_atomic_flush (bsc#1012628).
  - drm/vc4: Correct generation check in vc4_hvs_lut_load
    (bsc#1012628).
  - libbpf: fix sym_is_subprog() logic for weak global subprogs
    (bsc#1012628).
  - accel/ivpu: Prevent recovery invocation during probe and resume
    (bsc#1012628).
  - ASoC: rt722-sdca: Remove logically deadcode in rt722-sdca.c
    (bsc#1012628).
  - libbpf: never interpret subprogs in .text as entry programs
    (bsc#1012628).
  - netdevsim: copy addresses for both in and out paths
    (bsc#1012628).
  - drm/bridge: tc358767: Fix link properties discovery
    (bsc#1012628).
  - drm/panic: Select ZLIB_DEFLATE for DRM_PANIC_SCREEN_QR_CODE
    (bsc#1012628).
  - selftests/bpf: Fix msg_verify_data in test_sockmap
    (bsc#1012628).
  - selftests/bpf: Fix txmsg_redir of test_txmsg_pull in
    test_sockmap (bsc#1012628).
  - wifi: mwifiex: add missing locking for cfg80211 calls
    (bsc#1012628).
  - wifi: wilc1000: Set MAC after operation mode (bsc#1012628).
  - wifi: mwifiex: Fix memcpy() field-spanning write warning in
    mwifiex_config_scan() (bsc#1012628).
  - drm: fsl-dcu: enable PIXCLK on LS1021A (bsc#1012628).
  - drm: panel: nv3052c: correct spi_device_id for RG35XX panel
    (bsc#1012628).
  - drm/msm/dpu: on SDM845 move DSPP_3 to LM_5 block (bsc#1012628).
  - drm/msm/dpu: drop LM_3 / LM_4 on SDM845 (bsc#1012628).
  - drm/msm/dpu: drop LM_3 / LM_4 on MSM8998 (bsc#1012628).
  - octeontx2-pf: handle otx2_mbox_get_rsp errors in otx2_common.c
    (bsc#1012628).
  - octeontx2-pf: handle otx2_mbox_get_rsp errors in otx2_ethtool.c
    (bsc#1012628).
  - octeontx2-pf: handle otx2_mbox_get_rsp errors in otx2_flows.c
    (bsc#1012628).
  - octeontx2-pf: handle otx2_mbox_get_rsp errors in cn10k.c
    (bsc#1012628).
  - octeontx2-pf: handle otx2_mbox_get_rsp errors in otx2_dmac_flt.c
    (bsc#1012628).
  - octeontx2-pf: handle otx2_mbox_get_rsp errors in otx2_dcbnl.c
    (bsc#1012628).
  - selftests/bpf: fix test_spin_lock_fail.c's global vars usage
    (bsc#1012628).
  - libbpf: move global data mmap()'ing into bpf_object__load()
    (bsc#1012628).
  - wifi: rtw89: rename rtw89_vif to rtw89_vif_link ahead for MLO
    (bsc#1012628).
  - wifi: rtw89: rename rtw89_sta to rtw89_sta_link ahead for MLO
    (bsc#1012628).
  - wifi: rtw89: read bss_conf corresponding to the link
    (bsc#1012628).
  - wifi: rtw89: read link_sta corresponding to the link
    (bsc#1012628).
  - wifi: rtw89: refactor VIF related func ahead for MLO
    (bsc#1012628).
  - wifi: rtw89: refactor STA related func ahead for MLO
    (bsc#1012628).
  - wifi: rtw89: tweak driver architecture for impending MLO support
    (bsc#1012628).
  - wifi: rtw89: Fix TX fail with A2DP after scanning (bsc#1012628).
  - wifi: rtw89: unlock on error path in
    rtw89_ops_unassign_vif_chanctx() (bsc#1012628).
  - drm/panfrost: Remove unused id_mask from struct panfrost_model
    (bsc#1012628).
  - bpf, arm64: Remove garbage frame for struct_ops trampoline
    (bsc#1012628).
  - drm/msm/adreno: Use IRQF_NO_AUTOEN flag in request_irq()
    (bsc#1012628).
  - drm/msm/gpu: Check the status of registration to PM QoS
    (bsc#1012628).
  - drm/xe/hdcp: Fix gsc structure check in fw check status
    (bsc#1012628).
  - drm/etnaviv: Request pages from DMA32 zone on addressing_limited
    (bsc#1012628).
  - drm/etnaviv: hold GPU lock across perfmon sampling
    (bsc#1012628).
  - drm/amd/display: Increase idle worker HPD detection time
    (bsc#1012628).
  - drm/amd/display: Reduce HPD Detection Interval for IPS
    (bsc#1012628).
  - drm/nouveau/gr/gf100: Fix missing unlock in gf100_gr_chan_new()
    (bsc#1012628).
  - drm: zynqmp_kms: Unplug DRM device before removal (bsc#1012628).
  - drm: xlnx: zynqmp_disp: layer may be null while releasing
    (bsc#1012628).
  - wifi: wfx: Fix error handling in wfx_core_init() (bsc#1012628).
  - wifi: cw1200: Fix potential NULL dereference (bsc#1012628).
  - drm/msm/dpu: cast crtc_clk calculation to u64 in
    _dpu_core_perf_calc_clk() (bsc#1012628).
  - bpf, bpftool: Fix incorrect disasm pc (bsc#1012628).
  - bpf: Tighten tail call checks for lingering locks, RCU,
    preempt_disable (bsc#1012628).
  - drm/vkms: Drop unnecessary call to drm_crtc_cleanup()
    (bsc#1012628).
  - drm/amdgpu: Fix the memory allocation issue in
    amdgpu_discovery_get_nps_info() (bsc#1012628).
  - drm/amdkfd: Use dynamic allocation for CU occupancy array in
    'kfd_get_cu_occupancy()' (bsc#1012628).
  - bpf: Mark raw_tp arguments with PTR_MAYBE_NULL (bsc#1012628).
  - drm: use ATOMIC64_INIT() for atomic64_t (bsc#1012628).
  - netfilter: nf_tables: avoid false-positive lockdep splat on
    rule deletion (bsc#1012628).
  - netfilter: nf_tables: must hold rcu read lock while iterating
    expression type list (bsc#1012628).
  - netfilter: nf_tables: must hold rcu read lock while iterating
    object type list (bsc#1012628).
  - netlink: typographical error in nlmsg_type constants definition
    (bsc#1012628).
  - wifi: rtw89: coex: check NULL return of kmalloc in
    btc_fw_set_monreg() (bsc#1012628).
  - drm/panfrost: Add missing OPP table refcnt decremental
    (bsc#1012628).
  - drm/panthor: introduce job cycle and timestamp accounting
    (bsc#1012628).
  - drm/panthor: record current and maximum device clock frequencies
    (bsc#1012628).
  - drm/panthor: Fix OPP refcnt leaks in devfreq initialisation
    (bsc#1012628).
  - isofs: avoid memory leak in iocharset (bsc#1012628).
  - selftests/bpf: Add txmsg_pass to pull/push/pop in test_sockmap
    (bsc#1012628).
  - selftests/bpf: Fix SENDPAGE data logic in test_sockmap
    (bsc#1012628).
  - selftests/bpf: Fix total_bytes in msg_loop_rx in test_sockmap
    (bsc#1012628).
  - selftests/bpf: Add push/pop checking for msg_verify_data in
    test_sockmap (bsc#1012628).
  - bpf, sockmap: Several fixes to bpf_msg_push_data (bsc#1012628).
  - bpf, sockmap: Several fixes to bpf_msg_pop_data (bsc#1012628).
  - bpf, sockmap: Fix sk_msg_reset_curr (bsc#1012628).
  - ipv6: release nexthop on device removal (bsc#1012628).
  - selftests: net: really check for bg process completion
    (bsc#1012628).
  - wifi: cfg80211: Remove the Medium Synchronization Delay validity
    check (bsc#1012628).
  - wifi: iwlwifi: allow fast resume on ax200 (bsc#1012628).
  - wifi: iwlwifi: mvm: tell iwlmei when we finished suspending
    (bsc#1012628).
  - drm/amdgpu: fix ACA bank count boundary check error
    (bsc#1012628).
  - drm/amdgpu: Fix map/unmap queue logic (bsc#1012628).
  - drm/amdkfd: Fix wrong usage of INIT_WORK() (bsc#1012628).
  - bpf: Allow return values 0 and 1 for kprobe session
    (bsc#1012628).
  - bpf: Force uprobe bpf program to always return 0 (bsc#1012628).
  - selftests/bpf: skip the timer_lockup test for single-CPU nodes
    (bsc#1012628).
  - ipv6: Fix soft lockups in fib6_select_path under high next
    hop churn (bsc#1012628).
  - net: rfkill: gpio: Add check for clk_enable() (bsc#1012628).
  - Revert "wifi: iwlegacy: do not skip frames with bad FCS"
    (bsc#1012628).
  - bpf: Use function pointers count as struct_ops links count
    (bsc#1012628).
  - bpf: Add kernel symbol for struct_ops trampoline (bsc#1012628).
  - ALSA: usx2y: Use snd_card_free_when_closed() at disconnection
    (bsc#1012628).
  - ALSA: us122l: Use snd_card_free_when_closed() at disconnection
    (bsc#1012628).
  - ALSA: caiaq: Use snd_card_free_when_closed() at disconnection
    (bsc#1012628).
  - ALSA: 6fire: Release resources at card release (bsc#1012628).
  - i2c: dev: Fix memory leak when underlying adapter does not
    support I2C (bsc#1012628).
  - selftests: netfilter: Fix missing return values in
    conntrack_dump_flush (bsc#1012628).
  - Bluetooth: btintel_pcie: Add handshake between driver and
    firmware (bsc#1012628).
  - Bluetooth: btintel: Do no pass vendor events to stack
    (bsc#1012628).
  - Bluetooth: btmtk: adjust the position to init iso data anchor
    (bsc#1012628).
  - Bluetooth: btbcm: fix missing of_node_put() in
    btbcm_get_board_name() (bsc#1012628).
  - Bluetooth: ISO: Use kref to track lifetime of iso_conn
    (bsc#1012628).
  - Bluetooth: ISO: Do not emit LE PA Create Sync if previous is
    pending (bsc#1012628).
  - Bluetooth: ISO: Do not emit LE BIG Create Sync if previous is
    pending (bsc#1012628).
  - Bluetooth: ISO: Send BIG Create Sync via hci_sync (bsc#1012628).
  - Bluetooth: fix use-after-free in device_for_each_child()
    (bsc#1012628).
  - xsk: Free skb when TX metadata options are invalid
    (bsc#1012628).
  - erofs: fix file-backed mounts over FUSE (bsc#1012628).
  - erofs: fix blksize < PAGE_SIZE for file-backed mounts
    (bsc#1012628).
  - erofs: handle NONHEAD !delta[1] lclusters gracefully
    (bsc#1012628).
  - dlm: fix dlm_recover_members refcount on error (bsc#1012628).
  - eth: fbnic: don't disable the PCI device twice (bsc#1012628).
  - net: txgbe: remove GPIO interrupt controller (bsc#1012628).
  - net: txgbe: fix null pointer to pcs (bsc#1012628).
  - netpoll: Use rcu_access_pointer() in netpoll_poll_lock
    (bsc#1012628).
  - wireguard: selftests: load nf_conntrack if not present
    (bsc#1012628).
  - bpf: fix recursive lock when verdict program return SK_PASS
    (bsc#1012628).
  - unicode: Fix utf8_load() error path (bsc#1012628).
  - cppc_cpufreq: Use desired perf if feedback ctrs are 0 or
    unchanged (bsc#1012628).
  - RDMA/core: Provide rdma_user_mmap_disassociate() to disassociate
    mmap pages (bsc#1012628).
  - RDMA/hns: Disassociate mmap pages for all uctx when HW is
    being reset (bsc#1012628).
  - pinctrl: renesas: rzg2l: Fix missing return in
    rzg2l_pinctrl_register() (bsc#1012628).
  - clk: mediatek: drop two dead config options (bsc#1012628).
  - trace/trace_event_perf: remove duplicate samples on the first
    tracepoint event (bsc#1012628).
  - pinctrl: zynqmp: drop excess struct member description
    (bsc#1012628).
  - pinctrl: renesas: Select PINCTRL_RZG2L for RZ/V2H(P) SoC
    (bsc#1012628).
  - clk: qcom: videocc-sm8550: depend on either gcc-sm8550 or
    gcc-sm8650 (bsc#1012628).
  - iommu/s390: Implement blocking domain (bsc#1012628).
  - scsi: hisi_sas: Enable all PHYs that are not disabled by user
    during controller reset (bsc#1012628).
  - powerpc/vdso: Flag VDSO64 entry points as functions
    (bsc#1012628).
  - mfd: tps65010: Use IRQF_NO_AUTOEN flag in request_irq() to
    fix race (bsc#1012628).
  - mfd: da9052-spi: Change read-mask to write-mask (bsc#1012628).
  - mfd: intel_soc_pmic_bxtwc: Use IRQ domain for USB Type-C device
    (bsc#1012628).
  - mfd: intel_soc_pmic_bxtwc: Use IRQ domain for TMU device
    (bsc#1012628).
  - mfd: intel_soc_pmic_bxtwc: Use IRQ domain for PMIC devices
    (bsc#1012628).
  - mfd: intel_soc_pmic_bxtwc: Fix IRQ domain names duplication
    (bsc#1012628).
  - cpufreq: loongson2: Unregister platform_driver on failure
    (bsc#1012628).
  - powerpc/fadump: Refactor and prepare fadump_cma_init for late
    init (bsc#1012628).
  - powerpc/fadump: Move fadump_cma_init to setup_arch() after
    initmem_init() (bsc#1012628).
  - mtd: hyperbus: rpc-if: Add missing MODULE_DEVICE_TABLE
    (bsc#1012628).
  - mtd: rawnand: atmel: Fix possible memory leak (bsc#1012628).
  - clk: Allow kunit tests to run without OF_OVERLAY enabled
    (bsc#1012628).
  - powerpc/mm/fault: Fix kfence page fault reporting (bsc#1012628).
  - iommu/tegra241-cmdqv: Staticize cmdqv_debugfs_dir (bsc#1012628).
  - clk: sophgo: avoid integer overflow in sg2042_pll_recalc_rate()
    (bsc#1012628).
  - mtd: spi-nor: spansion: Use nor->addr_nbytes in octal DTR mode
    in RD_ANY_REG_OP (bsc#1012628).
  - powerpc/pseries: Fix dtl_access_lock to be a rw_semaphore
    (bsc#1012628).
  - cpufreq: CPPC: Fix possible null-ptr-deref for
    cpufreq_cpu_get_raw() (bsc#1012628).
  - cpufreq: CPPC: Fix possible null-ptr-deref for
    cppc_get_cpu_cost() (bsc#1012628).
  - iommu/amd/pgtbl_v2: Take protection domain lock before
    invalidating TLB (bsc#1012628).
  - RDMA/hns: Fix an AEQE overflow error caused by untimely update
    of eq_db_ci (bsc#1012628).
  - RDMA/hns: Fix flush cqe error when racing with destroy qp
    (bsc#1012628).
  - RDMA/hns: Modify debugfs name (bsc#1012628).
  - RDMA/hns: Use dev_* printings in hem code instead of ibdev_*
    (bsc#1012628).
  - RDMA/hns: Fix cpu stuck caused by printings during reset
    (bsc#1012628).
  - RDMA/rxe: Fix the qp flush warnings in req (bsc#1012628).
  - RDMA/bnxt_re: Check cqe flags to know imm_data vs inv_irkey
    (bsc#1012628).
  - clk: sunxi-ng: d1: Fix PLL_AUDIO0 preset (bsc#1012628).
  - clk: renesas: rzg2l: Fix FOUTPOSTDIV clk (bsc#1012628).
  - RDMA/rxe: Set queue pair cur_qp_state when being queried
    (bsc#1012628).
  - RDMA/mlx5: Call dev_put() after the blocking notifier
    (bsc#1012628).
  - RDMA/core: Implement RoCE GID port rescan and export delete
    function (bsc#1012628).
  - RDMA/mlx5: Ensure active slave attachment to the bond IB device
    (bsc#1012628).
  - RISC-V: KVM: Fix APLIC in_clrip and clripnum write emulation
    (bsc#1012628).
  - riscv: kvm: Fix out-of-bounds array access (bsc#1012628).
  - clk: imx: lpcg-scu: SW workaround for errata (e10858)
    (bsc#1012628).
  - clk: imx: fracn-gppll: correct PLL initialization flow
    (bsc#1012628).
  - clk: imx: fracn-gppll: fix pll power up (bsc#1012628).
  - clk: imx: clk-scu: fix clk enable state save and restore
    (bsc#1012628).
  - clk: imx: imx8-acm: Fix return value check in
    clk_imx_acm_attach_pm_domains() (bsc#1012628).
  - iommu/vt-d: Fix checks and print in dmar_fault_dump_ptes()
    (bsc#1012628).
  - iommu/vt-d: Fix checks and print in pgtable_walk()
    (bsc#1012628).
  - checkpatch: always parse orig_commit in fixes tag (bsc#1012628).
  - mfd: rt5033: Fix missing regmap_del_irq_chip() (bsc#1012628).
  - leds: max5970: Fix unreleased fwnode_handle in probe function
    (bsc#1012628).
  - leds: ktd2692: Set missing timing properties (bsc#1012628).
  - fs/proc/kcore.c: fix coccinelle reported ERROR instances
    (bsc#1012628).
  - scsi: target: Fix incorrect function name in
    pscsi_create_type_disk() (bsc#1012628).
  - scsi: bfa: Fix use-after-free in bfad_im_module_exit()
    (bsc#1012628).
  - scsi: fusion: Remove unused variable 'rc' (bsc#1012628).
  - scsi: qedf: Fix a possible memory leak in
    qedf_alloc_and_init_sb() (bsc#1012628).
  - scsi: qedi: Fix a possible memory leak in
    qedi_alloc_and_init_sb() (bsc#1012628).
  - scsi: sg: Enable runtime power management (bsc#1012628).
  - x86/tdx: Introduce wrappers to read and write TD metadata
    (bsc#1012628).
  - x86/tdx: Rename tdx_parse_tdinfo() to tdx_setup() (bsc#1012628).
  - x86/tdx: Dynamically disable SEPT violations from causing #VEs
    (bsc#1012628).
  - powerpc/fadump: allocate memory for additional parameters early
    (bsc#1012628).
  - fadump: reserve param area if below boot_mem_top (bsc#1012628).
  - RDMA/hns: Fix out-of-order issue of requester when setting FENCE
    (bsc#1012628).
  - RDMA/hns: Fix NULL pointer derefernce in hns_roce_map_mr_sg()
    (bsc#1012628).
  - cpufreq: loongson3: Check for error code from devm_mutex_init()
    call (bsc#1012628).
  - cpufreq: CPPC: Fix wrong return value in cppc_get_cpu_cost()
    (bsc#1012628).
  - cpufreq: CPPC: Fix wrong return value in cppc_get_cpu_power()
    (bsc#1012628).
  - kasan: move checks to do_strncpy_from_user (bsc#1012628).
  - kunit: skb: use "gfp" variable instead of hardcoding GFP_KERNEL
    (bsc#1012628).
  - ocfs2: fix uninitialized value in ocfs2_file_read_iter()
    (bsc#1012628).
  - zram: ZRAM_DEF_COMP should depend on ZRAM (bsc#1012628).
  - iommu/tegra241-cmdqv: Fix alignment failure at max_n_shift
    (bsc#1012628).
  - dax: delete a stale directory pmem (bsc#1012628).
  - KVM: PPC: Book3S HV: Stop using vc->dpdes for nested KVM guests
    (bsc#1012628).
  - KVM: PPC: Book3S HV: Avoid returning to nested hypervisor on
    pending doorbells (bsc#1012628).
  - powerpc/sstep: make emulate_vsx_load and emulate_vsx_store
    static (bsc#1012628).
  - RDMA/hns: Fix different dgids mapping to the same dip_idx
    (bsc#1012628).
  - KVM: PPC: Book3S HV: Fix kmv -> kvm typo (bsc#1012628).
  - powerpc/kexec: Fix return of uninitialized variable
    (bsc#1012628).
  - fbdev: sh7760fb: Fix a possible memory leak in
    sh7760fb_alloc_mem() (bsc#1012628).
  - RDMA/mlx5: Move events notifier registration to be after device
    registration (bsc#1012628).
  - clk: clk-apple-nco: Add NULL check in applnco_probe
    (bsc#1012628).
  - clk: ralink: mtmips: fix clock plan for Ralink SoC RT3883
    (bsc#1012628).
  - clk: ralink: mtmips: fix clocks probe order in oldest ralink
    SoCs (bsc#1012628).
  - clk: en7523: remove REG_PCIE*_{MEM,MEM_MASK} configuration
    (bsc#1012628).
  - clk: en7523: move clock_register in hw_init callback
    (bsc#1012628).
  - clk: en7523: introduce chip_scu regmap (bsc#1012628).
  - clk: en7523: fix estimation of fixed rate for EN7581
    (bsc#1012628).
  - dt-bindings: clock: axi-clkgen: include AXI clk (bsc#1012628).
  - clk: clk-axi-clkgen: make sure to enable the AXI bus clock
    (bsc#1012628).
  - zram: permit only one post-processing operation at a time
    (bsc#1012628).
  - zram: fix NULL pointer in comp_algorithm_show() (bsc#1012628).
  - RDMA/bnxt_re: Correct the sequence of device suspend
    (bsc#1012628).
  - arm64: dts: qcom: sc8180x: Add a SoC-specific compatible to
    cpufreq-hw (bsc#1012628).
  - pinctrl: k210: Undef K210_PC_DEFAULT (bsc#1012628).
  - rtla/timerlat: Do not set params->user_workload with -U
    (bsc#1012628).
  - smb: cached directories can be more than root file handle
    (bsc#1012628).
  - mailbox: mtk-cmdq: fix wrong use of sizeof in cmdq_get_clocks()
    (bsc#1012628).
  - mailbox: arm_mhuv2: clean up loop in get_irq_chan_comb()
    (bsc#1012628).
  - x86: fix off-by-one in access_ok() (bsc#1012628).
  - perf cs-etm: Don't flush when packet_queue fills up
    (bsc#1012628).
  - gfs2: Rename GLF_VERIFY_EVICT to GLF_VERIFY_DELETE
    (bsc#1012628).
  - gfs2: Allow immediate GLF_VERIFY_DELETE work (bsc#1012628).
  - gfs2: Fix unlinked inode cleanup (bsc#1012628).
  - perf mem: Fix printing PERF_MEM_LVLNUM_{L2_MHB|MSC}
    (bsc#1012628).
  - dt-bindings: PCI: mediatek-gen3: Allow exact number of clocks
    only (bsc#1012628).
  - PCI: Fix reset_method_store() memory leak (bsc#1012628).
  - perf jevents: Don't stop at the first matched pmu when searching
    a events table (bsc#1012628).
  - perf stat: Close cork_fd when create_perf_stat_counter() failed
    (bsc#1012628).
  - perf stat: Fix affinity memory leaks on error path
    (bsc#1012628).
  - perf trace: Keep exited threads for summary (bsc#1012628).
  - perf test attr: Add back missing topdown events (bsc#1012628).
  - rust: rbtree: fix `SAFETY` comments that should be `# Safety`
    sections (bsc#1012628).
  - f2fs: compress: fix inconsistent update of i_blocks
    in release_compress_blocks and reserve_compress_blocks
    (bsc#1012628).
  - f2fs: fix null-ptr-deref in f2fs_submit_page_bio()
    (bsc#1012628).
  - mailbox, remoteproc: k3-m4+: fix compile testing (bsc#1012628).
  - f2fs: fix to account dirty data in __get_secs_required()
    (bsc#1012628).
  - perf dso: Fix symtab_type for kmod compression (bsc#1012628).
  - perf disasm: Fix capstone memory leak (bsc#1012628).
  - perf probe: Fix libdw memory leak (bsc#1012628).
  - perf probe: Correct demangled symbols in C++ program
    (bsc#1012628).
  - rust: kernel: fix THIS_MODULE header path in ThisModule doc
    comment (bsc#1012628).
  - rust: macros: fix documentation of the paste! macro
    (bsc#1012628).
  - PCI: cpqphp: Fix PCIBIOS_* return value confusion (bsc#1012628).
  - rust: block: fix formatting of `kernel::block::mq::request`
    module (bsc#1012628).
  - perf disasm: Use disasm_line__free() to properly free
    disasm_line (bsc#1012628).
  - perf disasm: Fix not cleaning up disasm_line in
    symbol__disassemble_raw() (bsc#1012628).
  - virtiofs: use pages instead of pointer for kernel direct IO
    (bsc#1012628).
  - perf ftrace latency: Fix unit on histogram first entry when
    using --use-nsec (bsc#1012628).
  - i3c: master: Remove i3c_dev_disable_ibi_locked(olddev) on
    device hotjoin (bsc#1012628).
  - f2fs: fix the wrong f2fs_bug_on condition in
    f2fs_do_replace_block (bsc#1012628).
  - f2fs: check curseg->inited before write_sum_page in
    change_curseg (bsc#1012628).
  - f2fs: Fix not used variable 'index' (bsc#1012628).
  - f2fs: fix to avoid potential deadlock in
    f2fs_record_stop_reason() (bsc#1012628).
  - f2fs: fix to avoid use GC_AT when setting gc_mode as
    GC_URGENT_LOW or GC_URGENT_MID (bsc#1012628).
  - PCI: qcom: Enable MSI interrupts together with Link up if
    'Global IRQ' is supported (bsc#1012628).
  - PCI: qcom-ep: Move controller cleanups to
    qcom_pcie_perst_deassert() (bsc#1012628).
  - PCI: tegra194: Move controller cleanups to
    pex_ep_event_pex_rst_deassert() (bsc#1012628).
  - PCI: j721e: Deassert PERST# after a delay of PCIE_T_PVPERL_MS
    milliseconds (bsc#1012628).
  - perf build: Add missing cflags when building with custom
    libtraceevent (bsc#1012628).
  - f2fs: fix race in concurrent f2fs_stop_gc_thread (bsc#1012628).
  - f2fs: fix to map blocks correctly for direct write
    (bsc#1012628).
  - f2fs: fix to avoid forcing direct write to use buffered IO on
    inline_data inode (bsc#1012628).
  - perf trace: avoid garbage when not printing a trace event's
    arguments (bsc#1012628).
  - m68k: mcfgpio: Fix incorrect register offset for CONFIG_M5441x
    (bsc#1012628).
  - m68k: coldfire/device.c: only build FEC when HW macros are
    defined (bsc#1012628).
  - svcrdma: Address an integer overflow (bsc#1012628).
  - nfsd: drop inode parameter from nfsd4_change_attribute()
    (bsc#1012628).
  - perf list: Fix topic and pmu_name argument order (bsc#1012628).
  - perf trace: Fix tracing itself, creating feedback loops
    (bsc#1012628).
  - perf trace: Do not lose last events in a race (bsc#1012628).
  - perf trace: Avoid garbage when not printing a syscall's
    arguments (bsc#1012628).
  - remoteproc: qcom: pas: Remove subdevs on the error path of
    adsp_probe() (bsc#1012628).
  - remoteproc: qcom: adsp: Remove subdevs on the error path of
    adsp_probe() (bsc#1012628).
  - remoteproc: qcom: pas: add minidump_id to SM8350 resources
    (bsc#1012628).
  - rpmsg: glink: use only lower 16-bits of param2 for CMD_OPEN
    name length (bsc#1012628).
  - remoteproc: qcom_q6v5_mss: Re-order writes to the IMEM region
    (bsc#1012628).
  - PCI: endpoint: epf-mhi: Avoid NULL dereference if DT lacks
    'mmio' (bsc#1012628).
  - NFSD: Prevent NULL dereference in nfsd4_process_cb_update()
    (bsc#1012628).
  - NFSD: Cap the number of bytes copied by nfs4_reset_recoverydir()
    (bsc#1012628).
  - nfsd: release svc_expkey/svc_export with rcu_work (bsc#1012628).
  - svcrdma: fix miss destroy percpu_counter in svc_rdma_proc_init()
    (bsc#1012628).
  - NFSD: Fix nfsd4_shutdown_copy() (bsc#1012628).
  - nfs_common: must not hold RCU while calling nfsd_file_put_local
    (bsc#1012628).
  - f2fs: fix to do cast in F2FS_{BLK_TO_BYTES, BTYES_TO_BLK}
    to avoid overflow (bsc#1012628).
  - perf bpf-filter: Return -ENOMEM directly when pfi allocation
    fails (bsc#1012628).
  - hwmon: (tps23861) Fix reporting of negative temperatures
    (bsc#1012628).
  - hwmon: (aquacomputer_d5next) Fix length of speed_input array
    (bsc#1012628).
  - phy: airoha: Fix REG_CSR_2L_PLL_CMN_RESERVE0 config in
    airoha_pcie_phy_init_clk_out() (bsc#1012628).
  - phy: airoha: Fix REG_PCIE_PMA_TX_RESET config in
    airoha_pcie_phy_init_csr_2l() (bsc#1012628).
  - phy: airoha: Fix REG_CSR_2L_JCPLL_SDM_HREN config in
    airoha_pcie_phy_init_ssc_jcpll() (bsc#1012628).
  - phy: airoha: Fix REG_CSR_2L_RX{0,1}_REV0 definitions
    (bsc#1012628).
  - vdpa/mlx5: Fix suboptimal range on iotlb iteration
    (bsc#1012628).
  - vfio/mlx5: Fix an unwind issue in mlx5vf_add_migration_pages()
    (bsc#1012628).
  - vfio/mlx5: Fix unwind flows in
    mlx5vf_pci_save/resume_device_data() (bsc#1012628).
  - selftests/mount_setattr: Fix failures on 64K PAGE_SIZE kernels
    (bsc#1012628).
  - gpio: zevio: Add missed label initialisation (bsc#1012628).
  - vfio/pci: Properly hide first-in-list PCIe extended capability
    (bsc#1012628).
  - fs_parser: update mount_api doc to match function signature
    (bsc#1012628).
  - LoongArch: Fix build failure with GCC 15 (-std=gnu23)
    (bsc#1012628).
  - LoongArch: BPF: Sign-extend return values (bsc#1012628).
  - power: supply: core: Remove might_sleep() from
    power_supply_put() (bsc#1012628).
  - power: supply: bq27xxx: Fix registers of bq27426 (bsc#1012628).
  - power: supply: rt9471: Fix wrong WDT function regfield
    declaration (bsc#1012628).
  - power: supply: rt9471: Use IC status regfield to report real
    charger status (bsc#1012628).
  - fs/ntfs3: Equivalent transition from page to folio
    (bsc#1012628).
  - power: reset: ep93xx: add AUXILIARY_BUS dependency
    (bsc#1012628).
  - net: usb: lan78xx: Fix double free issue with interrupt buffer
    allocation (bsc#1012628).
  - net: usb: lan78xx: Fix memory leak on device unplug by freeing
    PHY device (bsc#1012628).
  - tg3: Set coherent DMA mask bits to 31 for BCM57766 chipsets
    (bsc#1012628).
  - net: usb: lan78xx: Fix refcounting and autosuspend on invalid
    WoL configuration (bsc#1012628).
  - net: microchip: vcap: Add typegroup table terminators in kunit
    tests (bsc#1012628).
  - netlink: fix false positive warning in extack during dumps
    (bsc#1012628).
  - exfat: fix file being changed by unaligned direct write
    (bsc#1012628).
  - net/l2tp: fix warning in l2tp_exit_net found by syzbot
    (bsc#1012628).
  - s390/iucv: MSG_PEEK causes memory leak in iucv_sock_destruct()
    (bsc#1012628).
  - rtase: Refactor the rtase_check_mac_version_valid() function
    (bsc#1012628).
  - rtase: Correct the speed for RTL907XD-V1 (bsc#1012628).
  - rtase: Corrects error handling of the
    rtase_check_mac_version_valid() (bsc#1012628).
  - net/ipv6: delete temporary address if mngtmpaddr is removed
    or unmanaged (bsc#1012628).
  - net: mdio-ipq4019: add missing error check (bsc#1012628).
  - marvell: pxa168_eth: fix call balance of pep->clk handling
    routines (bsc#1012628).
  - net: stmmac: dwmac-socfpga: Set RX watchdog interrupt as broken
    (bsc#1012628).
  - octeontx2-af: RPM: Fix mismatch in lmac type (bsc#1012628).
  - octeontx2-af: RPM: Fix low network performance (bsc#1012628).
  - octeontx2-af: RPM: fix stale RSFEC counters (bsc#1012628).
  - octeontx2-af: RPM: fix stale FCFEC counters (bsc#1012628).
  - octeontx2-af: Quiesce traffic before NIX block reset
    (bsc#1012628).
  - spi: atmel-quadspi: Fix register name in verbose logging
    function (bsc#1012628).
  - net: hsr: fix hsr_init_sk() vs network/transport headers
    (bsc#1012628).
  - bnxt_en: Reserve rings after PCIe AER recovery if NIC interface
    is down (bsc#1012628).
  - bnxt_en: Set backplane link modes correctly for ethtool
    (bsc#1012628).
  - bnxt_en: Fix queue start to update vnic RSS table (bsc#1012628).
  - bnxt_en: Fix receive ring space parameters when XDP is active
    (bsc#1012628).
  - bnxt_en: Refactor bnxt_ptp_init() (bsc#1012628).
  - bnxt_en: Unregister PTP during PCI shutdown and suspend
    (bsc#1012628).
  - Bluetooth: MGMT: Fix slab-use-after-free Read in
    set_powered_sync (bsc#1012628).
  - Bluetooth: MGMT: Fix possible deadlocks (bsc#1012628).
  - llc: Improve setsockopt() handling of malformed user input
    (bsc#1012628).
  - rxrpc: Improve setsockopt() handling of malformed user input
    (bsc#1012628).
  - tcp: Fix use-after-free of nreq in reqsk_timer_handler()
    (bsc#1012628).
  - ip6mr: fix tables suspicious RCU usage (bsc#1012628).
  - ipmr: fix tables suspicious RCU usage (bsc#1012628).
  - iio: light: al3010: Fix an error handling path in al3010_probe()
    (bsc#1012628).
  - usb: using mutex lock and supporting O_NONBLOCK flag in
    iowarrior_read() (bsc#1012628).
  - usb: yurex: make waiting on yurex_write interruptible
    (bsc#1012628).
  - USB: chaoskey: fail open after removal (bsc#1012628).
  - USB: chaoskey: Fix possible deadlock chaoskey_list_lock
    (bsc#1012628).
  - misc: apds990x: Fix missing pm_runtime_disable() (bsc#1012628).
  - devres: Fix page faults when tracing devres from unloaded
    modules (bsc#1012628).
  - usb: gadget: uvc: wake pump everytime we update the free list
    (bsc#1012628).
  - interconnect: qcom: icc-rpmh: probe defer incase of missing
    QoS clock dependency (bsc#1012628).
  - iio: backend: fix wrong pointer passed to IS_ERR()
    (bsc#1012628).
  - iio: adc: ad4000: fix reading unsigned data (bsc#1012628).
  - iio: adc: ad4000: Check for error code from devm_mutex_init()
    call (bsc#1012628).
  - iio: adc: pac1921: Check for error code from devm_mutex_init()
    call (bsc#1012628).
  - iio: accel: adxl380: fix raw sample read (bsc#1012628).
  - phy: realtek: usb: fix NULL deref in rtk_usb2phy_probe
    (bsc#1012628).
  - phy: realtek: usb: fix NULL deref in rtk_usb3phy_probe
    (bsc#1012628).
  - counter: stm32-timer-cnt: Add check for clk_enable()
    (bsc#1012628).
  - counter: ti-ecap-capture: Add check for clk_enable()
    (bsc#1012628).
  - bus: mhi: host: Switch trace_mhi_gen_tre fields to native endian
    (bsc#1012628).
  - usb: typec: fix potential array underflow in
    ucsi_ccg_sync_control() (bsc#1012628).
  - firmware_loader: Fix possible resource leak in
    fw_log_firmware_info() (bsc#1012628).
  - ALSA: hda/realtek: Update ALC256 depop procedure (bsc#1012628).
  - drm/radeon: Fix spurious unplug event on radeon HDMI
    (bsc#1012628).
  - drm/amd/display: Fix null check for pipe_ctx->plane_state in
    dcn20_program_pipe (bsc#1012628).
  - drm/amd/display: Fix null check for pipe_ctx->plane_state in
    hwss_setup_dpp (bsc#1012628).
  - ASoC: imx-audmix: Add NULL check in imx_audmix_probe
    (bsc#1012628).
  - drm/xe/ufence: Wake up waiters after setting ufence->signalled
    (bsc#1012628).
  - apparmor: fix 'Do simple duplicate message elimination'
    (bsc#1012628).
  - ALSA: core: Fix possible NULL dereference caused by
    kunit_kzalloc() (bsc#1012628).
  - ASoC: amd: yc: Fix for enabling DMIC on acp6x via _DSD entry
    (bsc#1012628).
  - ASoC: mediatek: Check num_codecs is not zero to avoid panic
    during probe (bsc#1012628).
  - s390/pci: Fix potential double remove of hotplug slot
    (bsc#1012628).
  - f2fs: fix fiemap failure issue when page size is 16KB
    (bsc#1012628).
  - net_sched: sch_fq: don't follow the fast path if Tx is behind
    now (bsc#1012628).
  - xen: Fix the issue of resource not being properly released in
    xenbus_dev_probe() (bsc#1012628).
  - ALSA: usb-audio: Fix potential out-of-bound accesses for Extigy
    and Mbox devices (bsc#1012628).
  - ALSA: usb-audio: Fix out of bounds reads when finding clock
    sources (bsc#1012628).
  - usb: ehci-spear: fix call balance of sehci clk handling routines
    (bsc#1012628).
  - usb: typec: ucsi: glink: fix off-by-one in connector_status
    (bsc#1012628).
  - xfs: fix simplify extent lookup in xfs_can_free_eofblocks
    (bsc#1012628).
  - ext4: supress data-race warnings in
    ext4_free_inodes_{count,set}() (bsc#1012628).
  - ext4: fix FS_IOC_GETFSMAP handling (bsc#1012628).
  - MAINTAINERS: update location of media main tree (bsc#1012628).
  - docs: media: update location of the media patches (bsc#1012628).
  - jfs: xattr: check invalid xattr size more strictly
    (bsc#1012628).
  - ASoC: amd: yc: Add a quirk for microfone on Lenovo ThinkPad
    P14s Gen 5 21MES00B00 (bsc#1012628).
  - ASoC: codecs: Fix atomicity violation in
    snd_soc_component_get_drvdata() (bsc#1012628).
  - ASoC: da7213: Populate max_register to regmap_config
    (bsc#1012628).
  - perf/x86/intel/pt: Fix buffer full but size is 0 case
    (bsc#1012628).
  - crypto: x86/aegis128 - access 32-bit arguments as 32-bit
    (bsc#1012628).
  - KVM: x86: switch hugepage recovery thread to vhost_task
    (bsc#1012628).
  - KVM: x86/mmu: Skip the "try unsync" path iff the old SPTE was
    a leaf SPTE (bsc#1012628).
  - KVM: x86: add back X86_LOCAL_APIC dependency (bsc#1012628).
  - KVM: x86: Break CONFIG_KVM_X86's direct dependency on KVM_INTEL
    || KVM_AMD (bsc#1012628).
  - powerpc/pseries: Fix KVM guest detection for disabling
    hardlockup detector (bsc#1012628).
  - KVM: arm64: vgic-v3: Sanitise guest writes to GICR_INVLPIR
    (bsc#1012628).
  - KVM: arm64: Ignore PMCNTENSET_EL0 while checking for overflow
    status (bsc#1012628).
  - Revert "KVM: VMX: Move LOAD_IA32_PERF_GLOBAL_CTRL errata
    handling out of setup_vmcs_config()" (bsc#1012628).
  - KVM: arm64: Don't retire aborted MMIO instruction (bsc#1012628).
  - KVM: arm64: vgic-its: Clear ITE when DISCARD frees an ITE
    (bsc#1012628).
  - KVM: arm64: Get rid of userspace_irqchip_in_use (bsc#1012628).
  - KVM: arm64: vgic-its: Add a data length check in vgic_its_save_*
    (bsc#1012628).
  - KVM: arm64: vgic-its: Clear DTE when MAPD unmaps a device
    (bsc#1012628).
  - Compiler Attributes: disable __counted_by for clang < 19.1.3
    (bsc#1012628).
  - PCI: Fix use-after-free of slot->bus on hot remove
    (bsc#1012628).
  - LoongArch: Explicitly specify code model in Makefile
    (bsc#1012628).
  - clk: clk-loongson2: Fix memory corruption bug in struct
    loongson2_clk_provider (bsc#1012628).
  - clk: clk-loongson2: Fix potential buffer overflow in
    flexible-array member access (bsc#1012628).
  - fsnotify: fix sending inotify event with unexpected filename
    (bsc#1012628).
  - fsnotify: Fix ordering of iput() and watched_objects decrement
    (bsc#1012628).
  - comedi: Flush partial mappings in error case (bsc#1012628).
  - apparmor: test: Fix memory leak for aa_unpack_strdup()
    (bsc#1012628).
  - iio: dac: adi-axi-dac: fix wrong register bitfield
    (bsc#1012628).
  - tty: ldsic: fix tty_ldisc_autoload sysctl's proc_handler
    (bsc#1012628).
  - locking/lockdep: Avoid creating new name string literals in
    lockdep_set_subclass() (bsc#1012628).
  - tools/nolibc: s390: include std.h (bsc#1012628).
  - fcntl: make F_DUPFD_QUERY associative (bsc#1012628).
  - pinctrl: qcom: spmi: fix debugfs drive strength (bsc#1012628).
  - dt-bindings: pinctrl: samsung: Fix interrupt constraint for
    variants with fallbacks (bsc#1012628).
  - dt-bindings: iio: dac: ad3552r: fix maximum spi speed
    (bsc#1012628).
  - exfat: fix uninit-value in __exfat_get_dentry_set (bsc#1012628).
  - exfat: fix out-of-bounds access of directory entries
    (bsc#1012628).
  - xhci: Fix control transfer error on Etron xHCI host
    (bsc#1012628).
  - xhci: Combine two if statements for Etron xHCI host
    (bsc#1012628).
  - xhci: Don't perform Soft Retry for Etron xHCI host
    (bsc#1012628).
  - xhci: Don't issue Reset Device command to Etron xHCI host
    (bsc#1012628).
  - Bluetooth: Fix type of len in rfcomm_sock_getsockopt{,_old}()
    (bsc#1012628).
  - usb: xhci: Limit Stop Endpoint retries (bsc#1012628).
  - usb: xhci: Fix TD invalidation under pending Set TR Dequeue
    (bsc#1012628).
  - usb: xhci: Avoid queuing redundant Stop Endpoint commands
    (bsc#1012628).
  - ARM: dts: omap36xx: declare 1GHz OPP as turbo again
    (bsc#1012628).
  - wifi: ath12k: fix warning when unbinding (bsc#1012628).
  - wifi: rtlwifi: Drastically reduce the attempts to read efuse
    in case of failures (bsc#1012628).
  - wifi: nl80211: fix bounds checker error in
    nl80211_parse_sched_scan (bsc#1012628).
  - wifi: ath12k: fix crash when unbinding (bsc#1012628).
  - wifi: brcmfmac: release 'root' node in all execution paths
    (bsc#1012628).
  - Revert "fs: don't block i_writecount during exec" (bsc#1012628).
  - Revert "f2fs: remove unreachable lazytime mount option parsing"
    (bsc#1012628).
  - Revert "usb: gadget: composite: fix OS descriptors w_value
    logic" (bsc#1012628).
  - serial: sh-sci: Clean sci_ports[0] after at earlycon exit
    (bsc#1012628).
  - Revert "serial: sh-sci: Clean sci_ports[0] after at earlycon
    exit" (bsc#1012628).
  - io_uring: fix corner case forgetting to vunmap (bsc#1012628).
  - io_uring: check for overflows in io_pin_pages (bsc#1012628).
  - blk-settings: round down io_opt to physical_block_size
    (bsc#1012628).
  - gpio: exar: set value when external pull-up or pull-down is
    present (bsc#1012628).
  - netfilter: ipset: add missing range check in bitmap_ip_uadt
    (bsc#1012628).
  - spi: Fix acpi deferred irq probe (bsc#1012628).
  - mtd: spi-nor: core: replace dummy buswidth from addr to data
    (bsc#1012628).
  - cpufreq: mediatek-hw: Fix wrong return value in
    mtk_cpufreq_get_cpu_power() (bsc#1012628).
  - cifs: support mounting with alternate password to allow password
    rotation (bsc#1012628).
  - parisc/ftrace: Fix function graph tracing disablement
    (bsc#1012628).
  - RISC-V: Scalar unaligned access emulated on hotplug CPUs
    (bsc#1012628).
  - RISC-V: Check scalar unaligned access on all CPUs (bsc#1012628).
  - ksmbd: fix use-after-free in SMB request handling (bsc#1012628).
  - smb: client: fix NULL ptr deref in crypto_aead_setkey()
    (bsc#1012628).
  - platform/chrome: cros_ec_typec: fix missing fwnode reference
    decrement (bsc#1012628).
  - irqchip/irq-mvebu-sei: Move misplaced select() callback to
    SEI CP domain (bsc#1012628).
  - x86/CPU/AMD: Terminate the erratum_1386_microcode array
    (bsc#1012628).
  - ubi: wl: Put source PEB into correct list if trying locking
    LEB failed (bsc#1012628).
  - um: ubd: Do not use drvdata in release (bsc#1012628).
  - um: net: Do not use drvdata in release (bsc#1012628).
  - dt-bindings: serial: rs485: Fix rs485-rts-delay property
    (bsc#1012628).
  - serial: 8250_fintek: Add support for F81216E (bsc#1012628).
  - serial: 8250: omap: Move pm_runtime_get_sync (bsc#1012628).
  - serial: amba-pl011: Fix RX stall when DMA is used (bsc#1012628).
  - serial: amba-pl011: fix build regression (bsc#1012628).
  - Revert "block, bfq: merge bfq_release_process_ref() into
    bfq_put_cooperator()" (bsc#1012628).
  - mtd: ubi: fix unreleased fwnode_handle in find_volume_fwnode()
    (bsc#1012628).
  - block: Prevent potential deadlock in blk_revalidate_disk_zones()
    (bsc#1012628).
  - um: vector: Do not use drvdata in release (bsc#1012628).
  - sh: cpuinfo: Fix a warning for CONFIG_CPUMASK_OFFSTACK
    (bsc#1012628).
  - iio: gts: Fix uninitialized symbol 'ret' (bsc#1012628).
  - ublk: fix ublk_ch_mmap() for 64K page size (bsc#1012628).
  - arm64: tls: Fix context-switching of tpidrro_el0 when kpti is
    enabled (bsc#1012628).
  - block: fix missing dispatching request when queue is started
    or unquiesced (bsc#1012628).
  - block: fix ordering between checking QUEUE_FLAG_QUIESCED
    request adding (bsc#1012628).
  - block: fix ordering between checking BLK_MQ_S_STOPPED request
    adding (bsc#1012628).
  - blk-mq: Make blk_mq_quiesce_tagset() hold the tag list mutex
    less long (bsc#1012628).
  - gve: Flow steering trigger reset only for timeout error
    (bsc#1012628).
  - HID: wacom: Interpret tilt data from Intuos Pro BT as signed
    values (bsc#1012628).
  - i40e: Fix handling changed priv flags (bsc#1012628).
  - media: wl128x: Fix atomicity violation in fmc_send_cmd()
    (bsc#1012628).
  - media: intel/ipu6: do not handle interrupts when device is
    disabled (bsc#1012628).
  - arm64: dts: mediatek: mt8186-corsola-voltorb: Merge speaker
    codec nodes (bsc#1012628).
  - netdev-genl: Hold rcu_read_lock in napi_get (bsc#1012628).
  - soc: fsl: cpm1: qmc: Set the ret error code on
    platform_get_irq() failure (bsc#1012628).
  - soc: fsl: rcpm: fix missing of_node_put() in
    copy_ippdexpcr1_setting() (bsc#1012628).
  - media: v4l2-core: v4l2-dv-timings: check cvt/gtf result
    (bsc#1012628).
  - x86/mm: Carve out INVLPG inline asm for use by others
    (bsc#1012628).
  - x86/microcode/AMD: Flush patch buffer mapping after application
    (bsc#1012628).
  - ALSA: rawmidi: Fix kvfree() call in spinlock (bsc#1012628).
  - ALSA: ump: Fix evaluation of MIDI 1.0 FB info (bsc#1012628).
  - ALSA: pcm: Add sanity NULL check for the default mmap fault
    handler (bsc#1012628).
  - ALSA: hda/realtek: Update ALC225 depop procedure (bsc#1012628).
  - ALSA: hda/realtek: Set PCBeep to default value for ALC274
    (bsc#1012628).
  - ALSA: hda/realtek: fix mute/micmute LEDs don't work for
    EliteBook X G1i (bsc#1012628).
  - fs/smb/client: implement chmod() for SMB3 POSIX Extensions
    (bsc#1012628).
  - smb: client: fix use-after-free of signing key (bsc#1012628).
  - smb3: request handle caching when caching directories
    (bsc#1012628).
  - smb: client: handle max length for SMB symlinks (bsc#1012628).
  - smb: Don't leak cfid when reconnect races with open_cached_dir
    (bsc#1012628).
  - smb: prevent use-after-free due to open_cached_dir error paths
    (bsc#1012628).
  - smb: During unmount, ensure all cached dir instances drop
    their dentry (bsc#1012628).
  - usb: misc: ljca: set small runtime autosuspend delay
    (bsc#1012628).
  - usb: misc: ljca: move usb_autopm_put_interface() after wait
    for response (bsc#1012628).
  - usb: dwc3: ep0: Don't clear ep0 DWC3_EP_TRANSFER_STARTED
    (bsc#1012628).
  - usb: musb: Fix hardware lockup on first Rx endpoint request
    (bsc#1012628).
  - usb: dwc3: gadget: Add missing check for single port RAM in
    TxFIFO resizing logic (bsc#1012628).
  - usb: dwc3: gadget: Fix checking for number of TRBs left
    (bsc#1012628).
  - usb: dwc3: gadget: Fix looping of queued SG entries
    (bsc#1012628).
  - staging: vchiq_arm: Fix missing refcount decrement in error
    path for fw_node (bsc#1012628).
  - counter: stm32-timer-cnt: fix device_node handling in
    probe_encoder() (bsc#1012628).
  - ublk: fix error code for unsupported command (bsc#1012628).
  - lib: string_helpers: silence snprintf() output truncation
    warning (bsc#1012628).
  - f2fs: fix to do sanity check on node blkaddr in truncate_node()
    (bsc#1012628).
  - ipc: fix memleak if msg_init_ns failed in create_ipc_ns
    (bsc#1012628).
  - Input: cs40l50 - fix wrong usage of INIT_WORK() (bsc#1012628).
  - NFSD: Prevent a potential integer overflow (bsc#1012628).
  - SUNRPC: make sure cache entry active before cache_show
    (bsc#1012628).
  - um: Fix potential integer overflow during physmem setup
    (bsc#1012628).
  - um: Fix the return value of elf_core_copy_task_fpregs
    (bsc#1012628).
  - kfifo: don't include dma-mapping.h in kfifo.h (bsc#1012628).
  - um: ubd: Initialize ubd's disk pointer in ubd_add (bsc#1012628).
  - um: Always dump trace for specified task in show_stack
    (bsc#1012628).
  - NFSv4.0: Fix a use-after-free problem in the asynchronous open()
    (bsc#1012628).
  - nfs/localio: must clear res.replen in nfs_local_read_done
    (bsc#1012628).
  - rtc: st-lpc: Use IRQF_NO_AUTOEN flag in request_irq()
    (bsc#1012628).
  - rtc: abx80x: Fix WDT bit position of the status register
    (bsc#1012628).
  - rtc: check if __rtc_read_time was successful in
    rtc_timer_do_work() (bsc#1012628).
  - ubi: fastmap: wl: Schedule fm_work if wear-leveling pool is
    empty (bsc#1012628).
  - ubifs: Correct the total block count by deducting journal
    reservation (bsc#1012628).
  - ubi: fastmap: Fix duplicate slab cache names while attaching
    (bsc#1012628).
  - ubifs: authentication: Fix use-after-free in
    ubifs_tnc_end_commit (bsc#1012628).
  - jffs2: fix use of uninitialized variable (bsc#1012628).
  - hostfs: Fix the NULL vs IS_ERR() bug for __filemap_get_folio()
    (bsc#1012628).
  - net/9p/usbg: fix handling of the failed kzalloc() memory
    allocation (bsc#1012628).
  - rtc: rzn1: fix BCD to rtc_time conversion errors (bsc#1012628).
  - Revert "nfs: don't reuse partially completed requests in
    nfs_lock_and_join_requests" (bsc#1012628).
  - nvme/multipath: Fix RCU list traversal to use SRCU primitive
    (bsc#1012628).
  - blk-mq: add non_owner variant of start_freeze/unfreeze queue
    APIs (bsc#1012628).
  - block: model freeze & enter queue as lock for supporting lockdep
    (bsc#1012628).
  - block: fix uaf for flush rq while iterating tags (bsc#1012628).
  - block: return unsigned int from bdev_io_min (bsc#1012628).
  - nvme-fabrics: fix kernel crash while shutting down controller
    (bsc#1012628).
  - 9p/xen: fix init sequence (bsc#1012628).
  - 9p/xen: fix release of IRQ (bsc#1012628).
  - perf/arm-smmuv3: Fix lockdep assert in ->event_init()
    (bsc#1012628).
  - perf/arm-cmn: Ensure port and device id bits are set properly
    (bsc#1012628).
  - smb: client: disable directory caching when dir_cache_timeout
    is zero (bsc#1012628).
  - x86/Documentation: Update algo in init_size description of
    boot protocol (bsc#1012628).
  - cifs: Fix parsing native symlinks relative to the export
    (bsc#1012628).
  - cifs: Fix parsing reparse point with native symlink in SMB1
    non-UNICODE session (bsc#1012628).
  - rtc: ab-eoz9: don't fail temperature reads on undervoltage
    notification (bsc#1012628).
  - Rename .data.unlikely to .data..unlikely (bsc#1012628).
  - Rename .data.once to .data..once to fix resetting WARN*_ONCE
    (bsc#1012628).
  - kbuild: deb-pkg: Don't fail if modules.order is missing
    (bsc#1012628).
  - smb: Initialize cfid->tcon before performing network ops
    (bsc#1012628).
  - block: Don't allow an atomic write be truncated in
    blkdev_write_iter() (bsc#1012628).
  - modpost: remove incorrect code in do_eisa_entry() (bsc#1012628).
  - cifs: during remount, make sure passwords are in sync
    (bsc#1012628).
  - cifs: unlock on error in smb3_reconfigure() (bsc#1012628).
  - nfs: ignore SB_RDONLY when mounting nfs (bsc#1012628).
  - sunrpc: clear XPRT_SOCK_UPD_TIMEOUT when reset transport
    (bsc#1012628).
  - SUNRPC: timeout and cancel TLS handshake with -ETIMEDOUT
    (bsc#1012628).
  - sunrpc: fix one UAF issue caused by sunrpc kernel tcp socket
    (bsc#1012628).
  - nfs/blocklayout: Don't attempt unregister for invalid block
    device (bsc#1012628).
  - nfs/blocklayout: Limit repeat device registration on failure
    (bsc#1012628).
  - block, bfq: fix bfqq uaf in bfq_limit_depth() (bsc#1012628).
  - brd: decrease the number of allocated pages which discarded
    (bsc#1012628).
  - sh: intc: Fix use-after-free bug in register_intc_controller()
    (bsc#1012628).
  - tools/power turbostat: Fix trailing '\n' parsing (bsc#1012628).
  - tools/power turbostat: Fix child's argument forwarding
    (bsc#1012628).
  - block: always verify unfreeze lock on the owner task
    (bsc#1012628).
  - block: don't verify IO lock for freeze/unfreeze in
    elevator_init_mq() (bsc#1012628).
  - Update config files.
  - Refresh patches.suse/vfs-add-super_operations-get_inode_dev.
  - Rename to
    patches.kernel.org/6.12.2-218-libbpf-Add-missing-per-arch-include-path.patch.
  - Rename to
    patches.kernel.org/6.12.2-219-selftests-bpf-Add-missing-per-arch-include-pat.patch.
  - Rename to
    patches.kernel.org/6.12.2-732-ALSA-hda-realtek-Enable-speaker-pins-for-Medio.patch.
  - Rename to
    patches.kernel.org/6.12.2-734-ALSA-hda-realtek-Fix-Internal-Speaker-and-Mic-.patch.
  - Rename to
    patches.kernel.org/6.12.2-736-ALSA-hda-realtek-Apply-quirk-for-Medion-E15433.patch.
  - commit c57f383
* Mon Dec 02 2024 mkubecek@suse.cz
  - update to 6.13-rc1
  - drop 7 patches (4 stable, 3 mainline)
    - patches.kernel.org/*
    - patches.rpmify/libbpf-add-missing-per-arch-include-path.patch
    - patches.rpmify/selftests-bpf-add-missing-per-arch-include-path.patch
    - patches.suse/efi-memattr-Ignore-table-if-the-size-is-clearly-bogu.patch
  - refresh
    - patches.rpmify/btf-pahole-j1-option.patch
    - patches.suse/0002-efi-Add-an-EFI_SECURE_BOOT-flag-to-indicate-secure-boot-mode.patch
    - patches.suse/Restore-kABI-for-NVidia-vGPU-driver.patch
    - patches.suse/add-suse-supported-flag.patch
    - patches.suse/btrfs-provide-super_operations-get_inode_dev
    - patches.suse/genksyms-add-override-flag.diff
    - patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch
    - patches.suse/vfs-add-super_operations-get_inode_dev
  - disable ARM architectures (need config update)
  - new  config options
    - General setup
    - CONFIG_PREEMPT_LAZY=n
    - Processor type and features
    - CONFIG_X86_BUS_LOCK_DETECT=y
    - Power management and ACPI options
    - CONFIG_ACPI_EC=y
    - File systems
    - CONFIG_BTRFS_EXPERIMENTAL=n
    - Kernel hacking
    - CONFIG_DEBUG_NET_SMALL_RTNL=n
    - CONFIG_FUNCTION_GRAPH_RETADDR=y
    - CONFIG_FAIL_SKB_REALLOC=n
    - CONFIG_TEST_KALLSYMS=n
    - PCI support
    - CONFIG_PCIE_TPH=y
    - CONFIG_HOTPLUG_PCI_OCTEONEP=y
    - Misc devices
    - CONFIG_MCHP_LAN966X_PCI=n
    - CONFIG_KEBA_LAN9252=m
    - Network device support
    - CONFIG_NET_DSA_MV88E6XXX_LEDS=y
    - CONFIG_NET_VENDOR_HISILICON=y
    - CONFIG_HIBMCGE=m
    - CONFIG_MCTP_TRANSPORT_I2C=m
    - CONFIG_ATH12K_COREDUMP=n
    - CONFIG_RTW88_8821AU=m
    - CONFIG_RTW88_8812AU=m
    - Character devices
    - CONFIG_IPMI_IPMB=m
    - CONFIG_SSIF_IPMI_BMC=m
    - CONFIG_IPMB_DEVICE_INTERFACE=m
    - I2C support
    - CONFIG_I2C_AMD_ASF=m
    - CONFIG_I2C_SLAVE_EEPROM=m
    - CONFIG_I2C_SLAVE_TESTUNIT=n
    - GPIO Support
    - CONFIG_GPIO_ALTERA=m
    - CONFIG_GPIO_GRGPIO=m
    - CONFIG_GPIO_POLARFIRE_SOC=n
    - CONFIG_GPIO_XILINX=m
    - CONFIG_GPIO_MPSSE=m
    - Hardware Monitoring support
    - CONFIG_SENSORS_ISL28022=m
    - CONFIG_SENSORS_NCT7363=m
    - Graphics support
    - CONFIG_DRM_XE_DEBUG_MEMIRQ=n
    - CONFIG_TINYDRM_SHARP_MEMORY=n
    - Sound card support
    - CONFIG_SND_SOC_AMD_LEGACY_SDW_MACH=m
    - CONFIG_SND_SOC_ADAU1373=n
    - CONFIG_SND_SOC_AW88081=n
    - CONFIG_SND_SOC_CS42L84=n
    - CONFIG_SND_SOC_ES8323=n
    - CONFIG_SND_SOC_SMA1307=n
    - CONFIG_SND_SOC_UDA1342=n
    - CONFIG_SND_SOC_NTP8918=n
    - CONFIG_SND_SOC_NTP8835=n
    - USB support
    - CONFIG_TYPEC_MUX_TUSB1046=m
    - X86 Platform Specific Device Drivers
    - CONFIG_AMD_HSMP_ACPI=m
    - CONFIG_AMD_HSMP_PLAT=m
    - CONFIG_AMD_3D_VCACHE=m
    - Industrial I/O support
    - CONFIG_AD7625=n
    - CONFIG_AD7779=n
    - CONFIG_GEHC_PMC_ADC=n
    - CONFIG_AD3552R_HS=n
    - CONFIG_AD8460=n
    - CONFIG_BMI270_I2C=n
    - CONFIG_BMI270_SPI=n
    - CONFIG_SMI240=n
    - CONFIG_VEML3235=n
    - CONFIG_ALS31300=n
    - Misc drivers
    - CONFIG_INPUT_IBM_PANEL=m
    - CONFIG_PTP_1588_CLOCK_VMCLOCK=m
    - CONFIG_PCIE_THERMAL=y
    - CONFIG_MFD_CGBC=n
    - CONFIG_DRM_ACCEL_IVPU_DEBUG=n
    - CONFIG_HID_KYSONA=m
    - CONFIG_VIRTIO_VFIO_PCI_ADMIN_LEGACY=y
    - CONFIG_GPIB=n
    - OF dependent (i386, ppc64le, riscv64)
    - OF_PARTITION=y
    - DRM_PANEL_SAMSUNG_AMS581VF01=n
    - DRM_PANEL_SAMSUNG_AMS639RQ08=n
    - DRM_PANEL_SAMSUNG_S6E88A0_AMS427AP24=n
    - DRM_PANEL_SAMSUNG_S6E3HA8=n
    - DRM_ITE_IT6263=n
    - DRM_TI_TDP158=n
    - PHY_NXP_PTN3222=m
    - i386
    - VIDEO_INTEL_IPU6=m
    - SND_SOC_RT721_SDCA_SDW=m
    - CHROMEOS_OF_HW_PROBER=m
    - ppc64le
    - VPA_PMU=m
    - PPC_FTRACE_OUT_OF_LINE_NUM_RESERVE=32768 (default)
    - HID_BPF=y
    - VIRTIO_VFIO_PCI=m
    - CRYPTO_AES_GCM_P10=m
    - s390x
    - PREEMPT_DYNAMIC=y
    - PTP_S390=m
    - VIRTIO_MEM=m
    - PKEY_UV=m
    - DEBUG_PREEMPT=n
    - PREEMPT_TRACER=n
    - s390x/zfcpdump
    - STATIC_KEYS_SELFTEST=n
    - RISCV_COMBO_SPINLOCKS=y (default)
    - RISCV_ISA_SUPM (default)
    - RISCV_ISA_ZABHA=y
    - RISCV_ISA_ZACAS=y
    - CPUFREQ_VIRT=n (doubt)
    - DWMAC_THEAD=m
    - PINCTRL_TH1520=m
    - VIDEO_RP1_CFE=m
    - RTC_DRV_RENESAS_RTCA3=m
    - VIRTIO_VFIO_PCI=m
    - THEAD_TH1520_MBOX=m
    - RISCV_IOMMU=y
    - THEAD_C900_ACLINT_SSWI=y
  - commit 9227598
* Mon Dec 02 2024 jslaby@suse.cz
  - Revert "Reapply "config: Disable CONFIG_FB_DEVICE (boo#1212947)" (bsc#1232727)"
    This reverts commit e8cb5712703d8923194855900285f2a6c7ac905d.
    Plymouth was reverted [1]. And it won't be fixed that soon.
    > Seriously, couldn't you guys find some consensus about
    > CONFIG_FB_DEVICE that would last more than few months?
    I am not sure why this was reapplied this early. Plymouth was proven to be
    failing in the last couple of submissions. So reapply this once "fixed"
    plymouth lasts in factory at least for two weeks or so :P.
    [1] https://build.opensuse.org/requests/1226916
  - commit 8907447
* Thu Nov 28 2024 mkubecek@suse.cz
  - series.conf: cleanup
  - update mainline references:
    - patches.rpmify/libbpf-add-missing-per-arch-include-path.patch
    - patches.rpmify/selftests-bpf-add-missing-per-arch-include-path.patch
  - update mainline reference and move into sorted section:
    patches.suse/efi-memattr-Ignore-table-if-the-size-is-clearly-bogu.patch
  - commit aa0440d
* Thu Nov 28 2024 tiwai@suse.de
  - ALSA: hda/realtek: Apply quirk for Medion E15433 (bsc#1233298).
  - ALSA: hda/realtek: Enable speaker pins for Medion E15443
    platform (bsc#1233298).
  - ALSA: hda/realtek: Fix Internal Speaker and Mic boost of
    Infinix Y4 Max (bsc#1233298).
  - commit 41aee73
* Thu Nov 28 2024 jslaby@suse.cz
  - Linux 6.12.1 (bsc#1012628).
  - mm/mmap: fix __mmap_region() error handling in rare merge
    failure case (bsc#1012628).
  - media: uvcvideo: Skip parsing frames of type UVC_VS_UNDEFINED
    in uvc_parse_format (bsc#1012628).
  - hv_sock: Initializing vsk->trans to NULL to prevent a dangling
    pointer (bsc#1012628).
  - commit dffe451
* Tue Nov 26 2024 jslaby@suse.cz
  - Reapply "tpm: Disable TPM on tpm2_create_primary() failure"
    This reverts commit e4618c06c97aba6549f91b90b17df143a8ad5e9b. It did not
    help.
  - commit ce69117
* Tue Nov 26 2024 jslaby@suse.cz
  - Revert "tpm: Disable TPM on tpm2_create_primary() failure"
    (bsc#1233752).
  - commit e4618c0
* Fri Nov 22 2024 jslaby@suse.cz
  - Linux 6.12.1 (bsc#1012628).
  - mm/mmap: fix __mmap_region() error handling in rare merge
    failure case (bsc#1012628).
  - media: uvcvideo: Skip parsing frames of type UVC_VS_UNDEFINED
    in uvc_parse_format (bsc#1012628).
  - hv_sock: Initializing vsk->trans to NULL to prevent a dangling
    pointer (bsc#1012628).
  - commit 5e96813
* Wed Nov 20 2024 msuchanek@suse.de
  - Reapply "config: Disable CONFIG_FB_DEVICE (boo#1212947)" (bsc#1232727)
    This reverts commit 86e3964b14ac046411da5642e6eaf68432e2a62b.
    With bsc#1224150 fixed it should be safe to re-disable.
  - commit e8cb571
* Mon Nov 18 2024 msuchanek@suse.de
  - kernel-binary: Enable livepatch package only when livepatch is enabled
    Otherwise the filelist may be empty failing the build (bsc#1218644).
  - commit f730eec
* Mon Nov 18 2024 mkubecek@suse.cz
  - update to 6.12 final
  - refresh configs
  - commit d49eca4
* Sun Nov 17 2024 jslaby@suse.cz
  - Linux 6.11.9 (bsc#1012628).
  - 9p: fix slab cache name creation for real (bsc#1012628).
  - mm: krealloc: Fix MTE false alarm in __do_krealloc
    (bsc#1012628).
  - drm/xe: Don't restart parallel queues multiple times on GT reset
    (bsc#1012628).
  - drm/xe/ufence: Prefetch ufence addr to catch bogus address
    (bsc#1012628).
  - drm/xe: Handle unreliable MMIO reads during forcewake
    (bsc#1012628).
  - drm/xe/guc/ct: Flush g2h worker in case of g2h response timeout
    (bsc#1012628).
  - drm/xe: Enlarge the invalidation timeout from 150 to 500
    (bsc#1012628).
  - bpf: Check validity of link->type in bpf_link_show_fdinfo()
    (bsc#1012628).
  - net: usb: qmi_wwan: add Fibocom FG132 0x0112 composition
    (bsc#1012628).
  - LoongArch: KVM: Mark hrtimer to expire in hard interrupt context
    (bsc#1012628).
  - ASoC: fsl_micfil: Add sample rate constraint (bsc#1012628).
  - LoongArch: Use "Exception return address" to comment ERA
    (bsc#1012628).
  - ASoC: rt722-sdca: increase clk_stop_timeout to fix clock stop
    issue (bsc#1012628).
  - RISCV: KVM: use raw_spinlock for critical section in imsic
    (bsc#1012628).
  - ASoC: codecs: lpass-rx-macro: fix RXn(rx,n) macro for DSM_CTL
    and SEC7 regs (bsc#1012628).
  - HID: lenovo: Add support for Thinkpad X1 Tablet Gen 3 keyboard
    (bsc#1012628).
  - HID: multitouch: Add quirk for Logitech Bolt receiver w/
    Casa touchpad (bsc#1012628).
  - HID: i2c-hid: Delayed i2c resume wakeup for 0x0d42 Goodix
    touchpad (bsc#1012628).
  - afs: Fix lock recursion (bsc#1012628).
  - fs: Fix uninitialized value issue in from_kuid and from_kgid
    (bsc#1012628).
  - netfs: Downgrade i_rwsem for a buffered write (bsc#1012628).
  - ASoC: Intel: soc-acpi: lnl: Add match entry for TM2 laptops
    (bsc#1012628).
  - ASoC: amd: yc: Fix non-functional mic on ASUS E1404FA
    (bsc#1012628).
  - ASoC: amd: yc: Add quirk for ASUS Vivobook S15 M3502RA
    (bsc#1012628).
  - ASoC: codecs: Fix error handling in aw_dev_get_dsp_status
    function (bsc#1012628).
  - ASoC: Intel: avs: Update stream status in a separate thread
    (bsc#1012628).
  - bpf: Fix mismatched RCU unlock flavour in bpf_out_neigh_v6
    (bsc#1012628).
  - bpf: Add sk_is_inet and IS_ICSK check in tls_sw_has_ctx_tx/rx
    (bsc#1012628).
  - virtio_pci: Fix admin vq cleanup by using correct info pointer
    (bsc#1012628).
  - vDPA/ifcvf: Fix pci_read_config_byte() return code handling
    (bsc#1012628).
  - samples/landlock: Fix port parsing in sandboxer (bsc#1012628).
  - nvme: make keep-alive synchronous operation (bsc#1012628).
  - nvme-loop: flush off pending I/O while shutting down loop
    controller (bsc#1012628).
  - drm/xe/query: Increase timestamp width (bsc#1012628).
  - net: phy: mdio-bcm-unimac: Add BCM6846 support (bsc#1012628).
  - powerpc/powernv: Free name on error in opal_event_init()
    (bsc#1012628).
  - drm/amdkfd: Accounting pdd vram_usage for svm (bsc#1012628).
  - nvme-multipath: defer partition scanning (bsc#1012628).
  - ALSA: hda/tas2781: Add new quirk for Lenovo, ASUS, Dell projects
    (bsc#1012628).
  - RDMA/siw: Add sendpage_ok() check to disable MSG_SPLICE_PAGES
    (bsc#1012628).
  - selftests/bpf: Assert link info uprobe_multi count & path_size
    if unset (bsc#1012628).
  - drm/vmwgfx: Limit display layout ioctl array size to
    VMWGFX_NUM_DISPLAY_UNITS (bsc#1012628).
  - sound: Make CONFIG_SND depend on INDIRECT_IOMEM instead of UML
    (bsc#1012628).
  - s390/ap: Fix CCA crypto card behavior within protected execution
    environment (bsc#1012628).
  - crypto: marvell/cesa - Disable hash algorithms (bsc#1012628).
  - crypto: api - Fix liveliness check in crypto_alg_tested
    (bsc#1012628).
  - bpf: use kvzmalloc to allocate BPF verifier environment
    (bsc#1012628).
  - nvme: disable CC.CRIME (NVME_CC_CRIME) (bsc#1012628).
  - iommu/arm-smmu: Clarify MMU-500 CPRE workaround (bsc#1012628).
  - HID: multitouch: Add quirk for HONOR MagicBook Art 14 touchpad
    (bsc#1012628).
  - HID: multitouch: Add support for B2402FVA track point
    (bsc#1012628).
  - block: Fix elevator_get_default() checking for NULL q->tag_set
    (bsc#1012628).
  - nvme: tcp: avoid race between queue_lock lock and destroy
    (bsc#1012628).
  - pinctrl: intel: platform: Add Panther Lake to the list of
    supported (bsc#1012628).
  - pinctrl: aw9523: add missing mutex_destroy (bsc#1012628).
  - irqchip/ocelot: Fix trigger register address (bsc#1012628).
  - nvmet-passthru: clear EUID/NGUID/UUID while using loop target
    (bsc#1012628).
  - selftests/bpf: Verify that sync_linked_regs preserves subreg_def
    (bsc#1012628).
  - 9p: Avoid creating multiple slab caches with the same name
    (bsc#1012628).
  - 9p: v9fs_fid_find: also lookup by inode if not found dentry
    (bsc#1012628).
  - nvme/host: Fix RCU list traversal to use SRCU primitive
    (bsc#1012628).
  - smb: client: Fix use-after-free of network namespace
    (bsc#1012628).
  - commit c1a0e5d
* Fri Nov 15 2024 jslaby@suse.cz
  - Delete
    patches.suse/btrfs-8447-serialize-subvolume-mounts-with-potentially-mi.patch.
    It's been long disabled, so likely unneeded.
  - commit b800548
* Fri Nov 15 2024 jslaby@suse.cz
  - Revert "config: Disable CONFIG_FB_DEVICE (boo#1212947)" (bsc#1232727)
    This reverts commit 7ce7d10b69411d23da9c0097aed0b099ca5c1c13.
    CONFIG_FB_TFT and CONFIG_FB_UDL are kept =n.
  - commit 86e3964
* Fri Nov 15 2024 jslaby@suse.cz
  - selftests: hugetlb_dio: fixup check for initial conditions to
    skip in the start (git-fixes).
  - commit ea994cc
* Thu Nov 14 2024 jslaby@suse.cz
  - Linux 6.11.8 (bsc#1012628).
  - vsock/virtio: Initialization of the dangling pointer occurring
    in vsk->trans (bsc#1012628).
  - hv_sock: Initializing vsk->trans to NULL to prevent a dangling
    pointer (bsc#1012628).
  - xtensa: Emulate one-byte cmpxchg (bsc#1012628).
  - ASoC: amd: yc: fix internal mic on Xiaomi Book Pro 14 2022
    (bsc#1012628).
  - drm/xe/guc/tlb: Flush g2h worker in case of tlb timeout
    (bsc#1012628).
  - drm/xe/ufence: Flush xe ordered_wq in case of ufence timeout
    (bsc#1012628).
  - drm/xe: Move LNL scheduling WA to xe_device.h (bsc#1012628).
  - drm/xe/guc/ct: Flush g2h worker in case of g2h response timeout
    (bsc#1012628).
  - block: fix queue limits checks in blk_rq_map_user_bvec for real
    (bsc#1012628).
  - blacklist.conf: drop it
  - block: rework bio splitting (bsc#1012628).
  - firmware: qcom: scm: suppress download mode error (bsc#1012628).
  - firmware: qcom: scm: Refactor code to support multiple dload
    mode (bsc#1012628).
  - Update config files.
  - selftests: hugetlb_dio: check for initial conditions to skip
    in the start (bsc#1012628).
  - ucounts: fix counter leak in inc_rlimit_get_ucounts()
    (bsc#1012628).
  - ocfs2: remove entry once instead of null-ptr-dereference in
    ocfs2_xa_remove() (bsc#1012628).
  - irqchip/gic-v3: Force propagation of the active state with a
    read-back (bsc#1012628).
  - staging: vchiq_arm: Use devm_kzalloc() for vchiq_arm_state
    allocation (bsc#1012628).
  - staging: vchiq_arm: Use devm_kzalloc() for drv_mgmt allocation
    (bsc#1012628).
  - thunderbolt: Fix connection issue with Pluggable UD-4VPD dock
    (bsc#1012628).
  - clk: qcom: gcc-x1e80100: Fix halt_check for pipediv2 clocks
    (bsc#1012628).
  - clk: qcom: videocc-sm8350: use HW_CTRL_TRIGGER for vcodec GDSCs
    (bsc#1012628).
  - USB: serial: option: add Quectel RG650V (bsc#1012628).
  - USB: serial: option: add Fibocom FG132 0x0112 composition
    (bsc#1012628).
  - USB: serial: qcserial: add support for Sierra Wireless EM86xx
    (bsc#1012628).
  - USB: serial: io_edgeport: fix use after free in debug printk
    (bsc#1012628).
  - usb: typec: fix potential out of bounds in
    ucsi_ccg_update_set_new_cam_cmd() (bsc#1012628).
  - usb: typec: qcom-pmic: init value of hdr_len/txbuf_len earlier
    (bsc#1012628).
  - usb: dwc3: fix fault at system suspend if device was already
    runtime suspended (bsc#1012628).
  - usb: musb: sunxi: Fix accessing an released usb phy
    (bsc#1012628).
  - thunderbolt: Add only on-board retimers when
    !CONFIG_USB4_DEBUGFS_MARGINING (bsc#1012628).
  - mm/thp: fix deferred split unqueue naming and locking
    (bsc#1012628).
  - mm/mlock: set the correct prev on failure (bsc#1012628).
  - mm/damon/core: handle zero schemes apply interval (bsc#1012628).
  - mm/damon/core: handle zero {aggregation,ops_update} intervals
    (bsc#1012628).
  - mm/damon/core: avoid overflow in damon_feed_loop_next_input()
    (bsc#1012628).
  - signal: restore the override_rlimit logic (bsc#1012628).
  - objpool: fix to make percpu slot allocation more robust
    (bsc#1012628).
  - fs/proc: fix compile warning about variable 'vmcore_mmap_ops'
    (bsc#1012628).
  - clk: qcom: clk-alpha-pll: Fix pll post div mask when width is
    not set (bsc#1012628).
  - clk: qcom: gcc-x1e80100: Fix USB MP SS1 PHY GDSC pwrsts flags
    (bsc#1012628).
  - i2c: designware: do not hold SCL low when I2C_DYNAMIC_TAR_UPDATE
    is not set (bsc#1012628).
  - filemap: Fix bounds checking in filemap_read() (bsc#1012628).
  - media: uvcvideo: Skip parsing frames of type UVC_VS_UNDEFINED
    in uvc_parse_format (bsc#1012628).
  - platform/x86/amd/pmf: Add SMU metrics table support for 1Ah
    family 60h model (bsc#1012628).
  - platform/x86/amd/pmf: Update SMU metrics table for 1AH family
    series (bsc#1012628).
  - platform/x86/amd/pmf: Relocate CPU ID macros to the PMF header
    (bsc#1012628).
  - btrfs: reinitialize delayed ref list after deleting it from
    the list (bsc#1012628).
  - btrfs: fix per-subvolume RO/RW flags with new mount API
    (bsc#1012628).
  - btrfs: fix the length of reserved qgroup to free (bsc#1012628).
  - idpf: fix idpf_vc_core_init error path (bsc#1012628).
  - idpf: avoid vport access in idpf_get_link_ksettings
    (bsc#1012628).
  - KVM: PPC: Book3S HV: Mask off LPCR_MER for a vCPU before
    running it to avoid spurious interrupts (bsc#1012628).
  - mm/slab: fix warning caused by duplicate kmem_cache creation
    in kmem_buckets_create (bsc#1012628).
  - arm64: smccc: Remove broken support for SMCCCv1.3 SVE discard
    hint (bsc#1012628).
  - arm64: Kconfig: Make SME depend on BROKEN for now (bsc#1012628).
  - Update config files.
  - arm64/sve: Discard stale CPU state when handling SVE traps
    (bsc#1012628).
  - mptcp: use sock_kfree_s instead of kfree (bsc#1012628).
  - net: vertexcom: mse102x: Fix possible double free of TX skb
    (bsc#1012628).
  - net: wwan: t7xx: Fix off-by-one error in
    t7xx_dpmaif_rx_buf_alloc() (bsc#1012628).
  - tracing: Fix tracefs mount options (bsc#1012628).
  - nfs: Fix KMSAN warning in decode_getfattr_attrs() (bsc#1012628).
  - scsi: ufs: core: Start the RTC update work later (bsc#1012628).
  - ALSA: usb-audio: Add quirk for HP 320 FHD Webcam (bsc#1012628).
  - mptcp: no admin perm to list endpoints (bsc#1012628).
  - dm: fix a crash if blk_alloc_disk fails (bsc#1012628).
  - dm-unstriped: cast an operand to sector_t to prevent potential
    uint32_t overflow (bsc#1012628).
  - dm cache: fix potential out-of-bounds access on the first resume
    (bsc#1012628).
  - dm cache: optimize dirty bit checking with find_next_bit when
    resizing (bsc#1012628).
  - dm cache: fix out-of-bounds access to the dirty bitset when
    resizing (bsc#1012628).
  - dm cache: fix flushing uninitialized delayed_work on cache_ctr
    error (bsc#1012628).
  - dm cache: correct the number of origin blocks to match the
    target length (bsc#1012628).
  - KEYS: trusted: dcp: fix NULL dereference in AEAD crypto
    operation (bsc#1012628).
  - thermal/drivers/qcom/lmh: Remove false lockdep backtrace
    (bsc#1012628).
  - drm/amdgpu: prevent NULL pointer dereference if ATIF is not
    supported (bsc#1012628).
  - drm/amdgpu: Fix DPX valid mode check on GC 9.4.3 (bsc#1012628).
  - drm/amdgpu: Adjust debugfs register access permissions
    (bsc#1012628).
  - drm/amdgpu: add missing size check in
    amdgpu_debugfs_gprwave_read() (bsc#1012628).
  - drm/amdgpu: Adjust debugfs eviction and IB access permissions
    (bsc#1012628).
  - drm/panthor: Be stricter about IO mapping flags (bsc#1012628).
  - drm/panthor: Lock XArray when getting entries for the VM
    (bsc#1012628).
  - drm/amd/display: parse umc_info or vram_info based on ASIC
    (bsc#1012628).
  - drm/amd/pm: correct the workload setting (bsc#1012628).
  - drm/imagination: Break an object reference loop (bsc#1012628).
  - drm/imagination: Add a per-file PVR context list (bsc#1012628).
  - drm/amd/display: Fix brightness level not retained over reboot
    (bsc#1012628).
  - drm/amd/pm: always pick the pptable from IFWI (bsc#1012628).
  - rpmsg: glink: Handle rejected intent request better
    (bsc#1012628).
  - tpm: Lock TPM chip in tpm_pm_suspend() first (bsc#1012628).
  - pwm: imx-tpm: Use correct MODULO value for EPWM mode
    (bsc#1012628).
  - drm/xe: Set mask bits for CCS_MODE register (bsc#1012628).
  - drm/xe: Drop VM dma-resv lock on xe_sync_in_fence_get failure
    in exec IOCTL (bsc#1012628).
  - drm/xe: Fix possible exec queue leak in exec IOCTL
    (bsc#1012628).
  - ksmbd: fix slab-use-after-free in smb3_preauth_hash_rsp
    (bsc#1012628).
  - ksmbd: Fix the missing xa_store error check (bsc#1012628).
  - ksmbd: check outstanding simultaneous SMB operations
    (bsc#1012628).
  - ksmbd: fix slab-use-after-free in ksmbd_smb2_session_create
    (bsc#1012628).
  - can: {cc770,sja1000}_isa: allow building on x86_64
    (bsc#1012628).
  - Update config files. (say =N)
  - can: mcp251xfd: mcp251xfd_ring_alloc(): fix coalescing
    configuration when switching CAN modes (bsc#1012628).
  - can: mcp251xfd: mcp251xfd_get_tef_len(): fix length calculation
    (bsc#1012628).
  - can: m_can: m_can_close(): don't call free_irq() for IRQ-less
    devices (bsc#1012628).
  - media: v4l2-ctrls-api: fix error handling for v4l2_g_ctrl()
    (bsc#1012628).
  - media: v4l2-tpg: prevent the risk of a division by zero
    (bsc#1012628).
  - media: vivid: fix buffer overwrite when using > 32 buffers
    (bsc#1012628).
  - media: pulse8-cec: fix data timestamp at pulse8_setup()
    (bsc#1012628).
  - media: av7110: fix a spectre vulnerability (bsc#1012628).
  - media: cx24116: prevent overflows on SNR calculus (bsc#1012628).
  - media: s5p-jpeg: prevent buffer overflows (bsc#1012628).
  - media: ar0521: don't overflow when checking PLL values
    (bsc#1012628).
  - media: mgb4: protect driver against spectre (bsc#1012628).
  - media: dvb-core: add missing buffer index check (bsc#1012628).
  - ASoC: SOF: sof-client-probes-ipc4: Set param_size extension bits
    (bsc#1012628).
  - ASoC: stm32: spdifrx: fix dma channel release in
    stm32_spdifrx_remove (bsc#1012628).
  - thermal/of: support thermal zones w/o trips subnode
    (bsc#1012628).
  - tools/lib/thermal: Fix sampling handler context ptr
    (bsc#1012628).
  - ALSA: firewire-lib: fix return value on fail in
    amdtp_tscm_init() (bsc#1012628).
  - scsi: sd_zbc: Use kvzalloc() to allocate REPORT ZONES buffer
    (bsc#1012628).
  - media: adv7604: prevent underflow condition when reporting
    colorspace (bsc#1012628).
  - media: dvb_frontend: don't play tricks with underflow values
    (bsc#1012628).
  - media: dvbdev: prevent the risk of out of memory access
    (bsc#1012628).
  - media: stb0899_algo: initialize cfr before using it
    (bsc#1012628).
  - Revert "ALSA: hda/conexant: Mute speakers at suspend / shutdown"
    (bsc#1012628).
  - drivers: net: ionic: add missed debugfs cleanup to ionic_probe()
    error path (bsc#1012628).
  - net/smc: do not leave a dangling sk pointer in __smc_create()
    (bsc#1012628).
  - rxrpc: Fix missing locking causing hanging calls (bsc#1012628).
  - net: arc: rockchip: fix emac mdio node support (bsc#1012628).
  - net: arc: fix the device for dma_map_single/dma_unmap_single
    (bsc#1012628).
  - virtio_net: Update rss when set queue (bsc#1012628).
  - virtio_net: Sync rss config to device when virtnet_probe
    (bsc#1012628).
  - virtio_net: Add hash_key_length check (bsc#1012628).
  - virtio_net: Support dynamic rss indirection table size
    (bsc#1012628).
  - netfilter: nf_tables: wait for rcu grace period on net_device
    removal (bsc#1012628).
  - net: stmmac: Fix unbalanced IRQ wake disable warning on single
    irq case (bsc#1012628).
  - net: phy: ti: add PHY_RST_AFTER_CLK_EN flag (bsc#1012628).
  - net: hns3: fix kernel crash when uninstalling driver
    (bsc#1012628).
  - e1000e: Remove Meteor Lake SMBUS workarounds (bsc#1012628).
  - i40e: fix race condition by adding filter's intermediate sync
    state (bsc#1012628).
  - ice: change q_index variable type to s16 to store -1 value
    (bsc#1012628).
  - can: c_can: fix {rx,tx}_errors statistics (bsc#1012628).
  - net: xilinx: axienet: Enqueue Tx packets in dql before dmaengine
    starts (bsc#1012628).
  - net: enetc: allocate vf_state during PF probes (bsc#1012628).
  - sctp: properly validate chunk size in sctp_sf_ootb()
    (bsc#1012628).
  - dt-bindings: net: xlnx,axi-ethernet: Correct phy-mode property
    value (bsc#1012628).
  - net: dpaa_eth: print FD status in CPU endianness in dpaa_eth_fd
    tracepoint (bsc#1012628).
  - net: enetc: set MAC address to the VF net_device (bsc#1012628).
  - regulator: rtq2208: Fix uninitialized use of regulator_config
    (bsc#1012628).
  - security/keys: fix slab-out-of-bounds in key_task_permission
    (bsc#1012628).
  - nfs: avoid i_lock contention in nfs_clear_invalid_mapping
    (bsc#1012628).
  - NFS: Further fixes to attribute delegation a/mtime changes
    (bsc#1012628).
  - NFS: Fix attribute delegation behaviour on exclusive create
    (bsc#1012628).
  - NFSv3: only use NFS timeout for MOUNT when protocols are
    compatible (bsc#1012628).
  - sunrpc: handle -ENOTCONN in xs_tcp_setup_socket() (bsc#1012628).
  - platform/x86/amd/pmc: Detect when STB is not available
    (bsc#1012628).
  - HID: core: zero-initialize the report buffer (bsc#1012628).
  - arm64: dts: rockchip: Correct GPIO polarity on brcm BT nodes
    (bsc#1012628).
  - ARM: dts: rockchip: Fix the realtek audio codec on rk3036-kylin
    (bsc#1012628).
  - ARM: dts: rockchip: Fix the spi controller on rk3036
    (bsc#1012628).
  - ARM: dts: rockchip: drop grf reference from rk3036 hdmi
    (bsc#1012628).
  - ARM: dts: rockchip: fix rk3036 acodec node (bsc#1012628).
  - arm64: dts: rockchip: remove orphaned pinctrl-names from
    pinephone pro (bsc#1012628).
  - firmware: qcom: scm: Return -EOPNOTSUPP for unsupported SHM
    bridge enabling (bsc#1012628).
  - firmware: arm_scmi: Fix slab-use-after-free in
    scmi_bus_notifier() (bsc#1012628).
  - arm64: dts: imx8mp-phyboard-pollux: Set Video PLL1 frequency
    to 506.8 MHz (bsc#1012628).
  - arm64: dts: imx8mp: correct sdhc ipg clk (bsc#1012628).
  - arm64: dts: imx8-ss-vpu: Fix imx8qm VPU IRQs (bsc#1012628).
  - arm64: dts: qcom: sm8450 fix PIPE clock specification for pcie1
    (bsc#1012628).
  - arm64: dts: rockchip: remove num-slots property from
    rk3328-nanopi-r2s-plus (bsc#1012628).
  - arm64: dts: rockchip: Fix LED triggers on rk3308-roc-cc
    (bsc#1012628).
  - arm64: dts: rockchip: Remove #cooling-cells from fan on
    Theobroma lion (bsc#1012628).
  - arm64: dts: rockchip: Remove undocumented supports-emmc property
    (bsc#1012628).
  - arm64: dts: rockchip: Add DTS for FriendlyARM NanoPi R2S Plus
    (bsc#1012628).
  - arm64: dts: rockchip: Fix bluetooth properties on Rock960 boards
    (bsc#1012628).
  - arm64: dts: rockchip: Fix bluetooth properties on rk3566 box
    demo (bsc#1012628).
  - arm64: dts: rockchip: Drop regulator-init-microvolt from two
    boards (bsc#1012628).
  - arm64: dts: rockchip: fix i2c2 pinctrl-names property on
    anbernic-rg353p/v (bsc#1012628).
  - arm64: dts: rockchip: Fix reset-gpios property on brcm BT nodes
    (bsc#1012628).
  - arm64: dts: rockchip: Fix wakeup prop names on PineNote BT node
    (bsc#1012628).
  - arm64: dts: rockchip: Remove hdmi's 2nd interrupt on rk3328
    (bsc#1012628).
  - EDAC/qcom: Make irq configuration optional (bsc#1012628).
  - firmware: qcom: scm: fix a NULL-pointer dereference
    (bsc#1012628).
  - arm64: dts: rockchip: Designate Turing RK1's system power
    controller (bsc#1012628).
  - arm64: dts: rockchip: Start cooling maps numbering from zero
    on ROCK 5B (bsc#1012628).
  - arm64: dts: rockchip: Move L3 cache outside CPUs in RK3588(S)
    SoC dtsi (bsc#1012628).
  - arm64: dts: rockchip: Fix rt5651 compatible value on
    rk3399-sapphire-excavator (bsc#1012628).
  - arm64: dts: rockchip: Fix rt5651 compatible value on
    rk3399-eaidk-610 (bsc#1012628).
  - commit 099023b
* Thu Nov 14 2024 jslaby@suse.cz
  - Mass CVE update.
  - Update
    patches.kernel.org/6.11.1-008-Bluetooth-btintel_pcie-Allocate-memory-for-dri.patch
    (bsc#1012628 CVE-2024-46869 bsc#1231173).
  - Update
    patches.kernel.org/6.11.1-012-USB-usbtmc-prevent-kernel-usb-infoleak.patch
    (bsc#1012628 CVE-2024-47671 bsc#1231541).
  - Update
    patches.kernel.org/6.11.2-001-wifi-ath11k-use-work-queue-to-process-beacon-t.patch
    (bsc#1012628 CVE-2024-47724 bsc#1232073).
  - Update
    patches.kernel.org/6.11.2-003-wifi-rtw88-always-wait-for-both-firmware-loadi.patch
    (bsc#1012628 CVE-2024-47718 bsc#1232015).
  - Update
    patches.kernel.org/6.11.2-008-crypto-iaa-Fix-potential-use-after-free-bug.patch
    (bsc#1012628 CVE-2024-47732 bsc#1232109).
  - Update
    patches.kernel.org/6.11.2-024-wifi-rtw89-remove-unused-C2H-event-ID-RTW89_MA.patch
    (bsc#1012628 CVE-2024-47721 bsc#1232052).
  - Update
    patches.kernel.org/6.11.2-027-RISC-V-KVM-Don-t-zero-out-PMU-snapshot-area-be.patch
    (bsc#1012628 CVE-2024-47717 bsc#1232041).
  - Update
    patches.kernel.org/6.11.2-033-ARM-9410-1-vfp-Use-asm-volatile-in-fmrx-fmxr-m.patch
    (bsc#1012628 CVE-2024-47716 bsc#1232053).
  - Update
    patches.kernel.org/6.11.2-034-powercap-intel_rapl-Fix-off-by-one-in-get_rpi.patch
    (bsc#1012628 CVE-2024-49862 bsc#1231871).
  - Update
    patches.kernel.org/6.11.2-040-drivers-perf-Fix-ali_drw_pmu-driver-interrupt-.patch
    (bsc#1012628 CVE-2024-47731 bsc#1232117).
  - Update
    patches.kernel.org/6.11.2-046-wifi-mac80211-don-t-use-rate-mask-for-offchann.patch
    (bsc#1012628 CVE-2024-47738 bsc#1232114).
  - Update
    patches.kernel.org/6.11.2-048-wifi-iwlwifi-mvm-set-the-cipher-for-secured-ND.patch
    (bsc#1012628 CVE-2024-49857 bsc#1232203).
  - Update
    patches.kernel.org/6.11.2-073-wifi-mt76-mt7915-fix-oops-on-non-dbdc-mt7986.patch
    (bsc#1012628 CVE-2024-47715 bsc#1231860).
  - Update
    patches.kernel.org/6.11.2-075-wifi-mt76-mt7996-use-hweight16-to-get-correct-.patch
    (bsc#1012628 CVE-2024-47714 bsc#1232018).
  - Update
    patches.kernel.org/6.11.2-080-x86-sgx-Fix-deadlock-in-SGX-NUMA-node-search.patch
    (bsc#1012628 CVE-2024-49856 bsc#1232202).
  - Update
    patches.kernel.org/6.11.2-085-crypto-hisilicon-qm-inject-error-before-stoppi.patch
    (bsc#1012628 CVE-2024-47730 bsc#1232075).
  - Update
    patches.kernel.org/6.11.2-093-wifi-mac80211-use-two-phase-skb-reclamation-in.patch
    (bsc#1012628 CVE-2024-47713 bsc#1232016).
  - Update
    patches.kernel.org/6.11.2-094-wifi-wilc1000-fix-potential-RCU-dereference-is.patch
    (bsc#1012628 CVE-2024-47712 bsc#1232017).
  - Update
    patches.kernel.org/6.11.2-099-af_unix-Don-t-return-OOB-skb-in-manage_oob.patch
    (bsc#1012628 CVE-2024-47711 bsc#1232054).
  - Update
    patches.kernel.org/6.11.2-102-sock_map-Add-a-cond_resched-in-sock_hash_free.patch
    (bsc#1012628 CVE-2024-47710 bsc#1232049).
  - Update
    patches.kernel.org/6.11.2-103-can-bcm-Clear-bo-bcm_proc_read-after-remove_pr.patch
    (bsc#1012628 CVE-2024-47709 bsc#1232048).
  - Update
    patches.kernel.org/6.11.2-112-netkit-Assign-missing-bpf_net_context.patch
    (bsc#1012628 CVE-2024-47708 bsc#1231933).
  - Update
    patches.kernel.org/6.11.2-117-ipv6-avoid-possible-NULL-deref-in-rt6_uncached.patch
    (bsc#1012628 CVE-2024-47707 bsc#1231935).
  - Update
    patches.kernel.org/6.11.2-119-nbd-fix-race-between-timeout-and-normal-comple.patch
    (bsc#1012628 CVE-2024-49855 bsc#1232195).
  - Update
    patches.kernel.org/6.11.2-120-block-bfq-fix-possible-UAF-for-bfqq-bic-with-m.patch
    (bsc#1012628 CVE-2024-47706 bsc#1231942).
  - Update
    patches.kernel.org/6.11.2-127-erofs-handle-overlapped-pclusters-out-of-craft.patch
    (bsc#1012628 CVE-2024-47736 bsc#1232112).
  - Update
    patches.kernel.org/6.11.2-128-block-bfq-fix-uaf-for-accessing-waker_bfqq-aft.patch
    (bsc#1012628 CVE-2024-49854 bsc#1232193).
  - Update
    patches.kernel.org/6.11.2-132-block-fix-potential-invalid-pointer-dereferenc.patch
    (bsc#1012628 CVE-2024-47705 bsc#1231872).
  - Update
    patches.kernel.org/6.11.2-136-firmware-arm_scmi-Fix-double-free-in-OPTEE-tra.patch
    (bsc#1012628 CVE-2024-49853 bsc#1232192).
  - Update
    patches.kernel.org/6.11.2-201-drm-amd-display-Check-link_res-hpo_dp_link_enc.patch
    (bsc#1012628 CVE-2024-47704 bsc#1231944).
  - Update
    patches.kernel.org/6.11.2-202-drm-amd-display-Add-null-check-for-set_output_.patch
    (bsc#1012628 CVE-2024-47720 bsc#1232043).
  - Update
    patches.kernel.org/6.11.2-216-drm-xe-Use-reserved-copy-engine-for-user-binds.patch
    (bsc#1012628 CVE-2024-47729 bsc#1232077).
  - Update
    patches.kernel.org/6.11.2-219-scsi-elx-libefc-Fix-potential-use-after-free-i.patch
    (bsc#1012628 CVE-2024-49852 bsc#1232819).
  - Update
    patches.kernel.org/6.11.2-220-jfs-fix-out-of-bounds-in-dbNextAG-and-diAlloc.patch
    (bsc#1012628 CVE-2024-47723 bsc#1232050).
  - Update
    patches.kernel.org/6.11.2-253-tpm-Clean-up-TPM-space-after-command-failure.patch
    (bsc#1012628 CVE-2024-49851 bsc#1232134).
  - Update
    patches.kernel.org/6.11.2-257-bpf-lsm-Add-check-for-BPF-LSM-return-value.patch
    (bsc#1012628 CVE-2024-47703 bsc#1231946).
  - Update
    patches.kernel.org/6.11.2-263-bpf-Fail-verification-for-sign-extension-of-pa.patch
    (bsc#1012628 CVE-2024-47702 bsc#1231924).
  - Update
    patches.kernel.org/6.11.2-297-bpf-correctly-handle-malformed-BPF_CORE_TYPE_I.patch
    (bsc#1012628 CVE-2024-49850 bsc#1232189).
  - Update
    patches.kernel.org/6.11.2-312-ext4-avoid-OOB-when-system.data-xattr-changes-.patch
    (bsc#1012628 CVE-2024-47701 bsc#1231920).
  - Update
    patches.kernel.org/6.11.2-313-ext4-check-stripe-size-compatibility-on-remoun.patch
    (bsc#1012628 CVE-2024-47700 bsc#1231919).
  - Update
    patches.kernel.org/6.11.2-315-nilfs2-fix-potential-null-ptr-deref-in-nilfs_b.patch
    (bsc#1012628 CVE-2024-47699 bsc#1231916).
  - Update
    patches.kernel.org/6.11.2-317-nilfs2-fix-potential-oob-read-in-nilfs_btree_c.patch
    (bsc#1012628 CVE-2024-47757 bsc#1232187).
  - Update
    patches.kernel.org/6.11.2-320-bpf-Fix-helper-writes-to-read-only-maps.patch
    (bsc#1012628 CVE-2024-49861 bsc#1232254).
  - Update
    patches.kernel.org/6.11.2-322-bpf-Zero-former-ARG_PTR_TO_-LONG-INT-args-in-c.patch
    (bsc#1012628 CVE-2024-47728 bsc#1232076).
  - Update
    patches.kernel.org/6.11.2-364-drivers-media-dvb-frontends-rtl2832-fix-an-out.patch
    (bsc#1012628 CVE-2024-47698 bsc#1231859).
  - Update
    patches.kernel.org/6.11.2-365-drivers-media-dvb-frontends-rtl2830-fix-an-out.patch
    (bsc#1012628 CVE-2024-47697 bsc#1231858).
  - Update
    patches.kernel.org/6.11.2-369-PCI-keystone-Fix-if-statement-expression-in-ks.patch
    (bsc#1012628 CVE-2024-47756 bsc#1232185).
  - Update
    patches.kernel.org/6.11.2-381-RDMA-iwcm-Fix-WARNING-at_kernel-workqueue.c-ch.patch
    (bsc#1012628 CVE-2024-47696 bsc#1231864).
  - Update
    patches.kernel.org/6.11.2-386-media-mediatek-vcodec-Fix-H264-multi-stateless.patch
    (bsc#1012628 CVE-2024-47754 bsc#1232131).
  - Update
    patches.kernel.org/6.11.2-387-media-mediatek-vcodec-Fix-VP8-stateless-decode.patch
    (bsc#1012628 CVE-2024-47753 bsc#1231868).
  - Update
    patches.kernel.org/6.11.2-388-media-mediatek-vcodec-Fix-H264-stateless-decod.patch
    (bsc#1012628 CVE-2024-47752 bsc#1232130).
  - Update
    patches.kernel.org/6.11.2-391-RDMA-rtrs-clt-Reset-cid-to-con_num-1-to-stay-i.patch
    (bsc#1012628 CVE-2024-47695 bsc#1231931).
  - Update
    patches.kernel.org/6.11.2-398-IB-mlx5-Fix-UMR-pd-cleanup-on-error-flow-of-dr.patch
    (bsc#1012628 CVE-2024-47694 bsc#1232014).
  - Update
    patches.kernel.org/6.11.2-399-IB-core-Fix-ib_cache_setup_one-error-flow-clea.patch
    (bsc#1012628 CVE-2024-47693 bsc#1232013).
  - Update
    patches.kernel.org/6.11.2-402-PCI-kirin-Fix-buffer-overflow-in-kirin_pcie_pa.patch
    (bsc#1012628 CVE-2024-47751 bsc#1232127).
  - Update
    patches.kernel.org/6.11.2-410-RDMA-hns-Fix-Use-After-Free-of-rsv_qp-on-HIP08.patch
    (bsc#1012628 CVE-2024-47750 bsc#1232182).
  - Update
    patches.kernel.org/6.11.2-412-RDMA-hns-Fix-spin_unlock_irqrestore-called-wit.patch
    (bsc#1012628 CVE-2024-47735 bsc#1232111).
  - Update
    patches.kernel.org/6.11.2-422-RDMA-cxgb4-Added-NULL-check-for-lookup_atid.patch
    (bsc#1012628 CVE-2024-47749 bsc#1232180).
  - Update
    patches.kernel.org/6.11.2-424-ntb-intel-Fix-the-NULL-vs-IS_ERR-bug-for-debug.patch
    (bsc#1012628 CVE-2023-52917 bsc#1231849).
  - Update
    patches.kernel.org/6.11.2-427-nfsd-call-cache_put-if-xdr_reserve_space-retur.patch
    (bsc#1012628 CVE-2024-47737 bsc#1232056).
  - Update
    patches.kernel.org/6.11.2-428-nfsd-return-EINVAL-when-namelen-is-0.patch
    (bsc#1012628 CVE-2024-47692 bsc#1231857).
  - Update
    patches.kernel.org/6.11.2-439-f2fs-fix-to-avoid-use-after-free-in-f2fs_stop_.patch
    (bsc#1012628 CVE-2024-47691 bsc#1232012).
  - Update
    patches.kernel.org/6.11.2-442-f2fs-get-rid-of-online-repaire-on-corrupted-di.patch
    (bsc#1012628 CVE-2024-47690 bsc#1232011).
  - Update
    patches.kernel.org/6.11.2-443-f2fs-fix-to-don-t-set-SB_RDONLY-in-f2fs_handle.patch
    (bsc#1012628 CVE-2024-47689 bsc#1232010).
  - Update
    patches.kernel.org/6.11.2-460-driver-core-Fix-a-potential-null-ptr-deref-in-.patch
    (bsc#1012628 CVE-2024-47688 bsc#1232009).
  - Update
    patches.kernel.org/6.11.2-469-vdpa-mlx5-Fix-invalid-mr-resource-destroy.patch
    (bsc#1012628 CVE-2024-47687 bsc#1232003).
  - Update
    patches.kernel.org/6.11.2-470-vhost_vdpa-assign-irq-bypass-producer-token-co.patch
    (bsc#1012628 CVE-2024-47748 bsc#1232174).
  - Update
    patches.kernel.org/6.11.2-471-ep93xx-clock-Fix-off-by-one-in-ep93xx_div_reca.patch
    (bsc#1012628 CVE-2024-47686 bsc#1232000).
  - Update
    patches.kernel.org/6.11.2-477-netfilter-nf_reject_ipv6-fix-nf_reject_ip6_tcp.patch
    (bsc#1012628 CVE-2024-47685 bsc#1231998).
  - Update
    patches.kernel.org/6.11.2-478-net-seeq-Fix-use-after-free-vulnerability-in-e.patch
    (bsc#1012628 CVE-2024-47747 bsc#1232145).
  - Update
    patches.kernel.org/6.11.2-480-tcp-check-skb-is-non-NULL-in-tcp_rto_delta_us.patch
    (bsc#1012628 CVE-2024-47684 bsc#1231987).
  - Update
    patches.kernel.org/6.11.2-486-bonding-Fix-unnecessary-warnings-and-logs-from.patch
    (bsc#1012628 CVE-2024-47734 bsc#1232055).
  - Update
    patches.kernel.org/6.11.2-497-fuse-use-exclusive-lock-when-FUSE_I_CACHE_IO_M.patch
    (bsc#1012628 CVE-2024-47746 bsc#1232137).
  - Update
    patches.kernel.org/6.11.2-499-mm-call-the-security_mmap_file-LSM-hook-in-rem.patch
    (bsc#1012628 CVE-2024-47745 bsc#1232135).
  - Update
    patches.kernel.org/6.11.2-528-iommufd-Protect-against-overflow-of-ALIGN-duri.patch
    (bsc#1012628 CVE-2024-47719 bsc#1231865).
  - Update
    patches.kernel.org/6.11.2-537-KVM-Use-dedicated-mutex-to-protect-kvm_usage_c.patch
    (bsc#1012628 CVE-2024-47744 bsc#1232132).
  - Update
    patches.kernel.org/6.11.2-538-drm-amd-display-Skip-Recompute-DSC-Params-if-n.patch
    (bsc#1012628 CVE-2024-47683 bsc#1231975).
  - Update
    patches.kernel.org/6.11.2-562-x86-tdx-Fix-in-kernel-MMIO-check.patch
    (bsc#1012628 CVE-2024-47727 bsc#1232116).
  - Update
    patches.kernel.org/6.11.2-563-KEYS-prevent-NULL-pointer-dereference-in-find_.patch
    (bsc#1012628 CVE-2024-47743 bsc#1232129).
  - Update
    patches.kernel.org/6.11.2-569-scsi-sd-Fix-off-by-one-error-in-sd_read_block_.patch
    (bsc#1012628 CVE-2024-47682 bsc#1231856).
  - Update
    patches.kernel.org/6.11.2-592-firmware_loader-Block-path-traversal.patch
    (bsc#1012628 CVE-2024-47742 bsc#1232126).
  - Update
    patches.kernel.org/6.11.2-603-ACPI-sysfs-validate-return-type-of-_STR-method.patch
    (bsc#1012628 CVE-2024-49860 bsc#1231861).
  - Update
    patches.kernel.org/6.11.2-606-efistub-tpm-Use-ACPI-reclaim-memory-for-event-.patch
    (bsc#1012628 CVE-2024-49858 bsc#1232251).
  - Update
    patches.kernel.org/6.11.2-615-wifi-mt76-mt7996-fix-NULL-pointer-dereference-.patch
    (bsc#1012628 CVE-2024-47681 bsc#1231855).
  - Update
    patches.kernel.org/6.11.2-625-btrfs-fix-race-setting-file-private-on-concurr.patch
    (bsc#1012628 CVE-2024-47741 bsc#1231869).
  - Update
    patches.kernel.org/6.11.2-628-f2fs-fix-to-wait-dio-completion.patch
    (bsc#1012628 CVE-2024-47726 bsc#1232113).
  - Update
    patches.kernel.org/6.11.2-632-f2fs-Require-FMODE_WRITE-for-atomic-write-ioct.patch
    (bsc#1012628 CVE-2024-47740 bsc#1231867).
  - Update
    patches.kernel.org/6.11.2-633-f2fs-check-discard-support-for-conventional-zo.patch
    (bsc#1012628 CVE-2024-47680 bsc#1231970).
  - Update
    patches.kernel.org/6.11.2-634-f2fs-fix-to-check-atomic_file-in-f2fs-ioctl-in.patch
    (bsc#1012628 CVE-2024-49859 bsc#1232252).
  - Update
    patches.kernel.org/6.11.2-644-vfs-fix-race-between-evice_inodes-and-find_ino.patch
    (bsc#1012628 CVE-2024-47679 bsc#1231930).
  - Update
    patches.kernel.org/6.11.2-645-netfs-Delete-subtree-of-fs-netfs-when-netfs-mo.patch
    (bsc#1012628 CVE-2024-47733 bsc#1232110).
  - Update
    patches.kernel.org/6.11.2-649-icmp-change-the-order-of-rate-limits.patch
    (bsc#1012628 CVE-2024-47678 bsc#1231854).
  - Update
    patches.kernel.org/6.11.2-653-padata-use-integer-wrap-around-to-prevent-dead.patch
    (bsc#1012628 CVE-2024-47739 bsc#1232124).
  - Update
    patches.kernel.org/6.11.2-677-exfat-resolve-memory-leak-from-exfat_create_up.patch
    (bsc#1012628 CVE-2024-47677 bsc#1231853).
  - Update
    patches.kernel.org/6.11.2-686-mm-hugetlb.c-fix-UAF-of-vma-in-hugetlb-fault-p.patch
    (bsc#1012628 CVE-2024-47676 bsc#1231927).
  - Update
    patches.kernel.org/6.11.2-694-bpf-Fix-use-after-free-in-bpf_uprobe_multi_lin.patch
    (bsc#1012628 CVE-2024-47675 bsc#1231926).
  - Update
    patches.kernel.org/6.11.3-001-static_call-Handle-module-init-failure-correct.patch
    (bsc#1012628 CVE-2024-50002 bsc#1232083).
  - Update
    patches.kernel.org/6.11.3-002-static_call-Replace-pointless-WARN_ON-in-stati.patch
    (bsc#1012628 CVE-2024-49954 bsc#1232155).
  - Update
    patches.kernel.org/6.11.3-014-mailbox-bcm2835-Fix-timeout-during-suspend-mod.patch
    (bsc#1012628 CVE-2024-49963 bsc#1232147).
  - Update
    patches.kernel.org/6.11.3-016-ceph-remove-the-incorrect-Fw-reference-check-w.patch
    (bsc#1012628 CVE-2024-50179 bsc#1233123).
  - Update
    patches.kernel.org/6.11.3-020-net-mlx5-Fix-error-path-in-multi-packet-WQE-tr.patch
    (bsc#1012628 CVE-2024-50001 bsc#1232084).
  - Update
    patches.kernel.org/6.11.3-022-net-mlx5e-Fix-NULL-deref-in-mlx5e_tir_builder_.patch
    (bsc#1012628 CVE-2024-50000 bsc#1232085).
  - Update
    patches.kernel.org/6.11.3-024-net-mlx5e-Fix-crash-caused-by-calling-__xfrm_s.patch
    (bsc#1012628 CVE-2024-49953 bsc#1232156).
  - Update
    patches.kernel.org/6.11.3-029-netfilter-nf_tables-prevent-nf_skb_duplicated-.patch
    (bsc#1012628 CVE-2024-49952 bsc#1232157).
  - Update
    patches.kernel.org/6.11.3-031-Bluetooth-MGMT-Fix-possible-crash-on-mgmt_inde.patch
    (bsc#1012628 CVE-2024-49951 bsc#1232158).
  - Update
    patches.kernel.org/6.11.3-032-Bluetooth-L2CAP-Fix-uaf-in-l2cap_connect.patch
    (bsc#1012628 CVE-2024-49950 bsc#1232159).
  - Update
    patches.kernel.org/6.11.3-035-afs-Fix-the-setting-of-the-server-responding-f.patch
    (bsc#1012628 CVE-2024-49999 bsc#1232086).
  - Update
    patches.kernel.org/6.11.3-036-net-dsa-improve-shutdown-sequence.patch
    (bsc#1012628 CVE-2024-49998 bsc#1232087).
  - Update
    patches.kernel.org/6.11.3-039-net-ethernet-lantiq_etop-fix-memory-disclosure.patch
    (bsc#1012628 CVE-2024-49997 bsc#1232088).
  - Update
    patches.kernel.org/6.11.3-042-net-avoid-potential-underflow-in-qdisc_pkt_len.patch
    (bsc#1012628 CVE-2024-49949 bsc#1232160).
  - Update
    patches.kernel.org/6.11.3-043-net-add-more-sanity-checks-to-qdisc_pkt_len_in.patch
    (bsc#1012628 CVE-2024-49948 bsc#1232161).
  - Update
    patches.kernel.org/6.11.3-047-net-test-for-not-too-small-csum_start-in-virti.patch
    (bsc#1012628 CVE-2024-49947 bsc#1232162).
  - Update
    patches.kernel.org/6.11.3-048-ppp-do-not-assume-bh-is-held-in-ppp_channel_br.patch
    (bsc#1012628 CVE-2024-49946 bsc#1232164).
  - Update
    patches.kernel.org/6.11.3-051-net-ncsi-Disable-the-ncsi-work-before-freeing-.patch
    (bsc#1012628 CVE-2024-49945 bsc#1232165).
  - Update
    patches.kernel.org/6.11.3-054-sctp-set-sk_state-back-to-CLOSED-if-autobind-f.patch
    (bsc#1012628 CVE-2024-49944 bsc#1232166).
  - Update
    patches.kernel.org/6.11.3-056-drm-panthor-Fix-race-when-converting-group-han.patch
    (bsc#1012628 CVE-2024-50174 bsc#1233093).
  - Update
    patches.kernel.org/6.11.3-066-ASoC-Intel-soc-acpi-intel-rpl-match-add-missin.patch
    (bsc#1012628 CVE-2024-50011 bsc#1232081).
  - Update
    patches.kernel.org/6.11.3-071-drm-xe-guc_submit-add-missing-locking-in-wedge.patch
    (bsc#1012628 CVE-2024-49943 bsc#1232167).
  - Update
    patches.kernel.org/6.11.3-073-drm-xe-Prevent-null-pointer-access-in-xe_migra.patch
    (bsc#1012628 CVE-2024-49942 bsc#1232168).
  - Update
    patches.kernel.org/6.11.3-074-cifs-Fix-buffer-overflow-when-parsing-NFS-repa.patch
    (bsc#1012628 CVE-2024-49996 bsc#1232089).
  - Update
    patches.kernel.org/6.11.3-076-gpiolib-Fix-potential-NULL-pointer-dereference.patch
    (bsc#1012628 CVE-2024-49941 bsc#1232169).
  - Update
    patches.kernel.org/6.11.3-084-l2tp-prevent-possible-tunnel-refcount-underflo.patch
    (bsc#1012628 CVE-2024-49940 bsc#1232812).
  - Update
    patches.kernel.org/6.11.3-086-wifi-rtw89-avoid-to-add-interface-to-list-twic.patch
    (bsc#1012628 CVE-2024-49939 bsc#1232381).
  - Update
    patches.kernel.org/6.11.3-087-wifi-ath9k_htc-Use-__skb_set_length-for-resett.patch
    (bsc#1012628 CVE-2024-49938 bsc#1232552).
  - Update
    patches.kernel.org/6.11.3-095-wifi-cfg80211-Set-correct-chandef-when-startin.patch
    (bsc#1012628 CVE-2024-49937 bsc#1232427).
  - Update
    patches.kernel.org/6.11.3-096-net-xen-netback-prevent-UAF-in-xenvif_flush_ha.patch
    (bsc#1012628 CVE-2024-49936 bsc#1232424).
  - Update
    patches.kernel.org/6.11.3-100-ACPI-PAD-fix-crash-in-exit_round_robin.patch
    (bsc#1012628 CVE-2024-49935 bsc#1232370).
  - Update
    patches.kernel.org/6.11.3-103-exec-don-t-WARN-for-racy-path_noexec-check.patch
    (bsc#1012628 CVE-2024-50010 bsc#1232388).
  - Update
    patches.kernel.org/6.11.3-104-fs-inode-Prevent-dump_mapping-accessing-invali.patch
    (bsc#1012628 CVE-2024-49934 bsc#1232387).
  - Update
    patches.kernel.org/6.11.3-112-blk_iocost-fix-more-out-of-bound-shifts.patch
    (bsc#1012628 CVE-2024-49933 bsc#1232368).
  - Update
    patches.kernel.org/6.11.3-113-btrfs-don-t-readahead-the-relocation-inode-on-.patch
    (bsc#1012628 CVE-2024-49932 bsc#1232376).
  - Update
    patches.kernel.org/6.11.3-114-wifi-ath12k-fix-array-out-of-bound-access-in-S.patch
    (bsc#1012628 CVE-2024-49931 bsc#1232275).
  - Update
    patches.kernel.org/6.11.3-115-wifi-ath11k-fix-array-out-of-bound-access-in-S.patch
    (bsc#1012628 CVE-2024-49930 bsc#1232260).
  - Update
    patches.kernel.org/6.11.3-121-ACPICA-check-null-return-of-ACPI_ALLOCATE_ZERO.patch
    (bsc#1012628 CVE-2024-49962 bsc#1232314).
  - Update
    patches.kernel.org/6.11.3-122-tipc-guard-against-string-buffer-overrun.patch
    (bsc#1012628 CVE-2024-49995 bsc#1232432).
  - Update
    patches.kernel.org/6.11.3-139-wifi-iwlwifi-mvm-avoid-NULL-pointer-dereferenc.patch
    (bsc#1012628 CVE-2024-49929 bsc#1232253).
  - Update
    patches.kernel.org/6.11.3-150-block-fix-integer-overflow-in-BLKSECDISCARD.patch
    (bsc#1012628 CVE-2024-49994 bsc#1225770).
  - Update
    patches.kernel.org/6.11.3-151-cpufreq-amd-pstate-add-check-for-cpufreq_cpu_g.patch
    (bsc#1012628 CVE-2024-50009 bsc#1232318).
  - Update
    patches.kernel.org/6.11.3-152-cpufreq-loongson3-Use-raw_smp_processor_id-in-.patch
    (bsc#1012628 CVE-2024-50178 bsc#1233121).
  - Update
    patches.kernel.org/6.11.3-155-wifi-rtw89-avoid-reading-out-of-bounds-when-lo.patch
    (bsc#1012628 CVE-2024-49928 bsc#1232250).
  - Update
    patches.kernel.org/6.11.3-158-net-napi-Prevent-overflow-of-napi_defer_hard_i.patch
    (bsc#1012628 CVE-2024-50018 bsc#1232419).
  - Update
    patches.kernel.org/6.11.3-162-wifi-mwifiex-Fix-memcpy-field-spanning-write-w.patch
    (bsc#1012628 CVE-2024-50008 bsc#1232317).
  - Update
    patches.kernel.org/6.11.3-166-x86-ioapic-Handle-allocation-failures-graceful.patch
    (bsc#1012628 CVE-2024-49927 bsc#1232249).
  - Update
    patches.kernel.org/6.11.3-180-x86-mm-ident_map-Use-gbpages-only-where-full-G.patch
    (bsc#1012628 CVE-2024-50017 bsc#1232312).
  - Update
    patches.kernel.org/6.11.3-181-ALSA-asihpi-Fix-potential-OOB-array-access.patch
    (bsc#1012628 CVE-2024-50007 bsc#1232394).
  - Update
    patches.kernel.org/6.11.3-187-rcu-tasks-Fix-access-non-existent-percpu-rtpcp.patch
    (bsc#1012628 CVE-2024-49926 bsc#1232247).
  - Update
    patches.kernel.org/6.11.3-189-fbdev-efifb-Register-sysfs-groups-through-driv.patch
    (bsc#1012628 CVE-2024-49925 bsc#1232224).
  - Update
    patches.kernel.org/6.11.3-190-fbdev-pxafb-Fix-possible-use-after-free-in-pxa.patch
    (bsc#1012628 CVE-2024-49924 bsc#1232364).
  - Update
    patches.kernel.org/6.11.3-200-drm-stm-Avoid-use-after-free-issues-with-crtc-.patch
    (bsc#1012628 CVE-2024-49992 bsc#1232430).
  - Update
    patches.kernel.org/6.11.3-201-drm-amd-display-Pass-non-null-to-dcn20_validat.patch
    (bsc#1012628 CVE-2024-49923 bsc#1232361).
  - Update
    patches.kernel.org/6.11.3-202-drm-amd-display-Check-null-pointers-before-usi.patch
    (bsc#1012628 CVE-2024-49922 bsc#1232374).
  - Update
    patches.kernel.org/6.11.3-203-drm-amd-display-Check-null-pointers-before-use.patch
    (bsc#1012628 CVE-2024-49921 bsc#1232371).
  - Update
    patches.kernel.org/6.11.3-204-drm-amd-display-Check-null-pointers-before-mul.patch
    (bsc#1012628 CVE-2024-49920 bsc#1232313).
  - Update
    patches.kernel.org/6.11.3-206-drm-amdkfd-amdkfd_free_gtt_mem-clear-the-corre.patch
    (bsc#1012628 CVE-2024-49991 bsc#1232282).
  - Update
    patches.kernel.org/6.11.3-207-drm-amd-display-Add-null-check-for-head_pipe-i.patch
    (bsc#1012628 CVE-2024-49919 bsc#1231968).
  - Update
    patches.kernel.org/6.11.3-208-drm-amd-display-Add-null-check-for-head_pipe-i.patch
    (bsc#1012628 CVE-2024-49918 bsc#1231967).
  - Update
    patches.kernel.org/6.11.3-209-drm-amd-display-Add-NULL-check-for-clk_mgr-and.patch
    (bsc#1012628 CVE-2024-49917 bsc#1231965).
  - Update
    patches.kernel.org/6.11.3-210-drm-amd-display-Add-NULL-check-for-clk_mgr-and.patch
    (bsc#1012628 CVE-2024-49916 bsc#1231964).
  - Update
    patches.kernel.org/6.11.3-211-drm-amd-display-Add-NULL-check-for-clk_mgr-in-.patch
    (bsc#1012628 CVE-2024-49915 bsc#1231963).
  - Update
    patches.kernel.org/6.11.3-212-drm-xe-hdcp-Check-GSC-structure-validity.patch
    (bsc#1012628 CVE-2024-49990 bsc#1232484).
  - Update
    patches.kernel.org/6.11.3-213-drm-amd-display-Add-null-check-for-pipe_ctx-pl.patch
    (bsc#1012628 CVE-2024-49914 bsc#1232369).
  - Update
    patches.kernel.org/6.11.3-214-drm-amd-display-Add-null-check-for-top_pipe_to.patch
    (bsc#1012628 CVE-2024-49913 bsc#1232307).
  - Update
    patches.kernel.org/6.11.3-222-drm-amd-display-Handle-null-stream_status-in-p.patch
    (bsc#1012628 CVE-2024-49912 bsc#1232367).
  - Update
    patches.kernel.org/6.11.3-223-drm-amd-display-Add-NULL-check-for-function-po.patch
    (bsc#1012628 CVE-2024-49911 bsc#1232366).
  - Update
    patches.kernel.org/6.11.3-224-drm-amd-display-Add-NULL-check-for-function-po.patch
    (bsc#1012628 CVE-2024-49910 bsc#1232365).
  - Update
    patches.kernel.org/6.11.3-225-drm-amd-display-Add-NULL-check-for-function-po.patch
    (bsc#1012628 CVE-2024-49909 bsc#1232337).
  - Update
    patches.kernel.org/6.11.3-226-drm-amd-display-fix-a-UBSAN-warning-in-DML2.1.patch
    (bsc#1012628 CVE-2024-50177 bsc#1233115).
  - Update
    patches.kernel.org/6.11.3-227-drm-amd-display-Add-null-check-for-afb-in-amdg.patch
    (bsc#1012628 CVE-2024-49908 bsc#1232335).
  - Update
    patches.kernel.org/6.11.3-228-drm-amd-display-Check-null-pointers-before-usi.patch
    (bsc#1012628 CVE-2024-49907 bsc#1232334).
  - Update
    patches.kernel.org/6.11.3-229-drm-amd-display-Check-null-pointer-before-try-.patch
    (bsc#1012628 CVE-2024-49906 bsc#1232332).
  - Update
    patches.kernel.org/6.11.3-230-drm-amd-display-Add-null-check-for-afb-in-amdg.patch
    (bsc#1012628 CVE-2024-49905 bsc#1232357).
  - Update
    patches.kernel.org/6.11.3-232-drm-amd-display-fix-double-free-issue-during-a.patch
    (bsc#1012628 CVE-2024-49989 bsc#1232483).
  - Update
    patches.kernel.org/6.11.3-233-drm-amdgpu-add-list-empty-check-to-avoid-null-.patch
    (bsc#1012628 CVE-2024-49904 bsc#1232356).
  - Update
    patches.kernel.org/6.11.3-235-jfs-Fix-uaf-in-dbFreeBits.patch
    (bsc#1012628 CVE-2024-49903 bsc#1232362).
  - Update
    patches.kernel.org/6.11.3-236-jfs-check-if-leafidx-greater-than-num-leaves-p.patch
    (bsc#1012628 CVE-2024-49902 bsc#1232378).
  - Update
    patches.kernel.org/6.11.3-239-drm-msm-adreno-Assign-msm_gpu-pdev-earlier-to-.patch
    (bsc#1012628 CVE-2024-49901 bsc#1232305).
  - Update
    patches.kernel.org/6.11.3-240-jfs-Fix-uninit-value-access-of-new_ea-in-ea_bu.patch
    (bsc#1012628 CVE-2024-49900 bsc#1232359).
  - Update
    patches.kernel.org/6.11.3-250-drm-amd-display-Initialize-denominators-defaul.patch
    (bsc#1012628 CVE-2024-49899 bsc#1232358).
  - Update
    patches.kernel.org/6.11.3-251-drm-amd-display-Check-null-initialized-variabl.patch
    (bsc#1012628 CVE-2024-49898 bsc#1232222).
  - Update
    patches.kernel.org/6.11.3-252-drm-amd-display-Check-phantom_stream-before-it.patch
    (bsc#1012628 CVE-2024-49897 bsc#1232355).
  - Update
    patches.kernel.org/6.11.3-253-drm-amd-display-Check-stream-before-comparing-.patch
    (bsc#1012628 CVE-2024-49896 bsc#1232221).
  - Update
    patches.kernel.org/6.11.3-254-drm-amd-display-Deallocate-DML-memory-if-alloc.patch
    (bsc#1012628 CVE-2024-49972 bsc#1232315).
  - Update
    patches.kernel.org/6.11.3-255-drm-amd-display-Increase-array-size-of-dummy_b.patch
    (bsc#1012628 CVE-2024-49971 bsc#1232473).
  - Update
    patches.kernel.org/6.11.3-256-drm-amd-display-Fix-index-out-of-bounds-in-DCN.patch
    (bsc#1012628 CVE-2024-49895 bsc#1232352).
  - Update
    patches.kernel.org/6.11.3-257-drm-amd-display-Fix-index-out-of-bounds-in-deg.patch
    (bsc#1012628 CVE-2024-49894 bsc#1232354).
  - Update
    patches.kernel.org/6.11.3-258-drm-amd-display-Implement-bounds-check-for-str.patch
    (bsc#1012628 CVE-2024-49970 bsc#1232516).
  - Update
    patches.kernel.org/6.11.3-259-drm-amd-display-Fix-index-out-of-bounds-in-DCN.patch
    (bsc#1012628 CVE-2024-49969 bsc#1232519).
  - Update
    patches.kernel.org/6.11.3-263-drm-amd-display-Check-stream_status-before-it-.patch
    (bsc#1012628 CVE-2024-49893 bsc#1232350).
  - Update
    patches.kernel.org/6.11.3-264-drm-amd-display-Avoid-overflow-assignment-in-l.patch
    (bsc#1012628 CVE-2024-50016 bsc#1232420).
  - Update
    patches.kernel.org/6.11.3-265-drm-amd-display-Initialize-get_bytes_per_eleme.patch
    (bsc#1012628 CVE-2024-49892 bsc#1232220).
  - Update
    patches.kernel.org/6.11.3-269-scsi-lpfc-Validate-hdwq-pointers-before-derefe.patch
    (bsc#1012628 CVE-2024-49891 bsc#1232218).
  - Update
    patches.kernel.org/6.11.3-286-drm-amd-pm-ensure-the-fw_info-is-not-null-befo.patch
    (bsc#1012628 CVE-2024-49890 bsc#1232217).
  - Update
    patches.kernel.org/6.11.3-299-ksmbd-add-refcnt-to-ksmbd_conn-struct.patch
    (bsc#1012628 CVE-2024-49988 bsc#1232482).
  - Update
    patches.kernel.org/6.11.3-302-ext4-filesystems-without-casefold-feature-cann.patch
    (bsc#1012628 CVE-2024-49968 bsc#1232264).
  - Update
    patches.kernel.org/6.11.3-305-ext4-avoid-use-after-free-in-ext4_ext_show_lea.patch
    (bsc#1012628 CVE-2024-49889 bsc#1232215).
  - Update
    patches.kernel.org/6.11.3-306-ext4-fix-i_data_sem-unlock-order-in-ext4_ind_m.patch
    (bsc#1012628 CVE-2024-50006 bsc#1232442).
  - Update
    patches.kernel.org/6.11.3-309-bpftool-Fix-undefined-behavior-in-qsort-NULL-0.patch
    (bsc#1012628 CVE-2024-49987 bsc#1232258).
  - Update
    patches.kernel.org/6.11.3-310-bpf-Fix-a-sdiv-overflow-issue.patch
    (bsc#1012628 CVE-2024-49888 bsc#1232208).
  - Update
    patches.kernel.org/6.11.3-333-f2fs-fix-to-don-t-panic-system-for-no-free-seg.patch
    (bsc#1012628 CVE-2024-49887 bsc#1232206).
  - Update
    patches.kernel.org/6.11.3-343-platform-x86-x86-android-tablets-Fix-use-after.patch
    (bsc#1012628 CVE-2024-49986 bsc#1232093).
  - Update
    patches.kernel.org/6.11.3-344-platform-x86-ISST-Fix-the-KASAN-report-slab-ou.patch
    (bsc#1012628 CVE-2024-49886 bsc#1232196).
  - Update
    patches.kernel.org/6.11.3-346-i2c-stm32f7-Do-not-prepare-unprepare-clock-dur.patch
    (bsc#1012628 CVE-2024-49985 bsc#1232094).
  - Update
    patches.kernel.org/6.11.3-349-media-i2c-ar0521-Use-cansleep-version-of-gpiod.patch
    (bsc#1012628 CVE-2024-49961 bsc#1232148).
  - Update
    patches.kernel.org/6.11.3-362-mm-slub-avoid-zeroing-kmalloc-redzone.patch
    (bsc#1012628 CVE-2024-49885 bsc#1232197).
  - Update
    patches.kernel.org/6.11.3-365-drm-v3d-Prevent-out-of-bounds-access-in-perfor.patch
    (bsc#1012628 CVE-2024-49984 bsc#1232095).
  - Update
    patches.kernel.org/6.11.3-377-ext4-no-need-to-continue-when-the-number-of-en.patch
    (bsc#1012628 CVE-2024-49967 bsc#1232140).
  - Update
    patches.kernel.org/6.11.3-379-ext4-fix-slab-use-after-free-in-ext4_split_ext.patch
    (bsc#1012628 CVE-2024-49884 bsc#1232198).
  - Update
    patches.kernel.org/6.11.3-382-ext4-dax-fix-overflowing-extents-beyond-inode-.patch
    (bsc#1012628 CVE-2024-50015 bsc#1232079).
  - Update
    patches.kernel.org/6.11.3-384-ext4-drop-ppath-from-ext4_ext_replay_update_ex.patch
    (bsc#1012628 CVE-2024-49983 bsc#1232096).
  - Update
    patches.kernel.org/6.11.3-385-ext4-aovid-use-after-free-in-ext4_ext_insert_e.patch
    (bsc#1012628 CVE-2024-49883 bsc#1232199).
  - Update
    patches.kernel.org/6.11.3-386-ext4-fix-double-brelse-the-buffer-of-the-exten.patch
    (bsc#1012628 CVE-2024-49882 bsc#1232200).
  - Update
    patches.kernel.org/6.11.3-387-ext4-fix-timer-use-after-free-on-failed-mount.patch
    (bsc#1012628 CVE-2024-49960 bsc#1232395).
  - Update
    patches.kernel.org/6.11.3-388-ext4-fix-access-to-uninitialised-lock-in-fc-re.patch
    (bsc#1012628 CVE-2024-50014 bsc#1232446).
  - Update
    patches.kernel.org/6.11.3-389-ext4-update-orig_path-in-ext4_find_extent.patch
    (bsc#1012628 CVE-2024-49881 bsc#1232201).
  - Update
    patches.kernel.org/6.11.3-395-ext4-fix-off-by-one-issue-in-alloc_flex_gd.patch
    (bsc#1012628 CVE-2024-49880 bsc#1232205).
  - Update
    patches.kernel.org/6.11.3-403-drm-omapdrm-Add-missing-check-for-alloc_ordere.patch
    (bsc#1012628 CVE-2024-49879 bsc#1232349).
  - Update
    patches.kernel.org/6.11.3-404-resource-fix-region_intersects-vs-add_memory_d.patch
    (bsc#1012628 CVE-2024-49878 bsc#1232340).
  - Update
    patches.kernel.org/6.11.3-406-jbd2-stop-waiting-for-space-when-jbd2_cleanup_.patch
    (bsc#1012628 CVE-2024-49959 bsc#1232149).
  - Update
    patches.kernel.org/6.11.3-411-ocfs2-reserve-space-for-inline-xattr-before-at.patch
    (bsc#1012628 CVE-2024-49958 bsc#1232151).
  - Update
    patches.kernel.org/6.11.3-412-ocfs2-cancel-dqi_sync_work-before-freeing-oinf.patch
    (bsc#1012628 CVE-2024-49966 bsc#1232141).
  - Update
    patches.kernel.org/6.11.3-413-ocfs2-remove-unreasonable-unlock-in-ocfs2_read.patch
    (bsc#1012628 CVE-2024-49965 bsc#1232142).
  - Update
    patches.kernel.org/6.11.3-414-ocfs2-fix-null-ptr-deref-when-journal-load-fai.patch
    (bsc#1012628 CVE-2024-49957 bsc#1232152).
  - Update
    patches.kernel.org/6.11.3-415-ocfs2-fix-possible-null-ptr-deref-in-ocfs2_set.patch
    (bsc#1012628 CVE-2024-49877 bsc#1232339).
  - Update
    patches.kernel.org/6.11.3-421-drm-xe-fix-UAF-around-queue-destruction.patch
    (bsc#1012628 CVE-2024-49876 bsc#1232336).
  - Update
    patches.kernel.org/6.11.3-428-exfat-fix-memory-leak-in-exfat_load_bitmap.patch
    (bsc#1012628 CVE-2024-50013 bsc#1232080).
  - Update
    patches.kernel.org/6.11.3-432-nfsd-map-the-EBADMSG-to-nfserr_io-to-avoid-war.patch
    (bsc#1012628 CVE-2024-49875 bsc#1232333).
  - Update
    patches.kernel.org/6.11.3-434-i3c-master-svc-Fix-use-after-free-vulnerabilit.patch
    (bsc#1012628 CVE-2024-49874 bsc#1232295).
  - Update
    patches.kernel.org/6.11.3-440-aoe-fix-the-potential-use-after-free-problem-i.patch
    (bsc#1012628 CVE-2024-49982 bsc#1232097).
  - Update
    patches.kernel.org/6.11.3-445-remoteproc-k3-r5-Fix-error-handling-when-power.patch
    (bsc#1012628 CVE-2024-50176 bsc#1233091).
  - Update
    patches.kernel.org/6.11.3-446-gfs2-fix-double-destroy_workqueue-error.patch
    (bsc#1012628 CVE-2024-49956 bsc#1232153).
  - Update
    patches.kernel.org/6.11.3-457-media-venus-fix-use-after-free-bug-in-venus_re.patch
    (bsc#1012628 CVE-2024-49981 bsc#1232098).
  - Update
    patches.kernel.org/6.11.3-459-media-qcom-camss-Remove-use_count-guard-in-sto.patch
    (bsc#1012628 CVE-2024-50175 bsc#1233092).
  - Update
    patches.kernel.org/6.11.3-470-vrf-revert-vrf-Remove-unnecessary-RCU-bh-criti.patch
    (bsc#1012628 CVE-2024-49980 bsc#1232099).
  - Update
    patches.kernel.org/6.11.3-471-net-gso-fix-tcp-fraglist-segmentation-after-pu.patch
    (bsc#1012628 CVE-2024-49979 bsc#1232100).
  - Update
    patches.kernel.org/6.11.3-472-gso-fix-udp-gso-fraglist-segmentation-after-pu.patch
    (bsc#1012628 CVE-2024-49978 bsc#1232101).
  - Update
    patches.kernel.org/6.11.3-475-net-stmmac-Fix-zero-division-error-when-disabl.patch
    (bsc#1012628 CVE-2024-49977 bsc#1232102).
  - Update
    patches.kernel.org/6.11.3-477-mm-filemap-fix-filemap_get_folios_contig-THP-p.patch
    (bsc#1012628 CVE-2024-49873 bsc#1232291).
  - Update
    patches.kernel.org/6.11.3-478-mm-hugetlb-fix-memfd_pin_folios-free_huge_page.patch
    (bsc#1012628 CVE-2024-49964 bsc#1232144).
  - Update
    patches.kernel.org/6.11.3-481-mm-gup-fix-memfd_pin_folios-alloc-race-panic.patch
    (bsc#1012628 CVE-2024-49872 bsc#1232288).
  - Update
    patches.kernel.org/6.11.3-483-Input-adp5589-keys-fix-NULL-pointer-dereferenc.patch
    (bsc#1012628 CVE-2024-49871 bsc#1232287).
  - Update
    patches.kernel.org/6.11.3-486-cachefiles-fix-dentry-leak-in-cachefiles_open_.patch
    (bsc#1012628 CVE-2024-49870 bsc#1232279).
  - Update
    patches.kernel.org/6.11.3-493-btrfs-send-fix-buffer-overflow-detection-when-.patch
    (bsc#1012628 CVE-2024-49869 bsc#1232278).
  - Update
    patches.kernel.org/6.11.3-494-btrfs-fix-a-NULL-pointer-dereference-when-fail.patch
    (bsc#1012628 CVE-2024-49868 bsc#1232272).
  - Update
    patches.kernel.org/6.11.3-497-btrfs-wait-for-fixup-workers-before-stopping-c.patch
    (bsc#1012628 CVE-2024-49867 bsc#1232262).
  - Update
    patches.kernel.org/6.11.3-498-cpufreq-Avoid-a-bad-reference-count-on-CPU-nod.patch
    (bsc#1012628 CVE-2024-50012 bsc#1232386).
  - Update
    patches.kernel.org/6.11.3-503-mac802154-Fix-potential-RCU-dereference-issue-.patch
    (bsc#1012628 CVE-2024-50005 bsc#1232082).
  - Update
    patches.kernel.org/6.11.3-507-tracing-timerlat-Drop-interface_lock-in-stop_k.patch
    (bsc#1012628 CVE-2024-49976 bsc#1232103).
  - Update
    patches.kernel.org/6.11.3-508-tracing-timerlat-Fix-a-race-during-cpuhp-proce.patch
    (bsc#1012628 CVE-2024-49866 bsc#1232259).
  - Update
    patches.kernel.org/6.11.3-515-drm-panthor-Fix-access-to-uninitialized-variab.patch
    (bsc#1012628 CVE-2024-50173 bsc#1233094).
  - Update
    patches.kernel.org/6.11.3-524-drm-amd-display-update-DML2-policy-EnhancedPre.patch
    (bsc#1012628 CVE-2024-50004 bsc#1232396).
  - Update
    patches.kernel.org/6.11.3-525-drm-amd-display-Fix-system-hang-while-resume-w.patch
    (bsc#1012628 CVE-2024-50003 bsc#1232385).
  - Update
    patches.kernel.org/6.11.3-531-uprobes-fix-kernel-info-leak-via-uprobes-vma.patch
    (bsc#1012628 CVE-2024-49975 bsc#1232104).
  - Update
    patches.kernel.org/6.11.3-536-NFSD-Limit-the-number-of-concurrent-async-COPY.patch
    (bsc#1012628 CVE-2024-49974 bsc#1232383).
  - Update
    patches.kernel.org/6.11.3-540-r8169-add-tally-counter-fields-added-with-RTL8.patch
    (bsc#1012628 CVE-2024-49973 bsc#1232105).
  - Update
    patches.kernel.org/6.11.3-542-ACPI-battery-Fix-possible-crash-when-unregiste.patch
    (bsc#1012628 CVE-2024-49955 bsc#1232154).
  - Update
    patches.kernel.org/6.11.3-544-drm-xe-vm-move-xa_alloc-to-prevent-UAF.patch
    (bsc#1012628 CVE-2024-49865 bsc#1232257).
  - Update
    patches.kernel.org/6.11.3-549-rxrpc-Fix-a-race-between-socket-set-up-and-I-O.patch
    (bsc#1012628 CVE-2024-49864 bsc#1232256).
  - Update
    patches.kernel.org/6.11.3-550-vhost-scsi-null-ptr-dereference-in-vhost_scsi_.patch
    (bsc#1012628 CVE-2024-49863 bsc#1232255).
  - Update
    patches.kernel.org/6.11.4-001-unicode-Don-t-special-case-ignorable-code-poin.patch
    (bsc#1012628 CVE-2024-50089 bsc#1232860).
  - Update
    patches.kernel.org/6.11.4-002-net-fec-don-t-save-PTP-state-if-PTP-is-unsuppo.patch
    (bsc#1012628 CVE-2024-50097 bsc#1232871).
  - Update
    patches.kernel.org/6.11.4-011-ntfs3-Change-to-non-blocking-allocation-in-ntf.patch
    (bsc#1012628 CVE-2024-50065 bsc#1231899).
  - Update
    patches.kernel.org/6.11.4-014-zram-free-secondary-algorithms-names.patch
    (bsc#1012628 CVE-2024-50064 bsc#1231901).
  - Update
    patches.kernel.org/6.11.4-022-ext4-don-t-set-SB_RDONLY-after-filesystem-erro.patch
    (bsc#1012628 CVE-2024-50191 bsc#1233101).
  - Update
    patches.kernel.org/6.11.4-026-bpf-Prevent-tail-call-between-progs-attached-t.patch
    (bsc#1012628 CVE-2024-50063 bsc#1232435).
  - Update
    patches.kernel.org/6.11.4-028-RDMA-mad-Improve-handling-of-timed-out-WRs-of-.patch
    (bsc#1012628 CVE-2024-50095 bsc#1232873).
  - Update
    patches.kernel.org/6.11.4-031-RDMA-rtrs-srv-Avoid-null-pointer-deref-during-.patch
    (bsc#1012628 CVE-2024-50062 bsc#1232232).
  - Update
    patches.kernel.org/6.11.4-036-i3c-master-cdns-Fix-use-after-free-vulnerabili.patch
    (bsc#1012628 CVE-2024-50061 bsc#1232263).
  - Update
    patches.kernel.org/6.11.4-038-io_uring-check-if-we-need-to-reschedule-during.patch
    (bsc#1012628 CVE-2024-50060 bsc#1232417).
  - Update
    patches.kernel.org/6.11.4-039-ntb-ntb_hw_switchtec-Fix-use-after-free-vulner.patch
    (bsc#1012628 CVE-2024-50059 bsc#1232345).
  - Update
    patches.kernel.org/6.11.4-052-clk-imx-Remove-CLK_SET_PARENT_GATE-for-DRAM-mu.patch
    (bsc#1012628 CVE-2024-50181 bsc#1233127).
  - Update
    patches.kernel.org/6.11.4-053-serial-protect-uart_port_dtr_rts-in-uart_shutd.patch
    (bsc#1012628 CVE-2024-50058 bsc#1232285).
  - Update
    patches.kernel.org/6.11.4-054-usb-typec-tipd-Free-IRQ-only-if-it-was-request.patch
    (bsc#1012628 CVE-2024-50057 bsc#1232393).
  - Update
    patches.kernel.org/6.11.4-063-virtio_pmem-Check-device-status-before-request.patch
    (bsc#1012628 CVE-2024-50184 bsc#1233135).
  - Update
    patches.kernel.org/6.11.4-066-usb-gadget-uvc-Fix-ERR_PTR-dereference-in-uvc_.patch
    (bsc#1012628 CVE-2024-50056 bsc#1232389).
  - Update
    patches.kernel.org/6.11.4-067-dm-vdo-don-t-refer-to-dedupe_context-after-rel.patch
    (bsc#1012628 CVE-2024-50091 bsc#1232872).
  - Update
    patches.kernel.org/6.11.4-068-driver-core-bus-Fix-double-free-in-driver-API-.patch
    (bsc#1012628 CVE-2024-50055 bsc#1232329).
  - Update
    patches.kernel.org/6.11.4-071-scsi-lpfc-Ensure-DA_ID-handling-completion-bef.patch
    (bsc#1012628 CVE-2024-50183 bsc#1233130).
  - Update
    patches.kernel.org/6.11.4-073-drm-xe-oa-Fix-overflow-in-oa-batch-buffer.patch
    (bsc#1012628 CVE-2024-50090 bsc#1232862).
  - Update
    patches.kernel.org/6.11.4-074-drm-amd-display-Check-null-pointer-before-dere.patch
    (bsc#1012628 CVE-2024-50049 bsc#1232309).
  - Update
    patches.kernel.org/6.11.4-075-fbcon-Fix-a-NULL-pointer-dereference-issue-in-.patch
    (bsc#1012628 CVE-2024-50048 bsc#1232310).
  - Update
    patches.kernel.org/6.11.4-076-smb-client-fix-UAF-in-async-decryption.patch
    (bsc#1012628 CVE-2024-50047 bsc#1232418).
  - Update
    patches.kernel.org/6.11.4-077-fbdev-sisfb-Fix-strbuf-array-overflow.patch
    (bsc#1012628 CVE-2024-50180 bsc#1233125).
  - Update
    patches.kernel.org/6.11.4-082-ice-fix-memleak-in-ice_init_tx_topology.patch
    (bsc#1012628 CVE-2024-50190 bsc#1233090).
  - Update
    patches.kernel.org/6.11.4-086-NFSv4-Prevent-NULL-pointer-dereference-in-nfs4.patch
    (bsc#1012628 CVE-2024-50046 bsc#1231902).
  - Update
    patches.kernel.org/6.11.4-087-net-phy-dp83869-fix-memory-corruption-when-ena.patch
    (bsc#1012628 CVE-2024-50188 bsc#1233107).
  - Update
    patches.kernel.org/6.11.4-088-sfc-Don-t-invoke-xdp_do_flush-from-netpoll.patch
    (bsc#1012628 CVE-2024-50094 bsc#1232875).
  - Update
    patches.kernel.org/6.11.4-096-netfilter-br_netfilter-fix-panic-with-metadata.patch
    (bsc#1012628 CVE-2024-50045 bsc#1231903).
  - Update
    patches.kernel.org/6.11.4-099-Bluetooth-RFCOMM-FIX-possible-deadlock-in-rfco.patch
    (bsc#1012628 CVE-2024-50044 bsc#1231904).
  - Update
    patches.kernel.org/6.11.4-102-nfsd-fix-possible-badness-in-FREE_STATEID.patch
    (bsc#1012628 CVE-2024-50043 bsc#1231905).
  - Update
    patches.kernel.org/6.11.4-103-thermal-intel-int340x-processor-Fix-warning-du.patch
    (bsc#1012628 CVE-2024-50093 bsc#1232877).
  - Update
    patches.kernel.org/6.11.4-120-ice-Fix-increasing-MSI-X-on-VF.patch
    (bsc#1012628 CVE-2024-50042 bsc#1231906).
  - Update
    patches.kernel.org/6.11.4-121-i40e-Fix-macvlan-leak-by-synchronizing-access-.patch
    (bsc#1012628 CVE-2024-50041 bsc#1231907).
  - Update
    patches.kernel.org/6.11.4-122-igb-Do-not-bring-the-device-up-after-non-fatal.patch
    (bsc#1012628 CVE-2024-50040 bsc#1231908).
  - Update
    patches.kernel.org/6.11.4-124-net-sched-accept-TCA_STAB-only-for-root-qdisc.patch
    (bsc#1012628 CVE-2024-50039 bsc#1231909).
  - Update
    patches.kernel.org/6.11.4-131-netfilter-xtables-avoid-NFPROTO_UNSPEC-where-n.patch
    (bsc#1012628 CVE-2024-50038 bsc#1231910).
  - Update
    patches.kernel.org/6.11.4-135-net-netconsole-fix-wrong-warning.patch
    (bsc#1012628 CVE-2024-50092 bsc#1232874).
  - Update
    patches.kernel.org/6.11.4-136-drm-fbdev-dma-Only-cleanup-deferred-I-O-if-nec.patch
    (bsc#1012628 CVE-2024-50037 bsc#1231911).
  - Update
    patches.kernel.org/6.11.4-137-net-do-not-delay-dst_entries_add-in-dst_releas.patch
    (bsc#1012628 CVE-2024-50036 bsc#1231912).
  - Update
    patches.kernel.org/6.11.4-144-ppp-fix-ppp_async_encode-illegal-access.patch
    (bsc#1012628 CVE-2024-50035 bsc#1232392).
  - Update
    patches.kernel.org/6.11.4-145-net-smc-fix-lacks-of-icsk_syn_mss-with-IPPROTO.patch
    (bsc#1012628 CVE-2024-50034 bsc#1231913).
  - Update
    patches.kernel.org/6.11.4-146-slip-make-slhc_remember-more-robust-against-ma.patch
    (bsc#1012628 CVE-2024-50033 bsc#1231914).
  - Update
    patches.kernel.org/6.11.4-147-rcu-nocb-Fix-rcuog-wake-up-from-offline-softir.patch
    (bsc#1012628 CVE-2024-50032 bsc#1231915).
  - Update
    patches.kernel.org/6.11.4-156-HID-amd_sfh-Switch-to-device-managed-dmam_allo.patch
    (bsc#1012628 CVE-2024-50189 bsc#1233105).
  - Update
    patches.kernel.org/6.11.4-170-drm-v3d-Stop-the-active-perfmon-before-being-d.patch
    (bsc#1012628 CVE-2024-50031 bsc#1231947).
  - Update
    patches.kernel.org/6.11.4-171-drm-vc4-Stop-the-active-perfmon-before-being-d.patch
    (bsc#1012628 CVE-2024-50187 bsc#1233108).
  - Update
    patches.kernel.org/6.11.4-176-drm-xe-ct-prevent-UAF-in-send_recv.patch
    (bsc#1012628 CVE-2024-50030 bsc#1231948).
  - Update
    patches.kernel.org/6.11.4-178-Bluetooth-hci_conn-Fix-UAF-in-hci_enhanced_set.patch
    (bsc#1012628 CVE-2024-50029 bsc#1231949).
  - Update
    patches.kernel.org/6.11.4-179-thermal-core-Reference-count-the-zone-in-therm.patch
    (bsc#1012628 CVE-2024-50028 bsc#1231950).
  - Update
    patches.kernel.org/6.11.4-180-thermal-core-Free-tzp-copy-along-with-the-ther.patch
    (bsc#1012628 CVE-2024-50027 bsc#1231951).
  - Update
    patches.kernel.org/6.11.4-181-scsi-wd33c93-Don-t-use-stale-scsi_pointer-valu.patch
    (bsc#1012628 CVE-2024-50026 bsc#1231952).
  - Update
    patches.kernel.org/6.11.4-182-scsi-fnic-Move-flush_work-initialization-out-o.patch
    (bsc#1012628 CVE-2024-50025 bsc#1231953).
  - Update
    patches.kernel.org/6.11.4-189-net-explicitly-clear-the-sk-pointer-when-pf-cr.patch
    (bsc#1012628 CVE-2024-50186 bsc#1233110).
  - Update
    patches.kernel.org/6.11.4-190-net-Fix-an-unsafe-loop-on-the-list.patch
    (bsc#1012628 CVE-2024-50024 bsc#1231954).
  - Update
    patches.kernel.org/6.11.4-192-net-phy-Remove-LED-entry-from-LEDs-list-on-unr.patch
    (bsc#1012628 CVE-2024-50023 bsc#1231955).
  - Update
    patches.kernel.org/6.11.4-194-mptcp-handle-consistently-DSS-corruption.patch
    (bsc#1012628 CVE-2024-50185 bsc#1233109).
  - Update
    patches.kernel.org/6.11.4-196-device-dax-correct-pgoff-align-in-dax_set_mapp.patch
    (bsc#1012628 CVE-2024-50022 bsc#1231956).
  - Update
    patches.kernel.org/6.11.4-197-ice-Fix-improper-handling-of-refcount-in-ice_d.patch
    (bsc#1012628 CVE-2024-50021 bsc#1231957).
  - Update
    patches.kernel.org/6.11.4-198-ice-Fix-improper-handling-of-refcount-in-ice_s.patch
    (bsc#1012628 CVE-2024-50020 bsc#1231989).
  - Update
    patches.kernel.org/6.11.4-199-nouveau-dmem-Fix-vulnerability-in-migrate_to_r.patch
    (bsc#1012628 CVE-2024-50096 bsc#1232870).
  - Update
    patches.kernel.org/6.11.4-207-kthread-unpark-only-parked-kthread.patch
    (bsc#1012628 CVE-2024-50019 bsc#1231990).
  - Update
    patches.kernel.org/6.11.4-209-secretmem-disable-memfd_secret-if-arch-cannot-.patch
    (bsc#1012628 CVE-2024-50182 bsc#1233129).
  - Update
    patches.kernel.org/6.11.5-001-btrfs-fix-uninitialized-pointer-free-in-add_in.patch
    (bsc#1012628 CVE-2024-50088 bsc#1232498).
  - Update
    patches.kernel.org/6.11.5-002-btrfs-fix-uninitialized-pointer-free-on-read_a.patch
    (bsc#1012628 CVE-2024-50087 bsc#1232499).
  - Update
    patches.kernel.org/6.11.5-003-ksmbd-fix-user-after-free-from-session-log-off.patch
    (bsc#1012628 CVE-2024-50086 bsc#1232521).
  - Update
    patches.kernel.org/6.11.5-006-mptcp-pm-fix-UaF-read-in-mptcp_pm_nl_rm_addr_o.patch
    (bsc#1012628 CVE-2024-50085 bsc#1232508).
  - Update
    patches.kernel.org/6.11.5-012-posix-clock-Fix-missing-timespec64-check-in-pc.patch
    (bsc#1012628 CVE-2024-50195 bsc#1233103).
  - Update
    patches.kernel.org/6.11.5-015-arm64-probes-Remove-broken-LDR-literal-uprobe-.patch
    (bsc#1012628 CVE-2024-50099 bsc#1232887).
  - Update
    patches.kernel.org/6.11.5-017-arm64-probes-Fix-uprobes-for-big-endian-kernel.patch
    (bsc#1012628 CVE-2024-50194 bsc#1233111).
  - Update
    patches.kernel.org/6.11.5-019-net-microchip-vcap-api-Fix-memory-leaks-in-vca.patch
    (bsc#1012628 CVE-2024-50084 bsc#1232494).
  - Update
    patches.kernel.org/6.11.5-021-maple_tree-correct-tree-corruption-on-spanning.patch
    (bsc#1012628 CVE-2024-50200 bsc#1233088).
  - Update
    patches.kernel.org/6.11.5-026-mm-mremap-fix-move_normal_pmd-retract_page_tab.patch
    (bsc#1012628 CVE-2024-50066 bsc#1232078).
  - Update
    patches.kernel.org/6.11.5-029-mm-swapfile-skip-HugeTLB-pages-for-unuse_vma.patch
    (bsc#1012628 CVE-2024-50199 bsc#1233112).
  - Update
    patches.kernel.org/6.11.5-030-mm-damon-tests-sysfs-kunit.h-fix-memory-leak-i.patch
    (bsc#1012628 CVE-2024-50068 bsc#1232512).
  - Update
    patches.kernel.org/6.11.5-031-tcp-fix-mptcp-DSS-corruption-due-to-large-pmtu.patch
    (bsc#1012628 CVE-2024-50083 bsc#1232493).
  - Update
    patches.kernel.org/6.11.5-035-iommu-vt-d-Fix-incorrect-pci_for_each_dma_alia.patch
    (bsc#1012628 CVE-2024-50101 bsc#1232869).
  - Update
    patches.kernel.org/6.11.5-047-blk-rq-qos-fix-crash-on-rq_qos_wait-vs.-rq_qos.patch
    (bsc#1012628 CVE-2024-50082 bsc#1232500).
  - Update
    patches.kernel.org/6.11.5-049-blk-mq-setup-queue-tag_set-before-initializing.patch
    (bsc#1012628 CVE-2024-50081 bsc#1232501).
  - Update
    patches.kernel.org/6.11.5-050-ublk-don-t-allow-user-copy-for-unprivileged-de.patch
    (bsc#1012628 CVE-2024-50080 bsc#1232502).
  - Update
    patches.kernel.org/6.11.5-051-io_uring-sqpoll-ensure-task-state-is-TASK_RUNN.patch
    (bsc#1012628 CVE-2024-50079 bsc#1232495).
  - Update
    patches.kernel.org/6.11.5-055-scsi-ufs-core-Set-SDEV_OFFLINE-when-UFS-is-shu.patch
    (bsc#1012628 CVE-2024-50098 bsc#1232881).
  - Update
    patches.kernel.org/6.11.5-058-drm-radeon-Fix-encoder-possible_clones.patch
    (bsc#1012628 CVE-2024-50201 bsc#1233104).
  - Update
    patches.kernel.org/6.11.5-075-iio-light-veml6030-fix-IIO-device-retrieval-fr.patch
    (bsc#1012628 CVE-2024-50198 bsc#1233100).
  - Update
    patches.kernel.org/6.11.5-093-Bluetooth-Call-iso_exit-on-module-unload.patch
    (bsc#1012628 CVE-2024-50078 bsc#1232503).
  - Update
    patches.kernel.org/6.11.5-095-Bluetooth-ISO-Fix-multiple-init-when-debugfs-i.patch
    (bsc#1012628 CVE-2024-50077 bsc#1232504).
  - Update
    patches.kernel.org/6.11.5-098-vt-prevent-kernel-infoleak-in-con_font_get.patch
    (bsc#1012628 CVE-2024-50076 bsc#1232505).
  - Update
    patches.kernel.org/6.11.5-099-xhci-tegra-fix-checked-USB2-port-number.patch
    (bsc#1012628 CVE-2024-50075 bsc#1232506).
  - Update
    patches.kernel.org/6.11.5-105-USB-gadget-dummy-hcd-Fix-task-hung-problem.patch
    (bsc#1012628 CVE-2024-50100 bsc#1232876).
  - Update
    patches.kernel.org/6.11.5-112-parport-Proper-fix-for-array-out-of-bounds-acc.patch
    (bsc#1012628 CVE-2024-50074 bsc#1232507).
  - Update
    patches.kernel.org/6.11.5-117-x86-entry_32-Clear-CPU-buffers-after-register-.patch
    (bsc#1012628 CVE-2024-50193 bsc#1233102).
  - Update
    patches.kernel.org/6.11.5-118-tty-n_gsm-Fix-use-after-free-in-gsm_cleanup_mu.patch
    (bsc#1012628 CVE-2024-50073 bsc#1232520).
  - Update
    patches.kernel.org/6.11.5-119-x86-bugs-Use-code-segment-selector-for-VERW-op.patch
    (bsc#1012628 CVE-2024-50072 bsc#1232513).
  - Update
    patches.kernel.org/6.11.5-120-pinctrl-nuvoton-fix-a-double-free-in-ma35_pinc.patch
    (bsc#1012628 CVE-2024-50071 bsc#1232509).
  - Update
    patches.kernel.org/6.11.5-121-pinctrl-intel-platform-fix-error-path-in-devic.patch
    (bsc#1012628 CVE-2024-50197 bsc#1233089).
  - Update
    patches.kernel.org/6.11.5-122-pinctrl-ocelot-fix-system-hang-on-level-based-.patch
    (bsc#1012628 CVE-2024-50196 bsc#1233113).
  - Update
    patches.kernel.org/6.11.5-123-pinctrl-stm32-check-devm_kasprintf-returned-va.patch
    (bsc#1012628 CVE-2024-50070 bsc#1232510).
  - Update
    patches.kernel.org/6.11.5-124-pinctrl-apple-check-devm_kasprintf-returned-va.patch
    (bsc#1012628 CVE-2024-50069 bsc#1232511).
  - Update
    patches.kernel.org/6.11.5-125-irqchip-gic-v4-Don-t-allow-a-VMOVP-on-a-dying-.patch
    (bsc#1012628 CVE-2024-50192 bsc#1233106).
  - Update
    patches.kernel.org/6.11.6-001-bpf-Use-raw_spinlock_t-in-ringbuf.patch
    (bsc#1012628 CVE-2024-50138 bsc#1232935).
  - Update
    patches.kernel.org/6.11.6-003-reset-starfive-jh71x0-Fix-accessing-the-empty-.patch
    (bsc#1012628 CVE-2024-50137 bsc#1232932).
  - Update
    patches.kernel.org/6.11.6-005-bpf-Make-sure-internal-and-UAPI-bpf_redirect-f.patch
    (bsc#1012628 CVE-2024-50163 bsc#1233098).
  - Update
    patches.kernel.org/6.11.6-007-bpf-devmap-provide-rxq-after-redirect.patch
    (bsc#1012628 CVE-2024-50162 bsc#1233075).
  - Update
    patches.kernel.org/6.11.6-011-RDMA-bnxt_re-Fix-a-possible-memory-leak.patch
    (bsc#1012628 CVE-2024-50172 bsc#1233029).
  - Update
    patches.kernel.org/6.11.6-013-RDMA-bnxt_re-Add-a-check-for-memory-allocation.patch
    (bsc#1012628 CVE-2024-50209 bsc#1233114).
  - Update
    patches.kernel.org/6.11.6-018-bpf-Check-the-remaining-info_cnt-before-repeat.patch
    (bsc#1012628 CVE-2024-50161 bsc#1233037).
  - Update
    patches.kernel.org/6.11.6-029-sched-core-Disable-page-allocation-in-task_tic.patch
    (bsc#1012628 CVE-2024-50140 bsc#1233060).
  - Update
    patches.kernel.org/6.11.6-030-ALSA-hda-cs8409-Fix-possible-NULL-dereference.patch
    (bsc#1012628 CVE-2024-50160 bsc#1233074).
  - Update
    patches.kernel.org/6.11.6-031-firmware-arm_scmi-Fix-the-double-free-in-scmi_.patch
    (bsc#1012628 CVE-2024-50159 bsc#1233041).
  - Update
    patches.kernel.org/6.11.6-040-RDMA-bnxt_re-Fix-out-of-bound-check.patch
    (bsc#1012628 CVE-2024-50158 bsc#1233036).
  - Update
    patches.kernel.org/6.11.6-043-RDMA-bnxt_re-Avoid-CPU-lockups-due-fifo-occupa.patch
    (bsc#1012628 CVE-2024-50157 bsc#1233032).
  - Update
    patches.kernel.org/6.11.6-046-RDMA-bnxt_re-Fix-a-bug-while-setting-up-Level-.patch
    (bsc#1012628 CVE-2024-50208 bsc#1233117).
  - Update
    patches.kernel.org/6.11.6-055-drm-msm-Avoid-NULL-dereference-in-msm_disp_sta.patch
    (bsc#1012628 CVE-2024-50156 bsc#1233073).
  - Update
    patches.kernel.org/6.11.6-063-ring-buffer-Fix-reader-locking-when-changing-t.patch
    (bsc#1012628 CVE-2024-50207 bsc#1233122).
  - Update
    patches.kernel.org/6.11.6-066-netdevsim-use-cond_resched-in-nsim_dev_trap_re.patch
    (bsc#1012628 CVE-2024-50155 bsc#1233035).
  - Update
    patches.kernel.org/6.11.6-072-net-systemport-fix-potential-memory-leak-in-bc.patch
    (bsc#1012628 CVE-2024-50171 bsc#1233057).
  - Update
    patches.kernel.org/6.11.6-076-net-bcmasp-fix-potential-memory-leak-in-bcmasp.patch
    (bsc#1012628 CVE-2024-50170 bsc#1233030).
  - Update
    patches.kernel.org/6.11.6-078-tcp-dccp-Don-t-use-timer_pending-in-reqsk_queu.patch
    (bsc#1012628 CVE-2024-50154 bsc#1233072).
  - Update
    patches.kernel.org/6.11.6-084-scsi-target-core-Fix-null-ptr-deref-in-target_.patch
    (bsc#1012628 CVE-2024-50153 bsc#1233061).
  - Update
    patches.kernel.org/6.11.6-085-smb-client-fix-possible-double-free-in-smb2_se.patch
    (bsc#1012628 CVE-2024-50152 bsc#1233033).
  - Update
    patches.kernel.org/6.11.6-086-smb-client-fix-OOBs-when-building-SMB2_IOCTL-r.patch
    (bsc#1012628 CVE-2024-50151 bsc#1233055).
  - Update
    patches.kernel.org/6.11.6-087-usb-typec-altmode-should-keep-reference-to-par.patch
    (bsc#1012628 CVE-2024-50150 bsc#1233051).
  - Update
    patches.kernel.org/6.11.6-089-drm-xe-fix-unbalanced-rpm-put-with-fence_fini.patch
    (bsc#1012628 CVE-2024-50144 bsc#1233040).
  - Update
    patches.kernel.org/6.11.6-092-drm-xe-Don-t-free-job-in-TDR.patch
    (bsc#1012628 CVE-2024-50149 bsc#1233034).
  - Update
    patches.kernel.org/6.11.6-095-Bluetooth-bnep-fix-wild-memory-access-in-proto.patch
    (bsc#1012628 CVE-2024-50148 bsc#1233063).
  - Update
    patches.kernel.org/6.11.6-097-net-ethernet-mtk_eth_soc-fix-memory-corruption.patch
    (bsc#1012628 CVE-2024-50206 bsc#1233288).
  - Update
    patches.kernel.org/6.11.6-099-net-mlx5-Fix-command-bitmask-initialization.patch
    (bsc#1012628 CVE-2024-50147 bsc#1233067).
  - Update
    patches.kernel.org/6.11.6-100-net-mlx5-Unregister-notifier-on-eswitch-init-f.patch
    (bsc#1012628 CVE-2024-50136 bsc#1232914).
  - Update
    patches.kernel.org/6.11.6-101-net-mlx5e-Don-t-call-cleanup-on-profile-rollba.patch
    (bsc#1012628 CVE-2024-50146 bsc#1233056).
  - Update
    patches.kernel.org/6.11.6-107-nvme-pci-fix-race-condition-between-reset-and-.patch
    (bsc#1012628 CVE-2024-50135 bsc#1232888).
  - Update
    patches.kernel.org/6.11.6-121-uprobe-avoid-out-of-bounds-memory-access-of-fe.patch
    (bsc#1012628 CVE-2024-50067 bsc#1232416).
  - Update
    patches.kernel.org/6.11.6-122-drm-vboxvideo-Replace-fake-VLA-at-end-of-vbva_.patch
    (bsc#1012628 CVE-2024-50134 bsc#1232890).
  - Update
    patches.kernel.org/6.11.6-129-udf-refactor-inode_bmap-to-handle-error.patch
    (bsc#1012628 CVE-2024-50211 bsc#1233096).
  - Update
    patches.kernel.org/6.11.6-130-udf-fix-uninit-value-use-in-udf_get_fileshorta.patch
    (bsc#1012628 CVE-2024-50143 bsc#1233038).
  - Update
    patches.kernel.org/6.11.6-136-LoongArch-Don-t-crash-in-stack_top-for-tasks-w.patch
    (bsc#1012628 CVE-2024-50133 bsc#1232854).
  - Update
    patches.kernel.org/6.11.6-139-tracing-probes-Fix-MAX_TRACE_ARGS-limit-handli.patch
    (bsc#1012628 CVE-2024-50132 bsc#1232861).
  - Update
    patches.kernel.org/6.11.6-140-tracing-Consider-the-NULL-character-when-valid.patch
    (bsc#1012628 CVE-2024-50131 bsc#1232896).
  - Update
    patches.kernel.org/6.11.6-143-xfrm-validate-new-SA-s-prefixlen-using-SA-fami.patch
    (bsc#1012628 CVE-2024-50142 bsc#1233028).
  - Update
    patches.kernel.org/6.11.6-144-netfilter-bpf-must-hold-reference-on-net-names.patch
    (bsc#1012628 CVE-2024-50130 bsc#1232894).
  - Update
    patches.kernel.org/6.11.6-145-net-pse-pd-Fix-out-of-bound-for-loop.patch
    (bsc#1012628 CVE-2024-50129 bsc#1232856).
  - Update
    patches.kernel.org/6.11.6-146-net-sun3_82586-fix-potential-memory-leak-in-su.patch
    (bsc#1012628 CVE-2024-50168 bsc#1233059).
  - Update
    patches.kernel.org/6.11.6-147-be2net-fix-potential-memory-leak-in-be_xmit.patch
    (bsc#1012628 CVE-2024-50167 bsc#1233049).
  - Update
    patches.kernel.org/6.11.6-151-octeon_ep-Add-SKB-allocation-failures-handling.patch
    (bsc#1012628 CVE-2024-50145 bsc#1233044).
  - Update
    patches.kernel.org/6.11.6-155-fsl-fman-Fix-refcount-handling-of-fman-related.patch
    (bsc#1012628 CVE-2024-50166 bsc#1233050).
  - Update
    patches.kernel.org/6.11.6-157-net-wwan-fix-global-oob-in-wwan_rtnl_policy.patch
    (bsc#1012628 CVE-2024-50128 bsc#1232905).
  - Update
    patches.kernel.org/6.11.6-162-bpf-Preserve-param-string-when-parsing-mount-o.patch
    (bsc#1012628 CVE-2024-50165 bsc#1233031).
  - Update
    patches.kernel.org/6.11.6-164-bpf-Fix-overloading-of-MEM_UNINIT-s-meaning.patch
    (bsc#1012628 CVE-2024-50164 bsc#1233099).
  - Update
    patches.kernel.org/6.11.6-167-net-sched-fix-use-after-free-in-taprio_change.patch
    (bsc#1012628 CVE-2024-50127 bsc#1232907).
  - Update
    patches.kernel.org/6.11.6-168-net-sched-use-RCU-read-side-critical-section-i.patch
    (bsc#1012628 CVE-2024-50126 bsc#1232895).
  - Update
    patches.kernel.org/6.11.6-170-posix-clock-posix-clock-Fix-unbalanced-locking.patch
    (bsc#1012628 CVE-2024-50210 bsc#1233097).
  - Update
    patches.kernel.org/6.11.6-172-Bluetooth-SCO-Fix-UAF-on-sco_sock_timeout.patch
    (bsc#1012628 CVE-2024-50125 bsc#1232928).
  - Update
    patches.kernel.org/6.11.6-173-Bluetooth-ISO-Fix-UAF-on-iso_sock_timeout.patch
    (bsc#1012628 CVE-2024-50124 bsc#1232926).
  - Update
    patches.kernel.org/6.11.6-180-bpf-Add-the-missing-BPF_LINK_TYPE-invocation-f.patch
    (bsc#1012628 CVE-2024-50123 bsc#1232857).
  - Update
    patches.kernel.org/6.11.6-187-PCI-Hold-rescan-lock-while-adding-devices-duri.patch
    (bsc#1012628 CVE-2024-50122 bsc#1232930).
  - Update
    patches.kernel.org/6.11.6-191-ALSA-firewire-lib-Avoid-division-by-zero-in-ap.patch
    (bsc#1012628 CVE-2024-50205 bsc#1233293).
  - Update
    patches.kernel.org/6.11.6-194-nfsd-cancel-nfsd_shrinker_work-using-sync-mode.patch
    (bsc#1012628 CVE-2024-50121 bsc#1232925).
  - Update
    patches.kernel.org/6.11.6-196-smb-client-Handle-kstrdup-failures-for-passwor.patch
    (bsc#1012628 CVE-2024-50120 bsc#1232924).
  - Update
    patches.kernel.org/6.11.6-197-cifs-fix-warning-when-destroy-cifs_io_request_.patch
    (bsc#1012628 CVE-2024-50119 bsc#1232858).
  - Update
    patches.kernel.org/6.11.6-207-btrfs-reject-ro-rw-reconfiguration-if-there-ar.patch
    (bsc#1012628 CVE-2024-50118 bsc#1232859).
  - Update
    patches.kernel.org/6.11.6-210-drm-amd-Guard-against-bad-data-for-ATIF-ACPI-m.patch
    (bsc#1012628 CVE-2024-50117 bsc#1232897).
  - Update
    patches.kernel.org/6.11.6-212-ACPI-PRM-Find-EFI_MEMORY_RUNTIME-block-for-PRM.patch
    (bsc#1012628 CVE-2024-50141 bsc#1233065).
  - Update
    patches.kernel.org/6.11.6-214-nilfs2-fix-kernel-bug-due-to-missing-clearing-.patch
    (bsc#1012628 CVE-2024-50116 bsc#1232892).
  - Update
    patches.kernel.org/6.11.6-218-KVM-nSVM-Ignore-nCR3-4-0-when-loading-PDPTEs-f.patch
    (bsc#1012628 CVE-2024-50115 bsc#1232919).
  - Update
    patches.kernel.org/6.11.6-219-KVM-arm64-Unregister-redistributor-for-failed-.patch
    (bsc#1012628 CVE-2024-50114 bsc#1232912).
  - Update
    patches.kernel.org/6.11.6-220-KVM-arm64-Fix-shift-out-of-bounds-bug.patch
    (bsc#1012628 CVE-2024-50139 bsc#1233062).
  - Update
    patches.kernel.org/6.11.6-222-firewire-core-fix-invalid-port-index-for-paren.patch
    (bsc#1012628 CVE-2024-50113 bsc#1232891).
  - Update
    patches.kernel.org/6.11.6-223-x86-lam-Disable-ADDRESS_MASKING-in-most-cases.patch
    (bsc#1012628 CVE-2024-50112 bsc#1232867).
  - Update
    patches.kernel.org/6.11.6-228-LoongArch-Enable-IRQ-if-do_ale-triggered-in-ir.patch
    (bsc#1012628 CVE-2024-50111 bsc#1232866).
  - Update
    patches.kernel.org/6.11.6-230-xfrm-fix-one-more-kernel-infoleak-in-algo-dump.patch
    (bsc#1012628 CVE-2024-50110 bsc#1232885).
  - Update
    patches.kernel.org/6.11.6-232-md-raid10-fix-null-ptr-dereference-in-raid10_s.patch
    (bsc#1012628 CVE-2024-50109 bsc#1232886).
  - Update
    patches.kernel.org/6.11.6-234-drm-amd-display-Disable-PSR-SU-on-Parade-08-01.patch
    (bsc#1012628 CVE-2024-50108 bsc#1232884).
  - Update
    patches.kernel.org/6.11.6-235-platform-x86-intel-pmc-Fix-pmc_core_iounmap-to.patch
    (bsc#1012628 CVE-2024-50107 bsc#1232883).
  - Update
    patches.kernel.org/6.11.6-239-nfsd-fix-race-between-laundromat-and-free_stat.patch
    (bsc#1012628 CVE-2024-50106 bsc#1232882).
  - Update
    patches.kernel.org/6.11.6-247-ASoC-qcom-sc7280-Fix-missing-Soundwire-runtime.patch
    (bsc#1012628 CVE-2024-50105 bsc#1232879).
  - Update
    patches.kernel.org/6.11.6-248-ASoC-qcom-sdm845-add-missing-soundwire-runtime.patch
    (bsc#1012628 CVE-2024-50104 bsc#1232868).
  - Update
    patches.kernel.org/6.11.6-249-ASoC-qcom-Fix-NULL-Dereference-in-asoc_qcom_lp.patch
    (bsc#1012628 CVE-2024-50103 bsc#1232878).
  - Update
    patches.kernel.org/6.11.6-257-x86-fix-user-address-masking-non-canonical-spe.patch
    (bsc#1012628 CVE-2024-50102 bsc#1232880).
  - Update
    patches.kernel.org/6.11.7-024-macsec-Fix-use-after-free-while-sending-the-of.patch
    (bsc#1012628 CVE-2024-50261 bsc#1233253).
  - Update
    patches.kernel.org/6.11.7-026-sock_map-fix-a-NULL-pointer-dereference-in-soc.patch
    (bsc#1012628 CVE-2024-50260 bsc#1233178).
  - Update
    patches.kernel.org/6.11.7-037-netdevsim-Add-trailing-zero-to-terminate-the-s.patch
    (bsc#1012628 CVE-2024-50259 bsc#1233214).
  - Update
    patches.kernel.org/6.11.7-039-bpf-Fix-out-of-bounds-write-in-trie_get_next_k.patch
    (bsc#1012628 CVE-2024-50262 bsc#1233239).
  - Update
    patches.kernel.org/6.11.7-040-net-fix-crash-when-config-small-gso_max_size-g.patch
    (bsc#1012628 CVE-2024-50258 bsc#1233221).
  - Update
    patches.kernel.org/6.11.7-041-netfilter-Fix-use-after-free-in-get_info.patch
    (bsc#1012628 CVE-2024-50257 bsc#1233244).
  - Update
    patches.kernel.org/6.11.7-042-netfilter-nf_reject_ipv6-fix-potential-crash-i.patch
    (bsc#1012628 CVE-2024-50256 bsc#1233200).
  - Update
    patches.kernel.org/6.11.7-043-Bluetooth-hci-fix-null-ptr-deref-in-hci_read_s.patch
    (bsc#1012628 CVE-2024-50255 bsc#1233238).
  - Update
    patches.kernel.org/6.11.7-044-bpf-Free-dynamically-allocated-bits-in-bpf_ite.patch
    (bsc#1012628 CVE-2024-50254 bsc#1233183).
  - Update
    patches.kernel.org/6.11.7-046-bpf-Check-the-validity-of-nr_words-in-bpf_iter.patch
    (bsc#1012628 CVE-2024-50253 bsc#1233186).
  - Update
    patches.kernel.org/6.11.7-051-mlxsw-spectrum_ipip-Fix-memory-leak-when-chang.patch
    (bsc#1012628 CVE-2024-50252 bsc#1233201).
  - Update
    patches.kernel.org/6.11.7-053-netfilter-nft_payload-sanitize-offset-and-leng.patch
    (bsc#1012628 CVE-2024-50251 bsc#1233248).
  - Update
    patches.kernel.org/6.11.7-059-fsdax-dax_unshare_iter-needs-to-copy-entire-bl.patch
    (bsc#1012628 CVE-2024-50250 bsc#1233226).
  - Update
    patches.kernel.org/6.11.7-064-ACPI-CPPC-Make-rmw_lock-a-raw_spin_lock.patch
    (bsc#1012628 CVE-2024-50249 bsc#1233197).
  - Update
    patches.kernel.org/6.11.7-080-ntfs3-Add-bounds-checking-to-mi_enum_attr.patch
    (bsc#1012628 CVE-2024-50248 bsc#1233219).
  - Update
    patches.kernel.org/6.11.7-081-fs-ntfs3-Check-if-more-than-chunk-size-bytes-a.patch
    (bsc#1012628 CVE-2024-50247 bsc#1233271).
  - Update
    patches.kernel.org/6.11.7-084-fs-ntfs3-Add-rough-attr-alloc_size-check.patch
    (bsc#1012628 CVE-2024-50246 bsc#1233207).
  - Update
    patches.kernel.org/6.11.7-085-fs-ntfs3-Fix-possible-deadlock-in-mi_read.patch
    (bsc#1012628 CVE-2024-50245 bsc#1233203).
  - Update
    patches.kernel.org/6.11.7-086-fs-ntfs3-Additional-check-in-ni_clear.patch
    (bsc#1012628 CVE-2024-50244 bsc#1233270).
  - Update
    patches.kernel.org/6.11.7-087-fs-ntfs3-Fix-general-protection-fault-in-run_i.patch
    (bsc#1012628 CVE-2024-50243 bsc#1233269).
  - Update
    patches.kernel.org/6.11.7-088-fs-ntfs3-Additional-check-in-ntfs_file_release.patch
    (bsc#1012628 CVE-2024-50242 bsc#1233268).
  - Update
    patches.kernel.org/6.11.7-100-NFSD-Initialize-struct-nfsd4_copy-earlier.patch
    (bsc#1012628 CVE-2024-50241 bsc#1233187).
  - Update
    patches.kernel.org/6.11.7-111-phy-qcom-qmp-usb-fix-NULL-deref-on-runtime-sus.patch
    (bsc#1012628 CVE-2024-50240 bsc#1233217).
  - Update
    patches.kernel.org/6.11.7-112-phy-qcom-qmp-usb-legacy-fix-NULL-deref-on-runt.patch
    (bsc#1012628 CVE-2024-50239 bsc#1233180).
  - Update
    patches.kernel.org/6.11.7-113-phy-qcom-qmp-usbc-fix-NULL-deref-on-runtime-su.patch
    (bsc#1012628 CVE-2024-50238 bsc#1233182).
  - Update
    patches.kernel.org/6.11.7-120-wifi-mac80211-do-not-pass-a-stopped-vif-to-the.patch
    (bsc#1012628 CVE-2024-50237 bsc#1233216).
  - Update
    patches.kernel.org/6.11.7-121-wifi-ath10k-Fix-memory-leak-in-management-tx.patch
    (bsc#1012628 CVE-2024-50236 bsc#1233212).
  - Update
    patches.kernel.org/6.11.7-122-wifi-cfg80211-clear-wdev-cqm_config-pointer-on.patch
    (bsc#1012628 CVE-2024-50235 bsc#1233176).
  - Update
    patches.kernel.org/6.11.7-123-wifi-iwlegacy-Clear-stale-interrupts-before-re.patch
    (bsc#1012628 CVE-2024-50234 bsc#1233211).
  - Update
    patches.kernel.org/6.11.7-125-staging-iio-frequency-ad9832-fix-division-by-z.patch
    (bsc#1012628 CVE-2024-50233 bsc#1233210).
  - Update
    patches.kernel.org/6.11.7-127-iio-adc-ad7124-fix-division-by-zero-in-ad7124_.patch
    (bsc#1012628 CVE-2024-50232 bsc#1233209).
  - Update
    patches.kernel.org/6.11.7-129-iio-gts-helper-Fix-memory-leaks-in-iio_gts_bui.patch
    (bsc#1012628 CVE-2024-50231 bsc#1233208).
  - Update
    patches.kernel.org/6.11.7-131-nilfs2-fix-kernel-bug-due-to-missing-clearing-.patch
    (bsc#1012628 CVE-2024-50230 bsc#1233206).
  - Update
    patches.kernel.org/6.11.7-132-nilfs2-fix-potential-deadlock-with-newly-creat.patch
    (bsc#1012628 CVE-2024-50229 bsc#1233205).
  - Update
    patches.kernel.org/6.11.7-134-mm-shmem-fix-data-race-in-shmem_getattr.patch
    (bsc#1012628 CVE-2024-50228 bsc#1233204).
  - Update
    patches.kernel.org/6.11.7-138-thunderbolt-Fix-KASAN-reported-stack-out-of-bo.patch
    (bsc#1012628 CVE-2024-50227 bsc#1233181).
  - Update
    patches.kernel.org/6.11.7-141-cxl-port-Fix-use-after-free-permit-out-of-orde.patch
    (bsc#1012628 CVE-2024-50226 bsc#1233195).
  - Update
    patches.kernel.org/6.11.7-149-btrfs-fix-error-propagation-of-split-bios.patch
    (bsc#1012628 CVE-2024-50225 bsc#1233193).
  - Update
    patches.kernel.org/6.11.7-150-spi-spi-fsl-dspi-Fix-crash-when-not-using-GPIO.patch
    (bsc#1012628 CVE-2024-50224 bsc#1233188).
  - Update
    patches.kernel.org/6.11.7-162-sched-numa-Fix-the-potential-null-pointer-dere.patch
    (bsc#1012628 CVE-2024-50223 bsc#1233192).
  - Update
    patches.kernel.org/6.11.7-164-iov_iter-fix-copy_page_from_iter_atomic-if-KMA.patch
    (bsc#1012628 CVE-2024-50222 bsc#1233184).
  - Update
    patches.kernel.org/6.11.7-167-drm-amd-pm-Vangogh-Fix-kernel-memory-out-of-bo.patch
    (bsc#1012628 CVE-2024-50221 bsc#1233185).
  - Update
    patches.kernel.org/6.11.7-172-fork-do-not-invoke-uffd-on-fork-if-error-occur.patch
    (bsc#1012628 CVE-2024-50220 bsc#1233175).
  - Update
    patches.kernel.org/6.11.7-173-fork-only-invoke-khugepaged-ksm-hooks-if-no-er.patch
    (bsc#1012628 CVE-2024-50263 bsc#1233254).
  - Update
    patches.kernel.org/6.11.7-177-ocfs2-pass-u64-to-ocfs2_truncate_inline-maybe-.patch
    (bsc#1012628 CVE-2024-50218 bsc#1233191).
  - Update
    patches.kernel.org/6.11.7-180-btrfs-fix-use-after-free-of-block-device-file-.patch
    (bsc#1012628 CVE-2024-50217 bsc#1233194).
  - Update
    patches.kernel.org/6.11.7-182-xfs-fix-finding-a-last-resort-AG-in-xfs_filest.patch
    (bsc#1012628 CVE-2024-50216 bsc#1233179).
  - Update
    patches.kernel.org/6.11.7-185-nvmet-auth-assign-dh_key-to-NULL-after-kfree_s.patch
    (bsc#1012628 CVE-2024-50215 bsc#1233189).
  - Update
    patches.kernel.org/6.11.7-189-drm-connector-hdmi-Fix-memory-leak-in-drm_disp.patch
    (bsc#1012628 CVE-2024-50214 bsc#1233177).
  - Update
    patches.kernel.org/6.11.7-190-drm-tests-hdmi-Fix-memory-leaks-in-drm_display.patch
    (bsc#1012628 CVE-2024-50213 bsc#1233174).
  - Update
    patches.kernel.org/6.11.7-247-lib-alloc_tag_module_unload-must-wait-for-pend.patch
    (bsc#1012628 CVE-2024-50212 bsc#1233173).
  - commit e3c376e
* Thu Nov 14 2024 jwiesner@suse.de
  - Update config files.
    c37e85c135ce ("clocksource: Loosen clocksource watchdog constraints")
    introduced a new default for the time skew measured by the clocksource
    watchdog. The value was raised from 100 to 125 microseconds. Reflect this
    change in the kernel config. This is an x86 option only.
  - commit 76d1e9e
* Tue Nov 12 2024 jslaby@suse.cz
  - Update config files.
    Just oldconfig.
  - commit 058a5dc
* Tue Nov 12 2024 jdelvare@suse.de
  - selftests: bpf: Add missing per-arch include path (git-fixes).
  - libbpf: Add missing per-arch include path (git-fixes).
  - selftests: bpf: Add missing per-arch include path (git-fixes).
  - libbpf: Add missing per-arch include path (git-fixes).
  - commit 2d9142b
* Tue Nov 12 2024 jslaby@suse.cz
  - efi/memattr: Ignore table if the size is clearly bogus
    (bsc#1231465).
  - commit f697f05
* Tue Nov 12 2024 jslaby@suse.cz
  - Update config files: CONFIG_X86_USER_SHADOW_STACK=y (bsc#1233263)
  - commit dd0f9f9
* Mon Nov 11 2024 mkubecek@suse.cz
  - update to 6.12-rc7
  - update configs
    - new config options (available on more architectures now)
    - CAN_CC770_ISA=n
    - CAN_SJA1000_ISA=n
  - commit 899dbfb
* Sun Nov 10 2024 jslaby@suse.cz
  - Linux 6.11.7 (bsc#1012628).
  - drm/amdgpu: fix random data corruption for sdma 7 (bsc#1012628).
  - cgroup: Fix potential overflow issue when checking max_depth
    (bsc#1012628).
  - spi: geni-qcom: Fix boot warning related to pm_runtime and
    devres (bsc#1012628).
  - slub/kunit: fix a WARNING due to unwrapped
    __kmalloc_cache_noprof (bsc#1012628).
  - perf trace: Fix non-listed archs in the syscalltbl routines
    (bsc#1012628).
  - perf python: Fix up the build on architectures without
    HAVE_KVM_STAT_SUPPORT (bsc#1012628).
  - scsi: scsi_debug: Fix do_device_access() handling of unexpected
    SG copy length (bsc#1012628).
  - wifi: iwlegacy: Fix "field-spanning write" warning in
    il_enqueue_hcmd() (bsc#1012628).
  - mac80211: MAC80211_MESSAGE_TRACING should depend on TRACING
    (bsc#1012628).
  - wifi: mac80211: skip non-uploaded keys in ieee80211_iter_keys
    (bsc#1012628).
  - wifi: ath11k: Fix invalid ring usage in full monitor mode
    (bsc#1012628).
  - wifi: rtw89: pci: early chips only enable 36-bit DMA on specific
    PCI hosts (bsc#1012628).
  - wifi: brcm80211: BRCM_TRACING should depend on TRACING
    (bsc#1012628).
  - RDMA/cxgb4: Dump vendor specific QP details (bsc#1012628).
  - RDMA/mlx5: Round max_rd_atomic/max_dest_rd_atomic up instead
    of down (bsc#1012628).
  - RDMA/bnxt_re: Fix the usage of control path spin locks
    (bsc#1012628).
  - RDMA/bnxt_re: synchronize the qp-handle table array
    (bsc#1012628).
  - wifi: iwlwifi: mvm: don't leak a link on AP removal
    (bsc#1012628).
  - wifi: iwlwifi: mvm: really send iwl_txpower_constraints_cmd
    (bsc#1012628).
  - wifi: iwlwifi: mvm: Fix response handling in
    iwl_mvm_send_recovery_cmd() (bsc#1012628).
  - wifi: iwlwifi: mvm: don't add default link in fw restart flow
    (bsc#1012628).
  - Revert "wifi: iwlwifi: remove retry loops in start"
    (bsc#1012628).
  - ASoC: cs42l51: Fix some error handling paths in cs42l51_probe()
    (bsc#1012628).
  - macsec: Fix use-after-free while sending the offloading packet
    (bsc#1012628).
  - ASoC: dapm: fix bounds checker error in dapm_widget_list_create
    (bsc#1012628).
  - sock_map: fix a NULL pointer dereference in
    sock_map_link_update_prog() (bsc#1012628).
  - net: stmmac: dwmac4: Fix high address display by updating
    reg_space[] from register values (bsc#1012628).
  - net: stmmac: TSO: Fix unbalanced DMA map/unmap for non-paged
    SKB data (bsc#1012628).
  - dpll: add Embedded SYNC feature for a pin (bsc#1012628).
  - ice: add callbacks for Embedded SYNC enablement on dpll pins
    (bsc#1012628).
  - ice: fix crash on probe for DPLL enabled E810 LOM (bsc#1012628).
  - ipv4: ip_tunnel: Fix suspicious RCU usage warning in
    ip_tunnel_init_flow() (bsc#1012628).
  - ipv4: ip_tunnel: Fix suspicious RCU usage warning in
    ip_tunnel_find() (bsc#1012628).
  - gtp: allow -1 to be specified as file description from userspace
    (bsc#1012628).
  - net/sched: stop qdisc_tree_reduce_backlog on TC_H_ROOT
    (bsc#1012628).
  - bpf: Force checkpoint when jmp history is too long
    (bsc#1012628).
  - netdevsim: Add trailing zero to terminate the string in
    nsim_nexthop_bucket_activity_write() (bsc#1012628).
  - net/sched: sch_api: fix xa_insert() error path in
    tcf_block_get_ext() (bsc#1012628).
  - bpf: Fix out-of-bounds write in trie_get_next_key()
    (bsc#1012628).
  - net: fix crash when config small gso_max_size/gso_ipv4_max_size
    (bsc#1012628).
  - netfilter: Fix use-after-free in get_info() (bsc#1012628).
  - netfilter: nf_reject_ipv6: fix potential crash in
    nf_send_reset6() (bsc#1012628).
  - Bluetooth: hci: fix null-ptr-deref in hci_read_supported_codecs
    (bsc#1012628).
  - bpf: Free dynamically allocated bits in bpf_iter_bits_destroy()
    (bsc#1012628).
  - bpf: Add bpf_mem_alloc_check_size() helper (bsc#1012628).
  - bpf: Check the validity of nr_words in bpf_iter_bits_new()
    (bsc#1012628).
  - net: skip offload for NETIF_F_IPV6_CSUM if ipv6 header contains
    extension (bsc#1012628).
  - mlxsw: spectrum_ptp: Add missing verification before pushing
    Tx header (bsc#1012628).
  - mlxsw: pci: Sync Rx buffers for CPU (bsc#1012628).
  - mlxsw: pci: Sync Rx buffers for device (bsc#1012628).
  - mlxsw: spectrum_ipip: Fix memory leak when changing remote
    IPv6 address (bsc#1012628).
  - net: ethernet: mtk_wed: fix path of MT7988 WO firmware
    (bsc#1012628).
  - netfilter: nft_payload: sanitize offset and length before
    calling skb_checksum() (bsc#1012628).
  - bpf, test_run: Fix LIVE_FRAME frame update after a page has
    been recycled (bsc#1012628).
  - iomap: improve shared block detection in iomap_unshare_iter
    (bsc#1012628).
  - iomap: don't bother unsharing delalloc extents (bsc#1012628).
  - iomap: share iomap_unshare_iter predicate code with fsdax
    (bsc#1012628).
  - fsdax: remove zeroing code from dax_unshare_iter (bsc#1012628).
  - fsdax: dax_unshare_iter needs to copy entire blocks
    (bsc#1012628).
  - iomap: turn iomap_want_unshare_iter into an inline function
    (bsc#1012628).
  - kasan: Fix Software Tag-Based KASAN with GCC (bsc#1012628).
  - firmware: arm_sdei: Fix the input parameter of
    cpuhp_remove_state() (bsc#1012628).
  - afs: Fix missing subdir edit when renamed between parent dirs
    (bsc#1012628).
  - ACPI: CPPC: Make rmw_lock a raw_spin_lock (bsc#1012628).
  - gpio: sloppy-logic-analyzer: Check for error code from
    devm_mutex_init() call (bsc#1012628).
  - drm/mediatek: ovl: Remove the color format comment for
    ovl_fmt_convert() (bsc#1012628).
  - drm/mediatek: Fix color format MACROs in OVL (bsc#1012628).
  - drm/mediatek: Fix get efuse issue for MT8188 DPTX (bsc#1012628).
  - drm/mediatek: Use cmdq_pkt_create() and cmdq_pkt_destroy()
    (bsc#1012628).
  - drm/mediatek: Fix potential NULL dereference in
    mtk_crtc_destroy() (bsc#1012628).
  - drm/tegra: Fix NULL vs IS_ERR() check in probe() (bsc#1012628).
  - cxl/events: Fix Trace DRAM Event Record (bsc#1012628).
  - nvme: module parameter to disable pi with offsets (bsc#1012628).
  - drm/panthor: Fix firmware initialization on systems with a
    page size > 4k (bsc#1012628).
  - drm/panthor: Fail job creation when the group is dead
    (bsc#1012628).
  - drm/panthor: Report group as timedout when we fail to properly
    suspend (bsc#1012628).
  - ntfs3: Add bounds checking to mi_enum_attr() (bsc#1012628).
  - fs/ntfs3: Check if more than chunk-size bytes are written
    (bsc#1012628).
  - fs/ntfs3: Fix warning possible deadlock in ntfs_set_state
    (bsc#1012628).
  - fs/ntfs3: Stale inode instead of bad (bsc#1012628).
  - fs/ntfs3: Add rough attr alloc_size check (bsc#1012628).
  - fs/ntfs3: Fix possible deadlock in mi_read (bsc#1012628).
  - fs/ntfs3: Additional check in ni_clear() (bsc#1012628).
  - fs/ntfs3: Fix general protection fault in run_is_mapped_full
    (bsc#1012628).
  - fs/ntfs3: Additional check in ntfs_file_release (bsc#1012628).
  - rust: device: change the from_raw() function (bsc#1012628).
  - scsi: scsi_transport_fc: Allow setting rport state to current
    state (bsc#1012628).
  - cifs: Improve creating native symlinks pointing to directory
    (bsc#1012628).
  - cifs: Fix creating native symlinks pointing to current or
    parent directory (bsc#1012628).
  - ACPI: resource: Fold Asus Vivobook Pro N6506M* DMI quirks
    together (bsc#1012628).
  - powercap: intel_rapl_msr: Add PL4 support for Arrowlake-U
    (bsc#1012628).
  - thermal: intel: int340x: processor: Remove MMIO RAPL CPU
    hotplug support (bsc#1012628).
  - thermal: intel: int340x: processor: Add MMIO RAPL PL4 support
    (bsc#1012628).
  - net: amd: mvme147: Fix probe banner message (bsc#1012628).
  - NFS: remove revoked delegation from server's delegation list
    (bsc#1012628).
  - misc: sgi-gru: Don't disable preemption in GRU driver
    (bsc#1012628).
  - NFSD: Initialize struct nfsd4_copy earlier (bsc#1012628).
  - NFSD: Never decrement pending_async_copies on error
    (bsc#1012628).
  - rpcrdma: Always release the rpcrdma_device's xa_array
    (bsc#1012628).
  - ALSA: usb-audio: Add quirks for Dell WD19 dock (bsc#1012628).
  - wifi: rtlwifi: rtl8192du: Don't claim USB ID 0bda:8171
    (bsc#1012628).
  - usbip: tools: Fix detach_port() invalid port error path
    (bsc#1012628).
  - usb: phy: Fix API devm_usb_put_phy() can not release the phy
    (bsc#1012628).
  - usb: typec: fix unreleased fwnode_handle in
    typec_port_register_altmodes() (bsc#1012628).
  - usb: typec: tcpm: restrict SNK_WAIT_CAPABILITIES_TIMEOUT
    transitions to non self-powered devices (bsc#1012628).
  - usb: typec: qcom-pmic-typec: use fwnode_handle_put() to release
    fwnodes (bsc#1012628).
  - usb: typec: qcom-pmic-typec: fix missing fwnode removal in
    error path (bsc#1012628).
  - phy: qcom: qmp-usb: fix NULL-deref on runtime suspend
    (bsc#1012628).
  - phy: qcom: qmp-usb-legacy: fix NULL-deref on runtime suspend
    (bsc#1012628).
  - phy: qcom: qmp-usbc: fix NULL-deref on runtime suspend
    (bsc#1012628).
  - xhci: Fix Link TRB DMA in command ring stopped completion event
    (bsc#1012628).
  - xhci: Use pm_runtime_get to prevent RPM on unsupported systems
    (bsc#1012628).
  - Revert "driver core: Fix uevent_show() vs driver detach race"
    (bsc#1012628).
  - Revert "drm/amd/display: update DML2 policy
    EnhancedPrefetchScheduleAccelerationFinal DCN35" (bsc#1012628).
  - Revert "selftests/mm: fix deadlock for fork after pthread_create
    on ARM" (bsc#1012628).
  - Revert "selftests/mm: replace atomic_bool with
    pthread_barrier_t" (bsc#1012628).
  - wifi: mac80211: do not pass a stopped vif to the driver in
    .get_txpower (bsc#1012628).
  - wifi: ath10k: Fix memory leak in management tx (bsc#1012628).
  - wifi: cfg80211: clear wdev->cqm_config pointer on free
    (bsc#1012628).
  - wifi: iwlegacy: Clear stale interrupts before resuming device
    (bsc#1012628).
  - wifi: iwlwifi: mvm: fix 6 GHz scan construction (bsc#1012628).
  - staging: iio: frequency: ad9832: fix division by zero in
    ad9832_calc_freqreg() (bsc#1012628).
  - dt-bindings: iio: adc: ad7380: fix ad7380-4 reference supply
    (bsc#1012628).
  - iio: adc: ad7124: fix division by zero in
    ad7124_set_channel_odr() (bsc#1012628).
  - iio: gts-helper: Fix memory leaks for the error path of
    iio_gts_build_avail_scale_table() (bsc#1012628).
  - iio: gts-helper: Fix memory leaks in
    iio_gts_build_avail_scale_table() (bsc#1012628).
  - iio: light: veml6030: fix microlux value calculation
    (bsc#1012628).
  - nilfs2: fix kernel bug due to missing clearing of checked flag
    (bsc#1012628).
  - nilfs2: fix potential deadlock with newly created symlinks
    (bsc#1012628).
  - RISC-V: ACPI: fix early_ioremap to early_memremap (bsc#1012628).
  - mm: shmem: fix data-race in shmem_getattr() (bsc#1012628).
  - tools/mm: -Werror fixes in page-types/slabinfo (bsc#1012628).
  - mm: shrinker: avoid memleak in alloc_shrinker_info
    (bsc#1012628).
  - firmware: microchip: auto-update: fix poll_complete() to not
    report spurious timeout errors (bsc#1012628).
  - thunderbolt: Fix KASAN reported stack out-of-bounds read in
    tb_retimer_scan() (bsc#1012628).
  - thunderbolt: Honor TMU requirements in the domain when setting
    TMU mode (bsc#1012628).
  - soc: qcom: pmic_glink: Handle GLINK intent allocation rejections
    (bsc#1012628).
  - cxl/port: Fix use-after-free, permit out-of-order decoder
    shutdown (bsc#1012628).
  - cxl/port: Fix CXL port initialization order when the subsystem
    is built-in (bsc#1012628).
  - mmc: sdhci-pci-gli: GL9767: Fix low power mode on the set
    clock function (bsc#1012628).
  - mmc: sdhci-pci-gli: GL9767: Fix low power mode in the SD
    Express process (bsc#1012628).
  - block: fix sanity checks in blk_rq_map_user_bvec (bsc#1012628).
  - cgroup/bpf: use a dedicated workqueue for cgroup bpf destruction
    (bsc#1012628).
  - phy: freescale: imx8m-pcie: Do CMN_RST just before PHY PLL
    lock check (bsc#1012628).
  - btrfs: merge btrfs_orig_bbio_end_io() into btrfs_bio_end_io()
    (bsc#1012628).
  - btrfs: fix error propagation of split bios (bsc#1012628).
  - spi: spi-fsl-dspi: Fix crash when not using GPIO chip select
    (bsc#1012628).
  - riscv: vdso: Prevent the compiler from inserting calls to
    memset() (bsc#1012628).
  - Input: edt-ft5x06 - fix regmap leak when probe fails
    (bsc#1012628).
  - ALSA: hda/realtek: Limit internal Mic boost on Dell platform
    (bsc#1012628).
  - riscv: efi: Set NX compat flag in PE/COFF header (bsc#1012628).
  - riscv: Prevent a bad reference count on CPU nodes (bsc#1012628).
  - riscv: Use '%u' to format the output of 'cpu' (bsc#1012628).
  - riscv: Remove unused GENERATING_ASM_OFFSETS (bsc#1012628).
  - riscv: Remove duplicated GET_RM (bsc#1012628).
  - scsi: ufs: core: Fix another deadlock during RTC update
    (bsc#1012628).
  - cxl/port: Fix cxl_bus_rescan() vs bus_rescan_devices()
    (bsc#1012628).
  - cxl/acpi: Ensure ports ready at cxl_acpi_probe() return
    (bsc#1012628).
  - sched/numa: Fix the potential null pointer dereference in
    task_numa_work() (bsc#1012628).
  - posix-cpu-timers: Clear TICK_DEP_BIT_POSIX_TIMER on clone
    (bsc#1012628).
  - iov_iter: fix copy_page_from_iter_atomic() if
    KMAP_LOCAL_FORCE_MAP (bsc#1012628).
  - tpm: Return tpm2_sessions_init() when null key creation fails
    (bsc#1012628).
  - tpm: Rollback tpm2_load_null() (bsc#1012628).
  - drm/amd/pm: Vangogh: Fix kernel memory out of bounds write
    (bsc#1012628).
  - drm/amdgpu/smu13: fix profile reporting (bsc#1012628).
  - tpm: Lazily flush the auth session (bsc#1012628).
  - mptcp: init: protect sched with rcu_read_lock (bsc#1012628).
  - mei: use kvmalloc for read buffer (bsc#1012628).
  - fork: do not invoke uffd on fork if error occurs (bsc#1012628).
  - fork: only invoke khugepaged, ksm hooks if no error
    (bsc#1012628).
  - mm/page_alloc: let GFP_ATOMIC order-0 allocs access highatomic
    reserves (bsc#1012628).
  - x86/traps: Enable UBSAN traps on x86 (bsc#1012628).
  - x86/traps: move kmsan check after instrumentation_begin
    (bsc#1012628).
  - ocfs2: pass u64 to ocfs2_truncate_inline maybe overflow
    (bsc#1012628).
  - resource,kexec: walk_system_ram_res_rev must retain resource
    flags (bsc#1012628).
  - mctp i2c: handle NULL header address (bsc#1012628).
  - btrfs: fix use-after-free of block device file in
    __btrfs_free_extra_devids() (bsc#1012628).
  - accel/ivpu: Fix NOC firewall interrupt handling (bsc#1012628).
  - xfs: fix finding a last resort AG in xfs_filestream_pick_ag
    (bsc#1012628).
  - ALSA: hda/realtek: Fix headset mic on TUXEDO Gemini 17 Gen3
    (bsc#1012628).
  - ALSA: hda/realtek: Fix headset mic on TUXEDO Stellaris 16 Gen6
    mb1 (bsc#1012628).
  - nvmet-auth: assign dh_key to NULL after kfree_sensitive
    (bsc#1012628).
  - nvme: re-fix error-handling for io_uring nvme-passthrough
    (bsc#1012628).
  - kasan: remove vmalloc_percpu test (bsc#1012628).
  - drm/tests: helpers: Add helper for
    drm_display_mode_from_cea_vic() (bsc#1012628).
  - drm/connector: hdmi: Fix memory leak in
    drm_display_mode_from_cea_vic() (bsc#1012628).
  - drm/tests: hdmi: Fix memory leaks in
    drm_display_mode_from_cea_vic() (bsc#1012628).
  - drm/xe: Fix register definition order in xe_regs.h
    (bsc#1012628).
  - drm/xe: Kill regs/xe_sriov_regs.h (bsc#1012628).
  - drm/xe: Add mmio read before GGTT invalidate (bsc#1012628).
  - drm/xe: Don't short circuit TDR on jobs not started
    (bsc#1012628).
  - io_uring/rw: fix missing NOWAIT check for O_DIRECT start write
    (bsc#1012628).
  - btrfs: fix extent map merging not happening for adjacent extents
    (bsc#1012628).
  - btrfs: fix defrag not merging contiguous extents due to merged
    extent maps (bsc#1012628).
  - gpiolib: fix debugfs newline separators (bsc#1012628).
  - gpiolib: fix debugfs dangling chip separator (bsc#1012628).
  - vmscan,migrate: fix page count imbalance on node stats when
    demoting pages (bsc#1012628).
  - mm, mmap: limit THP alignment of anonymous mappings to
    PMD-aligned sizes (bsc#1012628).
  - Input: fix regression when re-registering input handlers
    (bsc#1012628).
  - mm: multi-gen LRU: ignore non-leaf pmd_young for force_scan=true
    (bsc#1012628).
  - mm: multi-gen LRU: remove MM_LEAF_OLD and MM_NONLEAF_TOTAL stats
    (bsc#1012628).
  - mm: shrink skip folio mapped by an exiting process
    (bsc#1012628).
  - mm: multi-gen LRU: use {ptep,pmdp}_clear_young_notify()
    (bsc#1012628).
  - riscv: dts: starfive: Update ethernet phy0 delay parameter
    values for Star64 (bsc#1012628).
  - riscv: dts: starfive: disable unused csi/camss nodes
    (bsc#1012628).
  - arm64: dts: qcom: msm8939: revert use of APCS mbox for RPM
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100-yoga-slim7x: fix nvme regulator
    boot glitch (bsc#1012628).
  - arm64: dts: qcom: x1e80100: Fix up BAR spaces (bsc#1012628).
  - arm64: dts: qcom: x1e80100-vivobook-s15: fix nvme regulator
    boot glitch (bsc#1012628).
  - arm64: dts: qcom: x1e80100: fix PCIe4 interconnect
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100-qcp: fix nvme regulator boot glitch
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100-crd: fix nvme regulator boot glitch
    (bsc#1012628).
  - arm64: dts: imx8ulp: correct the flexspi compatible string
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100: Add Broadcast_AND region in LLCC
    block (bsc#1012628).
  - arm64: dts: qcom: x1e80100: fix PCIe4 and PCIe6a PHY clocks
    (bsc#1012628).
  - drm/i915: Skip programming FIA link enable bits for MTL+
    (bsc#1012628).
  - drm/i915: disable fbc due to Wa_16023588340 (bsc#1012628).
  - drm/i915/display: Cache adpative sync caps to use it later
    (bsc#1012628).
  - drm/i915/display: WA for Re-initialize dispcnlunitt1 xosc clock
    (bsc#1012628).
  - drm/i915/hdcp: Add encoder check in intel_hdcp_get_capability
    (bsc#1012628).
  - drm/i915/hdcp: Add encoder check in hdcp2_get_capability
    (bsc#1012628).
  - drm/i915/dp: Clear VSC SDP during post ddi disable routine
    (bsc#1012628).
  - drm/i915/display/dp: Compute AS SDP when vrr is also enabled
    (bsc#1012628).
  - drm/i915/pps: Disable DPLS_GATING around pps sequence
    (bsc#1012628).
  - drm/i915: move rawclk from runtime to display runtime info
    (bsc#1012628).
  - drm/xe/display: drop unused rawclk_freq and RUNTIME_INFO()
    (bsc#1012628).
  - drm/i915/psr: Prevent Panel Replay if CRC calculation is enabled
    (bsc#1012628).
  - drm/i915/display: Don't enable decompression on Xe2 with Tile4
    (bsc#1012628).
  - drm/xe: Support 'nomodeset' kernel command-line option
    (bsc#1012628).
  - drm/xe/xe2hpg: Add Wa_15016589081 (bsc#1012628).
  - drm/xe: Move enable host l2 VRAM post MCR init (bsc#1012628).
  - drm/xe/xe2hpg: Introduce performance tuning changes for Xe2_HPG
    (bsc#1012628).
  - drm/xe/xe2: Introduce performance changes (bsc#1012628).
  - drm/xe/xe2: Add performance turning changes (bsc#1012628).
  - drm/xe: Define STATELESS_COMPRESSION_CTRL as mcr register
    (bsc#1012628).
  - drm/xe: Write all slices if its mcr register (bsc#1012628).
  - drm/amdgpu/swsmu: fix ordering for setting workload_mask
    (bsc#1012628).
  - drm/amdgpu/swsmu: default to fullscreen 3D profile for dGPUs
    (bsc#1012628).
  - fs/ntfs3: Sequential field availability check in mi_enum_attr()
    (bsc#1012628).
  - drm/amdgpu: handle default profile on on devices without
    fullscreen 3D (bsc#1012628).
  - MIPS: export __cmpxchg_small() (bsc#1012628).
  - RISC-V: disallow gcc + rust builds (bsc#1012628).
  - rcu/kvfree: Add kvfree_rcu_barrier() API (bsc#1012628).
  - lib: alloc_tag_module_unload must wait for pending kfree_rcu
    calls (bsc#1012628).
  - rcu/kvfree: Refactor kvfree_rcu_queue_batch() (bsc#1012628).
  - Rename to
    patches.kernel.org/6.11.7-066-smb-client-fix-parsing-of-device-numbers.patch.
  - Rename to
    patches.kernel.org/6.11.7-067-smb-client-set-correct-device-number-on-nfs-re.patch.
  - Rename to
    patches.kernel.org/6.11.7-075-PCI-Fix-pci_enable_acs-support-for-the-ACS-qui.patch.
  - commit cc2866a
* Tue Nov 05 2024 mkubecek@suse.cz
  - config: restore ordering
    Just a refresh to restore correct order of the options.
  - commit df760cb
* Mon Nov 04 2024 jdelvare@suse.de
  - Disable CONFIG_THERMAL_CORE_TESTING in default configs
    This is a debugging module, so only enable it in debug kernels.
  - commit b3de9ec
* Mon Nov 04 2024 jdelvare@suse.de
  - Disable KEBA CP500 drivers where not needed
    The KEBA CP500 device is only found on x86-64 and arm64 systems, so
    there's no point in building the drivers on other architectures.
  - commit 9810751
* Mon Nov 04 2024 jslaby@suse.cz
  - Update config files.
    Only ran oldconfig.
  - commit d79ce04
* Mon Nov 04 2024 jslaby@suse.cz
  - Revert "config: Disable CONFIG_FB_DEVICE (boo#1212947)" (bsc#1232727)
    This reverts commit 72a3d938184eef39f7ba95dd5c99d1322c18ba84.
  - commit 8545f24
* Mon Nov 04 2024 mkubecek@suse.cz
  - update to 6.12-rc6
  - drop obsolete patch
    - patches.suse/Revert-PCI-Extend-ACS-configurability.patch (by f3c3ccc4fe49)
  - update configs
    - new config option
    - DRM_MSM_VALIDATE_XML=n (arm64 and armv7hl)
  - commit b3de43a
* Fri Nov 01 2024 jslaby@suse.cz
  - Linux 6.11.6 (bsc#1012628).
  - bpf: Use raw_spinlock_t in ringbuf (bsc#1012628).
  - iio: accel: bma400: Fix uninitialized variable field_value in
    tap event handling (bsc#1012628).
  - reset: starfive: jh71x0: Fix accessing the empty member on
    JH7110 SoC (bsc#1012628).
  - bpf: sync_linked_regs() must preserve subreg_def (bsc#1012628).
  - bpf: Make sure internal and UAPI bpf_redirect flags don't
    overlap (bsc#1012628).
  - irqchip/riscv-imsic: Fix output text of base address
    (bsc#1012628).
  - bpf: devmap: provide rxq after redirect (bsc#1012628).
  - cpufreq/amd-pstate: Fix amd_pstate mode switch on shared memory
    systems (bsc#1012628).
  - lib/Kconfig.debug: fix grammar in RUST_BUILD_ASSERT_ALLOW
    (bsc#1012628).
  - bpf: Fix memory leak in bpf_core_apply (bsc#1012628).
  - RDMA/bnxt_re: Fix a possible memory leak (bsc#1012628).
  - RDMA/bnxt_re: Fix incorrect AVID type in WQE structure
    (bsc#1012628).
  - RDMA/bnxt_re: Add a check for memory allocation (bsc#1012628).
  - RDMA/core: Fix ENODEV error for iWARP test over vlan
    (bsc#1012628).
  - x86/resctrl: Avoid overflow in MB settings in bw_validate()
    (bsc#1012628).
  - ARM: dts: bcm2837-rpi-cm3-io3: Fix HDMI hpd-gpio pin
    (bsc#1012628).
  - clk: rockchip: fix finding of maximum clock ID (bsc#1012628).
  - bpf: Check the remaining info_cnt before repeating btf fields
    (bsc#1012628).
  - bpf: fix unpopulated name_len field in perf_event link info
    (bsc#1012628).
  - selftests/bpf: fix perf_event link info name_len assertion
    (bsc#1012628).
  - riscv, bpf: Fix possible infinite tailcall when CONFIG_CFI_CLANG
    is enabled (bsc#1012628).
  - s390/pci: Handle PCI error codes other than 0x3a (bsc#1012628).
  - bpf: fix kfunc btf caching for modules (bsc#1012628).
  - iio: frequency: {admv4420,adrf6780}: format Kconfig entries
    (bsc#1012628).
  - iio: frequency: admv4420: fix missing select REMAP_SPI in
    Kconfig (bsc#1012628).
  - drm/vmwgfx: Handle possible ENOMEM in
    vmw_stdu_connector_atomic_check (bsc#1012628).
  - selftests/bpf: Fix cross-compiling urandom_read (bsc#1012628).
  - bpf: Fix unpopulated path_size when uprobe_multi fields unset
    (bsc#1012628).
  - sched/core: Disable page allocation in task_tick_mm_cid()
    (bsc#1012628).
  - ALSA: hda/cs8409: Fix possible NULL dereference (bsc#1012628).
  - firmware: arm_scmi: Fix the double free in
    scmi_debugfs_common_setup() (bsc#1012628).
  - RDMA/cxgb4: Fix RDMA_CM_EVENT_UNREACHABLE error for iWARP
    (bsc#1012628).
  - RDMA/irdma: Fix misspelling of "accept*" (bsc#1012628).
  - RDMA/srpt: Make slab cache names unique (bsc#1012628).
  - elevator: do not request_module if elevator exists
    (bsc#1012628).
  - elevator: Remove argument from elevator_find_get (bsc#1012628).
  - ipv4: give an IPv4 dev to blackhole_netdev (bsc#1012628).
  - net: sparx5: fix source port register when mirroring
    (bsc#1012628).
  - RDMA/bnxt_re: Fix the max CQ WQEs for older adapters
    (bsc#1012628).
  - RDMA/bnxt_re: Fix out of bound check (bsc#1012628).
  - RDMA/bnxt_re: Fix incorrect dereference of srq in async event
    (bsc#1012628).
  - RDMA/bnxt_re: Return more meaningful error (bsc#1012628).
  - RDMA/bnxt_re: Avoid CPU lockups due fifo occupancy check loop
    (bsc#1012628).
  - RDMA/bnxt_re: Get the toggle bits from SRQ events (bsc#1012628).
  - RDMA/bnxt_re: Change the sequence of updating the CQ toggle
    value (bsc#1012628).
  - RDMA/bnxt_re: Fix a bug while setting up Level-2 PBL pages
    (bsc#1012628).
  - RDMA/bnxt_re: Fix the GID table length (bsc#1012628).
  - accel/qaic: Fix the for loop used to walk SG table
    (bsc#1012628).
  - drm/panel: himax-hx83102: Adjust power and gamma to optimize
    brightness (bsc#1012628).
  - drm/msm/dpu: make sure phys resources are properly initialized
    (bsc#1012628).
  - drm/msm/dpu: move CRTC resource assignment to
    dpu_encoder_virt_atomic_check (bsc#1012628).
  - drm/msm/dpu: check for overflow in _dpu_crtc_setup_lm_bounds()
    (bsc#1012628).
  - drm/msm/dsi: improve/fix dsc pclk calculation (bsc#1012628).
  - drm/msm/dsi: fix 32-bit signed integer extension in pclk_rate
    calculation (bsc#1012628).
  - drm/msm: Avoid NULL dereference in msm_disp_state_print_regs()
    (bsc#1012628).
  - drm/msm: Allocate memory for disp snapshot with kvzalloc()
    (bsc#1012628).
  - firmware: arm_scmi: Queue in scmi layer for mailbox
    implementation (bsc#1012628).
  - net/smc: Fix memory leak when using percpu refs (bsc#1012628).
  - net: usb: usbnet: fix race in probe failure (bsc#1012628).
  - net: stmmac: dwmac-tegra: Fix link bring-up sequence
    (bsc#1012628).
  - octeontx2-af: Fix potential integer overflows on integer shifts
    (bsc#1012628).
  - ring-buffer: Fix reader locking when changing the sub buffer
    order (bsc#1012628).
  - drm/amd/amdgpu: Fix double unlock in amdgpu_mes_add_ring
    (bsc#1012628).
  - macsec: don't increment counters for an unrelated SA
    (bsc#1012628).
  - netdevsim: use cond_resched() in nsim_dev_trap_report_work()
    (bsc#1012628).
  - net: ethernet: aeroflex: fix potential memory leak in
    greth_start_xmit_gbit() (bsc#1012628).
  - net/smc: Fix searching in list of known pnetids in
    smc_pnet_add_pnetid (bsc#1012628).
  - net: xilinx: axienet: fix potential memory leak in
    axienet_start_xmit() (bsc#1012628).
  - net: ethernet: rtsn: fix potential memory leak in
    rtsn_start_xmit() (bsc#1012628).
  - bpf: Fix truncation bug in coerce_reg_to_size_sx()
    (bsc#1012628).
  - net: systemport: fix potential memory leak in bcm_sysport_xmit()
    (bsc#1012628).
  - irqchip/renesas-rzg2l: Fix missing put_device (bsc#1012628).
  - drm/msm/dpu: Don't always set merge_3d pending flush
    (bsc#1012628).
  - drm/msm/dpu: don't always program merge_3d block (bsc#1012628).
  - net: bcmasp: fix potential memory leak in bcmasp_xmit()
    (bsc#1012628).
  - drm/msm/a6xx+: Insert a fence wait before SMMU table update
    (bsc#1012628).
  - tcp/dccp: Don't use timer_pending() in reqsk_queue_unlink()
    (bsc#1012628).
  - net: dsa: mv88e6xxx: Fix the max_vid definition for the
    MV88E6361 (bsc#1012628).
  - genetlink: hold RCU in genlmsg_mcast() (bsc#1012628).
  - ravb: Remove setting of RX software timestamp (bsc#1012628).
  - net: ravb: Only advertise Rx/Tx timestamps if hardware supports
    it (bsc#1012628).
  - net: dsa: vsc73xx: fix reception from VLAN-unaware bridges
    (bsc#1012628).
  - scsi: target: core: Fix null-ptr-deref in target_alloc_device()
    (bsc#1012628).
  - smb: client: fix possible double free in smb2_set_ea()
    (bsc#1012628).
  - smb: client: fix OOBs when building SMB2_IOCTL request
    (bsc#1012628).
  - usb: typec: altmode should keep reference to parent
    (bsc#1012628).
  - s390: Initialize psw mask in perf_arch_fetch_caller_regs()
    (bsc#1012628).
  - drm/xe: fix unbalanced rpm put() with fence_fini()
    (bsc#1012628).
  - drm/xe: fix unbalanced rpm put() with declare_wedged()
    (bsc#1012628).
  - drm/xe: Take job list lock in xe_sched_add_pending_job
    (bsc#1012628).
  - drm/xe: Don't free job in TDR (bsc#1012628).
  - drm/xe: Use bookkeep slots for external BO's in exec IOCTL
    (bsc#1012628).
  - bpf: Fix link info netfilter flags to populate defrag flag
    (bsc#1012628).
  - Bluetooth: bnep: fix wild-memory-access in proto_unregister
    (bsc#1012628).
  - vmxnet3: Fix packet corruption in vmxnet3_xdp_xmit_frame
    (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix memory corruption during fq
    dma init (bsc#1012628).
  - net/mlx5: Check for invalid vector index on EQ creation
    (bsc#1012628).
  - net/mlx5: Fix command bitmask initialization (bsc#1012628).
  - net/mlx5: Unregister notifier on eswitch init failure
    (bsc#1012628).
  - net/mlx5e: Don't call cleanup on profile rollback failure
    (bsc#1012628).
  - bpf, sockmap: SK_DROP on attempted redirects of unsupported
    af_vsock (bsc#1012628).
  - vsock: Update rx_bytes on read_skb() (bsc#1012628).
  - vsock: Update msg_count on read_skb() (bsc#1012628).
  - bpf, vsock: Drop static vsock_bpf_prot initialization
    (bsc#1012628).
  - riscv, bpf: Make BPF_CMPXCHG fully ordered (bsc#1012628).
  - nvme-pci: fix race condition between reset and
    nvme_dev_disable() (bsc#1012628).
  - bpf: Fix iter/task tid filtering (bsc#1012628).
  - bpf: Fix incorrect delta propagation between linked registers
    (bsc#1012628).
  - bpf: Fix print_reg_state's constant scalar dump (bsc#1012628).
  - cdrom: Avoid barrier_nospec() in cdrom_ioctl_media_changed()
    (bsc#1012628).
  - fgraph: Allocate ret_stack_list with proper size (bsc#1012628).
  - mm: shmem: rename shmem_is_huge() to shmem_huge_global_enabled()
    (bsc#1012628).
  - mm: shmem: move shmem_huge_global_enabled() into
    shmem_allowable_huge_orders() (bsc#1012628).
  - mm: huge_memory: add vma_thp_disabled() and thp_disabled_by_hw()
    (bsc#1012628).
  - mm: don't install PMD mappings when THPs are disabled by the
    hw/process/vma (bsc#1012628).
  - iio: adc: ti-lmp92064: add missing select IIO_(TRIGGERED_)BUFFER
    in Kconfig (bsc#1012628).
  - xhci: dbgtty: remove kfifo_out() wrapper (bsc#1012628).
  - xhci: dbgtty: use kfifo from tty_port struct (bsc#1012628).
  - xhci: dbc: honor usb transfer size boundaries (bsc#1012628).
  - uprobe: avoid out-of-bounds memory access of fetching args
    (bsc#1012628).
  - drm/vboxvideo: Replace fake VLA at end of
    vbva_mouse_pointer_shape with real VLA (bsc#1012628).
  - ASoC: amd: yc: Add quirk for HP Dragonfly pro one (bsc#1012628).
  - ASoC: codecs: lpass-rx-macro: add missing
    CDC_RX_BCL_VBAT_RF_PROC2 to default regs values (bsc#1012628).
  - ASoC: fsl_sai: Enable 'FIFO continue on error' FCONT bit
    (bsc#1012628).
  - arm64: Force position-independent veneers (bsc#1012628).
  - udf: refactor udf_current_aext() to handle error (bsc#1012628).
  - udf: refactor udf_next_aext() to handle error (bsc#1012628).
  - udf: refactor inode_bmap() to handle error (bsc#1012628).
  - udf: fix uninit-value use in udf_get_fileshortad (bsc#1012628).
  - ASoC: qcom: sm8250: add qrb4210-rb2-sndcard compatible string
    (bsc#1012628).
  - fsnotify: Avoid data race between fsnotify_recalc_mask()
    and fsnotify_object_watched() (bsc#1012628).
  - drm/xe/mcr: Use Xe2_LPM steering tables for Xe2_HPM
    (bsc#1012628).
  - cifs: Validate content of NFS reparse point buffer
    (bsc#1012628).
  - platform/x86: dell-sysman: add support for alienware products
    (bsc#1012628).
  - LoongArch: Don't crash in stack_top() for tasks without vDSO
    (bsc#1012628).
  - objpool: fix choosing allocation for percpu slots (bsc#1012628).
  - jfs: Fix sanity check in dbMount (bsc#1012628).
  - tracing/probes: Fix MAX_TRACE_ARGS limit handling (bsc#1012628).
  - tracing: Consider the NULL character when validating the event
    length (bsc#1012628).
  - xfrm: extract dst lookup parameters into a struct (bsc#1012628).
  - xfrm: respect ip protocols rules criteria when performing dst
    lookups (bsc#1012628).
  - xfrm: validate new SA's prefixlen using SA family when
    sel.family is unset (bsc#1012628).
  - netfilter: bpf: must hold reference on net namespace
    (bsc#1012628).
  - net: pse-pd: Fix out of bound for loop (bsc#1012628).
  - net/sun3_82586: fix potential memory leak in
    sun3_82586_send_packet() (bsc#1012628).
  - be2net: fix potential memory leak in be_xmit() (bsc#1012628).
  - net: plip: fix break; causing plip to never transmit
    (bsc#1012628).
  - bnxt_en: replace ptp_lock with irqsave variant (bsc#1012628).
  - octeon_ep: Implement helper for iterating packets in Rx queue
    (bsc#1012628).
  - octeon_ep: Add SKB allocation failures handling in
    __octep_oq_process_rx() (bsc#1012628).
  - net: dsa: mv88e6xxx: Fix error when setting port policy on
    mv88e6393x (bsc#1012628).
  - bpf, arm64: Fix address emission with tag-based KASAN enabled
    (bsc#1012628).
  - fsl/fman: Save device references taken in mac_probe()
    (bsc#1012628).
  - fsl/fman: Fix refcount handling of fman-related devices
    (bsc#1012628).
  - net: wwan: fix global oob in wwan_rtnl_policy (bsc#1012628).
  - net: fix races in netdev_tx_sent_queue()/dev_watchdog()
    (bsc#1012628).
  - virtio_net: fix integer overflow in stats (bsc#1012628).
  - mlxsw: spectrum_router: fix xa_store() error checking
    (bsc#1012628).
  - net: usb: usbnet: fix name regression (bsc#1012628).
  - bpf: Preserve param->string when parsing mount options
    (bsc#1012628).
  - bpf: Add MEM_WRITE attribute (bsc#1012628).
  - bpf: Fix overloading of MEM_UNINIT's meaning (bsc#1012628).
  - bpf: Remove MEM_UNINIT from skb/xdp MTU helpers (bsc#1012628).
  - net/sched: act_api: deny mismatched skip_sw/skip_hw flags for
    actions created by classifiers (bsc#1012628).
  - net: sched: fix use-after-free in taprio_change() (bsc#1012628).
  - net: sched: use RCU read-side critical section in taprio_dump()
    (bsc#1012628).
  - r8169: avoid unsolicited interrupts (bsc#1012628).
  - posix-clock: posix-clock: Fix unbalanced locking in
    pc_clock_settime() (bsc#1012628).
  - Bluetooth: hci_core: Disable works on hci_unregister_dev
    (bsc#1012628).
  - Bluetooth: SCO: Fix UAF on sco_sock_timeout (bsc#1012628).
  - Bluetooth: ISO: Fix UAF on iso_sock_timeout (bsc#1012628).
  - bpf,perf: Fix perf_event_detach_bpf_prog error handling
    (bsc#1012628).
  - bpf: fix do_misc_fixups() for bpf_get_branch_snapshot()
    (bsc#1012628).
  - net: dsa: microchip: disable EEE for KSZ879x/KSZ877x/KSZ876x
    (bsc#1012628).
  - net: dsa: mv88e6xxx: group cycle counter coefficients
    (bsc#1012628).
  - net: dsa: mv88e6xxx: read cycle counter period from hardware
    (bsc#1012628).
  - net: dsa: mv88e6xxx: support 4000ps cycle counter period
    (bsc#1012628).
  - bpf: Add the missing BPF_LINK_TYPE invocation for sockmap
    (bsc#1012628).
  - ASoC: dt-bindings: davinci-mcasp: Fix interrupts property
    (bsc#1012628).
  - ASoC: dt-bindings: davinci-mcasp: Fix interrupt properties
    (bsc#1012628).
  - ASoC: loongson: Fix component check failed on FDT systems
    (bsc#1012628).
  - ASoC: topology: Bump minimal topology ABI version (bsc#1012628).
  - ASoC: max98388: Fix missing increment of variable slot_found
    (bsc#1012628).
  - ASoC: rsnd: Fix probe failure on HiHope boards due to endpoint
    parsing (bsc#1012628).
  - PCI: Hold rescan lock while adding devices during host probe
    (bsc#1012628).
  - fs: pass offset and result to backing_file end_write() callback
    (bsc#1012628).
  - fuse: update inode size after extending passthrough write
    (bsc#1012628).
  - ASoC: fsl_micfil: Add a flag to distinguish with different
    volume control types (bsc#1012628).
  - ALSA: firewire-lib: Avoid division by zero in
    apply_constraint_to_size() (bsc#1012628).
  - fbdev: wm8505fb: select CONFIG_FB_IOMEM_FOPS (bsc#1012628).
  - powercap: dtpm_devfreq: Fix error check against
    dev_pm_qos_add_request() (bsc#1012628).
  - nfsd: cancel nfsd_shrinker_work using sync mode in
    nfs4_state_shutdown_net (bsc#1012628).
  - ALSA: hda/realtek: Update default depop procedure (bsc#1012628).
  - smb: client: Handle kstrdup failures for passwords
    (bsc#1012628).
  - cifs: fix warning when destroy 'cifs_io_request_pool'
    (bsc#1012628).
  - PCI/pwrctl: Add WCN6855 support (bsc#1012628).
  - PCI/pwrctl: Abandon QCom WCN probe on pre-pwrseq device-trees
    (bsc#1012628).
  - cpufreq: CPPC: fix perf_to_khz/khz_to_perf conversion exception
    (bsc#1012628).
  - btrfs: qgroup: set a more sane default value for subtree drop
    threshold (bsc#1012628).
  - btrfs: clear force-compress on remount when compress mount
    option is given (bsc#1012628).
  - btrfs: fix passing 0 to ERR_PTR in btrfs_search_dir_index_item()
    (bsc#1012628).
  - x86/amd_nb: Add new PCI IDs for AMD family 1Ah model 60h-70h
    (bsc#1012628).
  - x86/amd_nb: Add new PCI ID for AMD family 1Ah model 20h
    (bsc#1012628).
  - perf/x86/rapl: Fix the energy-pkg event for AMD CPUs
    (bsc#1012628).
  - btrfs: reject ro->rw reconfiguration if there are hard ro
    requirements (bsc#1012628).
  - btrfs: zoned: fix zone unusable accounting for freed reserved
    extent (bsc#1012628).
  - btrfs: fix read corruption due to race with extent map merging
    (bsc#1012628).
  - drm/amd: Guard against bad data for ATIF ACPI method
    (bsc#1012628).
  - ACPI: resource: Add LG 16T90SP to irq1_level_low_skip_override[]
    (bsc#1012628).
  - ACPI: PRM: Find EFI_MEMORY_RUNTIME block for PRM handler and
    context (bsc#1012628).
  - ACPI: button: Add DMI quirk for Samsung Galaxy Book2 to fix
    initial lid detection issue (bsc#1012628).
  - nilfs2: fix kernel bug due to missing clearing of buffer delay
    flag (bsc#1012628).
  - fs: don't try and remove empty rbtree node (bsc#1012628).
  - xfs: don't fail repairs on metadata files with no attr fork
    (bsc#1012628).
  - openat2: explicitly return -E2BIG for (usize > PAGE_SIZE)
    (bsc#1012628).
  - KVM: nSVM: Ignore nCR3[4:0] when loading PDPTEs from memory
    (bsc#1012628).
  - KVM: arm64: Unregister redistributor for failed vCPU creation
    (bsc#1012628).
  - KVM: arm64: Fix shift-out-of-bounds bug (bsc#1012628).
  - KVM: arm64: Don't eagerly teardown the vgic on init error
    (bsc#1012628).
  - firewire: core: fix invalid port index for parent device
    (bsc#1012628).
  - x86/lam: Disable ADDRESS_MASKING in most cases (bsc#1012628).
  - x86/sev: Ensure that RMP table fixups are reserved
    (bsc#1012628).
  - ALSA: hda/tas2781: select CRC32 instead of CRC32_SARWATE
    (bsc#1012628).
  - ALSA: hda/realtek: Add subwoofer quirk for Acer Predator G9-593
    (bsc#1012628).
  - LoongArch: Get correct cores_per_package for SMT systems
    (bsc#1012628).
  - LoongArch: Enable IRQ if do_ale() triggered in irq-enabled
    context (bsc#1012628).
  - LoongArch: Make KASAN usable for variable cpu_vabits
    (bsc#1012628).
  - xfrm: fix one more kernel-infoleak in algo dumping
    (bsc#1012628).
  - hv_netvsc: Fix VF namespace also in synthetic NIC
    NETDEV_REGISTER event (bsc#1012628).
  - md/raid10: fix null ptr dereference in raid10_size()
    (bsc#1012628).
  - drm/bridge: Fix assignment of the of_node of the parent to
    aux bridge (bsc#1012628).
  - drm/amd/display: Disable PSR-SU on Parade 08-01 TCON too
    (bsc#1012628).
  - platform/x86/intel/pmc: Fix pmc_core_iounmap to call iounmap
    for valid addresses (bsc#1012628).
  - fgraph: Fix missing unlock in register_ftrace_graph()
    (bsc#1012628).
  - fgraph: Change the name of cpuhp state to "fgraph:online"
    (bsc#1012628).
  - net: phy: dp83822: Fix reset pin definitions (bsc#1012628).
  - nfsd: fix race between laundromat and free_stateid
    (bsc#1012628).
  - drm/amd/display: temp w/a for DP Link Layer compliance
    (bsc#1012628).
  - ata: libata: Set DID_TIME_OUT for commands that actually timed
    out (bsc#1012628).
  - ASoC: SOF: Intel: hda-loader: do not wait for HDaudio IOC
    (bsc#1012628).
  - ASoC: SOF: Intel: hda: Handle prepare without close for non-HDA
    DAI's (bsc#1012628).
  - ASoC: SOF: Intel: hda: Always clean up link DMA during stop
    (bsc#1012628).
  - ASoC: SOF: ipc4-topology: Do not set ALH node_id for aggregated
    DAIs (bsc#1012628).
  - ASoC: dapm: avoid container_of() to get component (bsc#1012628).
  - ASoC: qcom: sc7280: Fix missing Soundwire runtime stream alloc
    (bsc#1012628).
  - ASoC: qcom: sdm845: add missing soundwire runtime stream alloc
    (bsc#1012628).
  - ASoC: qcom: Fix NULL Dereference in
    asoc_qcom_lpass_cpu_platform_probe() (bsc#1012628).
  - Revert " fs/9p: mitigate inode collisions" (bsc#1012628).
  - Revert "fs/9p: remove redundant pointer v9ses" (bsc#1012628).
  - Revert "fs/9p: fix uaf in in v9fs_stat2inode_dotl"
    (bsc#1012628).
  - Revert "fs/9p: simplify iget to remove unnecessary paths"
    (bsc#1012628).
  - soundwire: intel_ace2x: Send PDI stream number during prepare
    (bsc#1012628).
  - x86: support user address masking instead of non-speculative
    conditional (bsc#1012628).
  - x86: fix whitespace in runtime-const assembler output
    (bsc#1012628).
  - x86: fix user address masking non-canonical speculation issue
    (bsc#1012628).
  - platform/x86: dell-wmi: Ignore suspend notifications
    (bsc#1012628).
  - ACPI: PRM: Clean up guid type in struct prm_handler_info
    (bsc#1012628).
  - ASoC: qcom: Select missing common Soundwire module code on
    SDM845 (bsc#1012628).
  - Rename to
    patches.kernel.org/6.11.6-059-PATCH-hwmon-jc42-Properly-detect-TSE2004-compl.patch.
  - Rename to
    patches.kernel.org/6.11.6-156-netfilter-xtables-fix-typo-causing-some-target.patch.
  - commit 97f5894
* Wed Oct 30 2024 jslaby@suse.cz
  - Refresh
    patches.suse/PCI-Fix-pci_enable_acs-support-for-the-ACS-quirks.patch.
    Update upstream status.
  - commit ace6a98
* Tue Oct 29 2024 jslaby@suse.cz
  - smb: client: fix parsing of device numbers
    (<20241028094339.zrywdlzguj6udyg7@pali>).
  - smb: client: set correct device number on nfs reparse points
    (<20241028094339.zrywdlzguj6udyg7@pali>).
  - commit 156d4b5
* Tue Oct 29 2024 jslaby@suse.cz
  - netfilter: xtables: fix typo causing some targets not to load
    on IPv6 (bsc#1231832).
    Update upstream status.
  - commit 477b5e7
* Mon Oct 28 2024 tzimmermann@suse.com
  - config: Disable obsolete fbdev drivers that depend on CONFIG_FB_DEVICE=y (boo#1212947)
    Various obsolete drivers require CONFIG_FB_DEVICE=y due to deficiencies
    on their programming. The related hardware is long obsolete and most the
    drivers have DRM replacements. Disable the drivers.
  - commit a077f29
* Mon Oct 28 2024 tzimmermann@suse.com
  - config: Disable CONFIG_FB_DEVICE (boo#1212947)
    Disable fbdev userspace interfaces on all architectures. Fbcon doesn't
    require them and everything else runs on DRM. Closes a potential attack
    surface into the kernel and HW.
    [js] run oldconfig
  - config: Disable CONFIG_FB_DEVICE (boo#1212947)
    Disable fbdev userspace interfaces on all architectures. Fbcon doesn't
    require them and everything else runs on DRM. Closes a potential attack
    surface into the kernel and HW.
  - commit 90b0f5b
* Mon Oct 28 2024 mkubecek@suse.cz
  - update to 6.12-rc5
  - refresh configs
  - commit 2ad1e36
* Fri Oct 25 2024 tzimmermann@suse.com
  - config: Fixes from run_oldconfig.sh
    Clean up config files via run_oldconfig.sh. No actual config changes.
  - commit ee34501
* Wed Oct 23 2024 mkubecek@suse.cz
  - config: update zswap options configuration also on arm (bsc#1231416)
    This mirrors changes done on other architectures by kernel-source commit
    7cce8ae7a3a8 ("Update ZSWAP config files (bsc#1231416)"). As arm configs
    were disabled at the moment, there were not updated back then.
    The only relevant change is switching the default to zsmalloc (deprecated
    z3fold was already disabled on arm architectures).
  - commit 2094691
* Wed Oct 23 2024 mkubecek@suse.cz
  - config: refresh arm vanilla configs
  - commit cacd982
* Wed Oct 23 2024 mkubecek@suse.cz
  - series.conf: cleanup
  - move into "almost mainline" section
    - patches.suse/Bluetooth-btmtk-Remove-resetting-mt7921-before-downl.patch
    (no effect on expanded tree)
  - commit 70b774c
* Wed Oct 23 2024 mfranc@suse.cz
  - config s390x: build ultravisor user space access into the kernel
    This is important for the new attestation features in s390-tools.
    It's better to have this in the kernel itself.
  - commit 67cbf31
* Wed Oct 23 2024 svarbanov@suse.de
  - config: update and reenable armv6hl configs
    Option values mirrored from armv7hl
  - commit 36b8ebf
* Wed Oct 23 2024 svarbanov@suse.de
  - config: update and reenable armv7hl configs
    Option values from arm64 and x86.
  - commit 79cf2df
* Wed Oct 23 2024 svarbanov@suse.de
  - config: update and reenable arm64 configs
    Options mirrored from x86_64, except:
    CONFIG_RUSTC_SUPPORTS_ARM64=y
    CONFIG_ARM64_POE=y
    CONFIG_ARCH_USES_PG_ARCH_3=y
    CONFIG_PCIE_QCOM_COMMON=y
    CONFIG_ARM_SCMI_TRANSPORT_OPTEE=m
    CONFIG_IMX_SCMI_BBM_EXT=m
    CONFIG_IMX_SCMI_MISC_EXT=m
    CONFIG_IMX_SCMI_MISC_DRV=m
    CONFIG_LAN865X=m
    CONFIG_FDMA=y
    CONFIG_KEYBOARD_IMX_BBM_SCMI=m
    CONFIG_HW_RANDOM_ROCKCHIP=m
    CONFIG_I2C_MUX_MULE=m
    CONFIG_GPIO_ADP5585=m
    CONFIG_RENESAS_RZV2HWDT=m
    CONFIG_MFD_ADP5585=m
    CONFIG_VIDEO_HANTRO_HEVC_RFC=y
    CONFIG_DRM_PANEL_BOE_TV101WUM_LL2=m
    CONFIG_SND_SOC_MT8365=m
    CONFIG_SND_SOC_MT8365_MT6357=m
    CONFIG_SND_SOC_MT6357=m
    CONFIG_MMC_SDHCI_OF_MA35D1=m
    CONFIG_RTC_DRV_IMX_BBM_SCMI=m
    CONFIG_ARM_PKVM_GUEST=y
    CONFIG_SM_CAMCC_4450=m
    CONFIG_SM_CAMCC_8150=m
    CONFIG_SM_DISPCC_4450=m
    CONFIG_SM_GPUCC_4450=m
    CONFIG_CLK_R9A09G057=y
    CONFIG_CLK_RZV2H=y
    CONFIG_CLK_RK3576=y
    CONFIG_TEGRA241_CMDQV=y
    CONFIG_CPM_TSA=m
    CONFIG_ADXL380=m
    CONFIG_ADXL380_SPI=m
    CONFIG_ADXL380_I2C=m
    CONFIG_AD4000=m
    CONFIG_AD4695=m
    CONFIG_PAC1921=m
    CONFIG_LTC2664=m
    CONFIG_ENS210=m
    CONFIG_BH1745=m
    CONFIG_SDP500=m
    CONFIG_HX9023S=m
    CONFIG_AW96103=m
    CONFIG_PWM_ADP5585=m
    CONFIG_PHY_MA35_USB=m
    CONFIG_ARM_NI=m
    CONFIG_INTERCONNECT_QCOM_MSM8937=m
    CONFIG_INTERCONNECT_QCOM_MSM8976=m
  - commit 6d55782
* Wed Oct 23 2024 jslaby@suse.cz
  - Update
    patches.kernel.org/6.11.5-096-Bluetooth-btusb-Fix-not-being-able-to-reconnec.patch
    (2fad9d09-c328-4353-be0b-cfcfef33ed01@leemhuis.info bsc#1012628
    bsc#1231825).
  - Update
    patches.kernel.org/6.11.5-097-Bluetooth-btusb-Fix-regression-with-fake-CSR-c.patch
    (2fad9d09-c328-4353-be0b-cfcfef33ed01@leemhuis.info bsc#1012628
    bsc#1231825).
    Add ref to bsc#1231825.
  - commit 6764933
* Wed Oct 23 2024 jslaby@suse.cz
  - Linux 6.11.5 (bsc#1012628).
  - btrfs: fix uninitialized pointer free in add_inode_ref()
    (bsc#1012628).
  - btrfs: fix uninitialized pointer free on read_alloc_one_name()
    error (bsc#1012628).
  - ksmbd: fix user-after-free from session log off (bsc#1012628).
  - ALSA: scarlett2: Add error check after retrieving PEQ filter
    values (bsc#1012628).
  - ALSA: hda/conexant - Fix audio routing for HP EliteOne 1000 G2
    (bsc#1012628).
  - mptcp: pm: fix UaF read in mptcp_pm_nl_rm_addr_or_subflow
    (bsc#1012628).
  - net: enetc: remove xdp_drops statistic from enetc_xdp_drop()
    (bsc#1012628).
  - net: enetc: block concurrent XDP transmissions during ring
    reconfiguration (bsc#1012628).
  - net: enetc: disable Tx BD rings after they are empty
    (bsc#1012628).
  - net: enetc: disable NAPI after all rings are disabled
    (bsc#1012628).
  - net: enetc: add missing static descriptor and inline keyword
    (bsc#1012628).
  - posix-clock: Fix missing timespec64 check in pc_clock_settime()
    (bsc#1012628).
  - udp: Compute L4 checksum as usual when not segmenting the skb
    (bsc#1012628).
  - arm64: dts: marvell: cn9130-sr-som: fix cp0 mdio pin numbers
    (bsc#1012628).
  - arm64: probes: Remove broken LDR (literal) uprobe support
    (bsc#1012628).
  - arm64: probes: Fix simulate_ldr*_literal() (bsc#1012628).
  - arm64: probes: Fix uprobes for big-endian kernels (bsc#1012628).
  - net: macb: Avoid 20s boot delay by skipping MDIO bus
    registration for fixed-link PHY (bsc#1012628).
  - net: microchip: vcap api: Fix memory leaks in
    vcap_api_encode_rule_test() (bsc#1012628).
  - selftests: mptcp: join: test for prohibited MPC to port-based
    endp (bsc#1012628).
  - maple_tree: correct tree corruption on spanning store
    (bsc#1012628).
  - nilfs2: propagate directory read errors from nilfs_find_entry()
    (bsc#1012628).
  - fat: fix uninitialized variable (bsc#1012628).
  - selftests/mm: replace atomic_bool with pthread_barrier_t
    (bsc#1012628).
  - selftests/mm: fix deadlock for fork after pthread_create on ARM
    (bsc#1012628).
  - mm/mremap: fix move_normal_pmd/retract_page_tables race
    (bsc#1012628).
  - mm: khugepaged: fix the arguments order in
    khugepaged_collapse_file trace point (bsc#1012628).
  - mm/mglru: only clear kswapd_failures if reclaimable
    (bsc#1012628).
  - mm/swapfile: skip HugeTLB pages for unuse_vma (bsc#1012628).
  - mm/damon/tests/sysfs-kunit.h: fix memory leak in
    damon_sysfs_test_add_targets() (bsc#1012628).
  - tcp: fix mptcp DSS corruption due to large pmtu xmit
    (bsc#1012628).
  - net: fec: Move `fec_ptp_read()` to the top of the file
    (bsc#1012628).
  - net: fec: Remove duplicated code (bsc#1012628).
  - mptcp: prevent MPC handshake on port-based signal endpoints
    (bsc#1012628).
  - iommu/vt-d: Fix incorrect pci_for_each_dma_alias() for non-PCI
    devices (bsc#1012628).
  - s390/sclp: Deactivate sclp after all its users (bsc#1012628).
  - s390/sclp_vt220: Convert newlines to CRLF instead of LFCR
    (bsc#1012628).
  - KVM: s390: gaccess: Check if guest address is in memslot
    (bsc#1012628).
  - KVM: s390: Change virtual to physical address access in diag
    0x258 handler (bsc#1012628).
  - x86/cpufeatures: Define X86_FEATURE_AMD_IBPB_RET (bsc#1012628).
  - x86/cpufeatures: Add a IBPB_NO_RET BUG flag (bsc#1012628).
  - x86/entry: Have entry_ibpb() invalidate return predictions
    (bsc#1012628).
  - x86/bugs: Skip RSB fill at VMEXIT (bsc#1012628).
  - x86/bugs: Do not use UNTRAIN_RET with IBPB on entry
    (bsc#1012628).
  - fgraph: Use CPU hotplug mechanism to initialize idle shadow
    stacks (bsc#1012628).
  - Input: xpad - add support for 8BitDo Ultimate 2C Wireless
    Controller (bsc#1012628).
  - blk-rq-qos: fix crash on rq_qos_wait vs. rq_qos_wake_function
    race (bsc#1012628).
  - io_uring/sqpoll: close race on waiting for sqring entries
    (bsc#1012628).
  - blk-mq: setup queue ->tag_set before initializing hctx
    (bsc#1012628).
  - ublk: don't allow user copy for unprivileged device
    (bsc#1012628).
  - io_uring/sqpoll: ensure task state is TASK_RUNNING when running
    task_work (bsc#1012628).
  - selftest: hid: add the missing tests directory (bsc#1012628).
  - Input: xpad - add support for MSI Claw A1M (bsc#1012628).
  - scsi: mpi3mr: Validate SAS port assignments (bsc#1012628).
  - scsi: ufs: core: Set SDEV_OFFLINE when UFS is shut down
    (bsc#1012628).
  - scsi: ufs: core: Fix the issue of ICU failure (bsc#1012628).
  - scsi: ufs: core: Requeue aborted request (bsc#1012628).
  - drm/radeon: Fix encoder->possible_clones (bsc#1012628).
  - drm/i915/dp_mst: Handle error during DSC BW overhead/slice
    calculation (bsc#1012628).
  - drm/i915/dp_mst: Don't require DSC hblank quirk for a non-DSC
    compatible mode (bsc#1012628).
  - drm/xe/xe_sync: initialise ufence.signalled (bsc#1012628).
  - drm/xe/ufence: ufence can be signaled right after wait_woken
    (bsc#1012628).
  - drm/vmwgfx: Cleanup kms setup without 3d (bsc#1012628).
  - drm/vmwgfx: Handle surface check failure correctly
    (bsc#1012628).
  - drm/amdgpu/mes: fix issue of writing to the same log buffer
    from 2 MES pipes (bsc#1012628).
  - drm/amdgpu/smu13: always apply the powersave optimization
    (bsc#1012628).
  - drm/amdgpu/swsmu: Only force workload setup on init
    (bsc#1012628).
  - drm/amdgpu: prevent BO_HANDLES error from being overwritten
    (bsc#1012628).
  - iio: dac: ad5770r: add missing select REGMAP_SPI in Kconfig
    (bsc#1012628).
  - iio: dac: ltc1660: add missing select REGMAP_SPI in Kconfig
    (bsc#1012628).
  - iio: dac: stm32-dac-core: add missing select REGMAP_MMIO in
    Kconfig (bsc#1012628).
  - iio: adc: ti-ads8688: add missing select IIO_(TRIGGERED_)BUFFER
    in Kconfig (bsc#1012628).
  - iio: hid-sensors: Fix an error handling path in
    _hid_sensor_set_report_latency() (bsc#1012628).
  - iio: light: veml6030: fix ALS sensor resolution (bsc#1012628).
  - iio: light: veml6030: fix IIO device retrieval from embedded
    device (bsc#1012628).
  - iio: light: opt3001: add missing full-scale range value
    (bsc#1012628).
  - iio: amplifiers: ada4250: add missing select REGMAP_SPI in
    Kconfig (bsc#1012628).
  - iio: frequency: adf4377: add missing select REMAP_SPI in Kconfig
    (bsc#1012628).
  - iio: chemical: ens160: add missing select IIO_(TRIGGERED_)BUFFER
    in Kconfig (bsc#1012628).
  - iio: light: bu27008: add missing select IIO_(TRIGGERED_)BUFFER
    in Kconfig (bsc#1012628).
  - iio: magnetometer: af8133j: add missing select
    IIO_(TRIGGERED_)BUFFER in Kconfig (bsc#1012628).
  - iio: resolver: ad2s1210 add missing select REGMAP in Kconfig
    (bsc#1012628).
  - iio: pressure: bm1390: add missing select IIO_(TRIGGERED_)BUFFER
    in Kconfig (bsc#1012628).
  - iio: dac: ad5766: add missing select IIO_(TRIGGERED_)BUFFER
    in Kconfig (bsc#1012628).
  - iio: proximity: mb1232: add missing select
    IIO_(TRIGGERED_)BUFFER in Kconfig (bsc#1012628).
  - iio: dac: ad3552r: add missing select IIO_(TRIGGERED_)BUFFER
    in Kconfig (bsc#1012628).
  - iio: adc: ti-lmp92064: add missing select IIO_(TRIGGERED_)BUFFER
    in Kconfig (bsc#1012628).
  - iio: adc: ti-lmp92064: add missing select REGMAP_SPI in Kconfig
    (bsc#1012628).
  - iio: adc: ti-ads124s08: add missing select
    IIO_(TRIGGERED_)BUFFER in Kconfig (bsc#1012628).
  - iio: resolver: ad2s1210: add missing select (TRIGGERED_)BUFFER
    in Kconfig (bsc#1012628).
  - iio: adc: ad7944: add missing select IIO_(TRIGGERED_)BUFFER
    in Kconfig (bsc#1012628).
  - iio: accel: kx022a: add missing select IIO_(TRIGGERED_)BUFFER
    in Kconfig (bsc#1012628).
  - Bluetooth: Call iso_exit() on module unload (bsc#1012628).
  - Bluetooth: Remove debugfs directory on module init failure
    (bsc#1012628).
  - Bluetooth: ISO: Fix multiple init when debugfs is disabled
    (bsc#1012628).
  - vt: prevent kernel-infoleak in con_font_get() (bsc#1012628).
  - xhci: tegra: fix checked USB2 port number (bsc#1012628).
  - xhci: Fix incorrect stream context type macro (bsc#1012628).
  - xhci: Mitigate failed set dequeue pointer commands
    (bsc#1012628).
  - USB: serial: option: add support for Quectel EG916Q-GL
    (bsc#1012628).
  - USB: serial: option: add Telit FN920C04 MBIM compositions
    (bsc#1012628).
  - usb: typec: qcom-pmic-typec: fix sink status being overwritten
    with RP_DEF (bsc#1012628).
  - USB: gadget: dummy-hcd: Fix "task hung" problem (bsc#1012628).
  - usb: gadget: f_uac2: fix return value for UAC2_ATTRIBUTE_STRING
    store (bsc#1012628).
  - usb: dwc3: Wait for EndXfer completion before restoring
    GUSB2PHYCFG (bsc#1012628).
  - usb: dwc3: core: Fix system suspend on TI AM62 platforms
    (bsc#1012628).
  - misc: microchip: pci1xxxx: add support for NVMEM_DEVID_AUTO
    for EEPROM device (bsc#1012628).
  - misc: microchip: pci1xxxx: add support for NVMEM_DEVID_AUTO
    for OTP device (bsc#1012628).
  - serial: imx: Update mctrl old_status on RTSD interrupt
    (bsc#1012628).
  - parport: Proper fix for array out-of-bounds access
    (bsc#1012628).
  - x86/resctrl: Annotate get_mem_config() functions as __init
    (bsc#1012628).
  - x86/apic: Always explicitly disarm TSC-deadline timer
    (bsc#1012628).
  - x86/CPU/AMD: Only apply Zenbleed fix for Zen2 during late
    microcode load (bsc#1012628).
  - x86/entry_32: Do not clobber user EFLAGS.ZF (bsc#1012628).
  - x86/entry_32: Clear CPU buffers after register restore in NMI
    return (bsc#1012628).
  - tty: n_gsm: Fix use-after-free in gsm_cleanup_mux (bsc#1012628).
  - x86/bugs: Use code segment selector for VERW operand
    (bsc#1012628).
  - pinctrl: nuvoton: fix a double free in
    ma35_pinctrl_dt_node_to_map_func() (bsc#1012628).
  - pinctrl: intel: platform: fix error path in
    device_for_each_child_node() (bsc#1012628).
  - pinctrl: ocelot: fix system hang on level based interrupts
    (bsc#1012628).
  - pinctrl: stm32: check devm_kasprintf() returned value
    (bsc#1012628).
  - pinctrl: apple: check devm_kasprintf() returned value
    (bsc#1012628).
  - irqchip/gic-v4: Don't allow a VMOVP on a dying VPE
    (bsc#1012628).
  - irqchip/sifive-plic: Unmask interrupt in plic_irq_enable()
    (bsc#1012628).
  - irqchip/sifive-plic: Return error code on failure (bsc#1012628).
  - serial: qcom-geni: fix polled console initialisation
    (bsc#1012628).
  - serial: qcom-geni: revert broken hibernation support
    (bsc#1012628).
  - serial: qcom-geni: fix shutdown race (bsc#1012628).
  - serial: qcom-geni: fix dma rx cancellation (bsc#1012628).
  - serial: qcom-geni: fix receiver enable (bsc#1012628).
  - mm: vmscan.c: fix OOM on swap stress test (bsc#1012628).
  - ALSA: hda/conexant - Use cached pin control for Node 0x1d on
    HP EliteOne 1000 G2 (bsc#1012628).
  - Rename to
    patches.kernel.org/6.11.5-096-Bluetooth-btusb-Fix-not-being-able-to-reconnec.patch.
  - Rename to
    patches.kernel.org/6.11.5-097-Bluetooth-btusb-Fix-regression-with-fake-CSR-c.patch.
  - commit b4e3aa9
* Tue Oct 22 2024 jslaby@suse.cz
  - PCI: Fix pci_enable_acs() support for the ACS quirks
    (bsc#1229019).
  - Delete patches.suse/Revert-PCI-Extend-ACS-configurability.patch.
    Replace the downstream revert with the upstream fix.
  - commit 361ff7e
* Mon Oct 21 2024 jslaby@suse.cz
  - netfilter: xtables: fix a bunch of typos causing some targets
    to not load on IPv6 (bsc#1231832).
  - commit a463a06
* Mon Oct 21 2024 jslaby@suse.cz
  - Bluetooth: btusb: Fix regression with fake CSR controllers
    0a12:0001 (2fad9d09-c328-4353-be0b-cfcfef33ed01@leemhuis.info).
  - Bluetooth: btusb: Fix not being able to reconnect after suspend
    (2fad9d09-c328-4353-be0b-cfcfef33ed01@leemhuis.info).
  - commit 4157441
* Mon Oct 21 2024 mkubecek@suse.cz
  - update to 6.12-rc4
  - drop mainline patch
    - patches.suse/hwmon-jc42-properly-detect-tse2004-compliant-devices-again.patch
  - refresh configs
  - commit f83465d
* Thu Oct 17 2024 jslaby@suse.cz
  - Linux 6.11.4 (bsc#1012628).
  - io_uring/rw: fix cflags posting for single issue multishot read
    (bsc#1012628).
  - PCI: Pass domain number to pci_bus_release_domain_nr()
    explicitly (bsc#1012628).
  - secretmem: disable memfd_secret() if arch cannot set direct map
    (bsc#1012628).
  - fs/proc/kcore.c: allow translation of physical memory addresses
    (bsc#1012628).
  - kthread: unpark only parked kthread (bsc#1012628).
  - idpf: use actual mbx receive payload length (bsc#1012628).
  - PM: domains: Fix alloc/free in
    dev_pm_domain_attach|detach_list() (bsc#1012628).
  - btrfs: add cancellation points to trim loops (bsc#1012628).
  - btrfs: split remaining space to discard in chunks (bsc#1012628).
  - selftests/rseq: Fix mm_cid test failure (bsc#1012628).
  - selftests/mm: fix incorrect buffer->mirror size in hmm2
    double_map test (bsc#1012628).
  - powercap: intel_rapl_tpmi: Fix bogus register reading
    (bsc#1012628).
  - nouveau/dmem: Fix vulnerability in migrate_to_ram upon copy
    error (bsc#1012628).
  - ice: Fix improper handling of refcount in
    ice_sriov_set_msix_vec_count() (bsc#1012628).
  - ice: Fix improper handling of refcount in
    ice_dpll_init_rclk_pins() (bsc#1012628).
  - device-dax: correct pgoff align in dax_set_mapping()
    (bsc#1012628).
  - mptcp: pm: do not remove closing subflows (bsc#1012628).
  - mptcp: handle consistently DSS corruption (bsc#1012628).
  - net: phy: realtek: Fix MMD access on RTL8126A-integrated PHY
    (bsc#1012628).
  - net: phy: Remove LED entry from LEDs list on unregister
    (bsc#1012628).
  - net: dsa: lan9303: ensure chip reset and wait for READY status
    (bsc#1012628).
  - net: Fix an unsafe loop on the list (bsc#1012628).
  - net: explicitly clear the sk pointer, when pf->create fails
    (bsc#1012628).
  - OPP: fix error code in dev_pm_opp_set_config() (bsc#1012628).
  - ata: libata: avoid superfluous disk spin down + spin up during
    hibernation (bsc#1012628).
  - mptcp: fallback when MPTCP opts are dropped after 1st data
    (bsc#1012628).
  - mmc: sdhci-of-dwcmshc: Prevent stale command interrupt handling
    (bsc#1012628).
  - Revert "mmc: mvsdio: Use sg_miter for PIO" (bsc#1012628).
  - scsi: ufs: Use pre-calculated offsets in ufshcd_init_lrb()
    (bsc#1012628).
  - scsi: fnic: Move flush_work initialization out of if block
    (bsc#1012628).
  - scsi: wd33c93: Don't use stale scsi_pointer value (bsc#1012628).
  - thermal: core: Free tzp copy along with the thermal zone
    (bsc#1012628).
  - thermal: core: Reference count the zone in
    thermal_zone_get_by_id() (bsc#1012628).
  - Bluetooth: hci_conn: Fix UAF in hci_enhanced_setup_sync
    (bsc#1012628).
  - drm/xe/ct: fix xa_store() error checking (bsc#1012628).
  - drm/xe/ct: prevent UAF in send_recv() (bsc#1012628).
  - drm/i915/hdcp: fix connector refcounting (bsc#1012628).
  - drm/xe/guc_submit: fix xa_store() error checking (bsc#1012628).
  - drm/amd/display: fix hibernate entry for DCN35+ (bsc#1012628).
  - drm/amdkfd: Fix an eviction fence leak (bsc#1012628).
  - drm/vc4: Stop the active perfmon before being destroyed
    (bsc#1012628).
  - drm/v3d: Stop the active perfmon before being destroyed
    (bsc#1012628).
  - drm/amd/display: Clear update flags after update has been
    applied (bsc#1012628).
  - drm/amdgpu: partially revert powerplay `__counted_by` changes
    (bsc#1012628).
  - ACPI: resource: Make Asus ExpertBook B2502 matches cover more
    models (bsc#1012628).
  - ACPI: resource: Make Asus ExpertBook B2402 matches cover more
    models (bsc#1012628).
  - hid: intel-ish-hid: Fix uninitialized variable 'rv' in
    ish_fw_xfer_direct_dma (bsc#1012628).
  - usb: gadget: core: force synchronous registration (bsc#1012628).
  - usb: dwc3: re-enable runtime PM after failed resume
    (bsc#1012628).
  - usb: storage: ignore bogus device raised by JieLi BR21 USB
    sound chip (bsc#1012628).
  - usb: xhci: Fix problem with xhci resume from suspend
    (bsc#1012628).
  - usb: dwc3: core: Stop processing of pending events if controller
    is halted (bsc#1012628).
  - Revert "usb: yurex: Replace snprintf() with the safer
    scnprintf() variant" (bsc#1012628).
  - HID: wacom: Hardcode (non-inverted) AES pens as BTN_TOOL_PEN
    (bsc#1012628).
  - HID: plantronics: Workaround for an unexcepted opposite volume
    key (bsc#1012628).
  - HID: amd_sfh: Switch to device-managed dmam_alloc_coherent()
    (bsc#1012628).
  - hwmon: (ltc2991) Add missing dependency on REGMAP_I2C
    (bsc#1012628).
  - hwmon: (adt7470) Add missing dependency on REGMAP_I2C
    (bsc#1012628).
  - hwmon: (adm9240) Add missing dependency on REGMAP_I2C
    (bsc#1012628).
  - hwmon: (mc34vr500) Add missing dependency on REGMAP_I2C
    (bsc#1012628).
  - hwmon: (tmp513) Add missing dependency on REGMAP_I2C
    (bsc#1012628).
  - hwmon: intel-m10-bmc-hwmon: relabel Columbiaville to CVL Die
    Temperature (bsc#1012628).
  - HID: multitouch: Add support for lenovo Y9000P Touchpad
    (bsc#1012628).
  - x86/amd_nb: Add new PCI IDs for AMD family 1Ah model 60h
    (bsc#1012628).
  - rcu/nocb: Fix rcuog wake-up from offline softirq (bsc#1012628).
  - slip: make slhc_remember() more robust against malicious packets
    (bsc#1012628).
  - net/smc: fix lacks of icsk_syn_mss with IPPROTO_SMC
    (bsc#1012628).
  - ppp: fix ppp_async_encode() illegal access (bsc#1012628).
  - phonet: Handle error of rtnl_register_module() (bsc#1012628).
  - mpls: Handle error of rtnl_register_module() (bsc#1012628).
  - mctp: Handle error of rtnl_register_module() (bsc#1012628).
  - bridge: Handle error of rtnl_register_module() (bsc#1012628).
  - vxlan: Handle error of rtnl_register_module() (bsc#1012628).
  - rtnetlink: Add bulk registration helpers for rtnetlink message
    handlers (bsc#1012628).
  - net: do not delay dst_entries_add() in dst_release()
    (bsc#1012628).
  - drm/fbdev-dma: Only cleanup deferred I/O if necessary
    (bsc#1012628).
  - net: netconsole: fix wrong warning (bsc#1012628).
  - net: dsa: refuse cross-chip mirroring operations (bsc#1012628).
  - net: ibm: emac: mal: add dcr_unmap to _remove (bsc#1012628).
  - netfilter: fib: check correct rtable in vrf setups
    (bsc#1012628).
  - netfilter: xtables: avoid NFPROTO_UNSPEC where needed
    (bsc#1012628).
  - sctp: ensure sk_state is set to CLOSED if hashing fails in
    sctp_listen_start (bsc#1012628).
  - btrfs: zoned: fix missing RCU locking in error message when
    loading zone info (bsc#1012628).
  - net: ti: icssg-prueth: Fix race condition for VLAN table access
    (bsc#1012628).
  - net: ibm: emac: mal: fix wrong goto (bsc#1012628).
  - drm/xe: Make wedged_mode debugfs writable (bsc#1012628).
  - drm/xe: Restore GT freq on GSC load error (bsc#1012628).
  - net/sched: accept TCA_STAB only for root qdisc (bsc#1012628).
  - e1000e: change I219 (19) devices to ADP (bsc#1012628).
  - igb: Do not bring the device up after non-fatal error
    (bsc#1012628).
  - i40e: Fix macvlan leak by synchronizing access to
    mac_filter_hash (bsc#1012628).
  - ice: Fix increasing MSI-X on VF (bsc#1012628).
  - ice: Flush FDB entries before reset (bsc#1012628).
  - ice: Fix netif_is_ice() in Safe Mode (bsc#1012628).
  - ice: Fix entering Safe Mode (bsc#1012628).
  - powercap: intel_rapl_tpmi: Ignore minor version change
    (bsc#1012628).
  - x86/xen: mark boot CPU of PV guest in MSR_IA32_APICBASE
    (bsc#1012628).
  - gpio: aspeed: Use devm_clk api to manage clock source
    (bsc#1012628).
  - gpio: aspeed: Add the flush write to ensure the write complete
    (bsc#1012628).
  - nouveau/dmem: Fix privileged error in copy engine channel
    (bsc#1012628).
  - drm/nouveau: pass cli to nouveau_channel_new() instead of
    drm+device (bsc#1012628).
  - net: dsa: b53: fix jumbo frames on 10/100 ports (bsc#1012628).
  - net: dsa: b53: allow lower MTUs on BCM5325/5365 (bsc#1012628).
  - net: dsa: b53: fix max MTU for BCM5325/BCM5365 (bsc#1012628).
  - net: dsa: b53: fix max MTU for 1g switches (bsc#1012628).
  - net: dsa: b53: fix jumbo frame mtu check (bsc#1012628).
  - net: ethernet: adi: adin1110: Fix some error handling path in
    adin1110_read_fifo() (bsc#1012628).
  - Revert "net: stmmac: set PP_FLAG_DMA_SYNC_DEV only if XDP is
    enabled" (bsc#1012628).
  - thermal: intel: int340x: processor: Fix warning during module
    unload (bsc#1012628).
  - nfsd: fix possible badness in FREE_STATEID (bsc#1012628).
  - net: phy: bcm84881: Fix some error handling paths (bsc#1012628).
  - Bluetooth: btusb: Don't fail external suspend requests
    (bsc#1012628).
  - Bluetooth: RFCOMM: FIX possible deadlock in
    rfcomm_sk_state_change (bsc#1012628).
  - net: pse-pd: Fix enabled status mismatch (bsc#1012628).
  - selftests: net: no_forwarding: fix VID for $swp2 in
    one_bridge_two_pvids() test (bsc#1012628).
  - netfilter: br_netfilter: fix panic with metadata_dst skb
    (bsc#1012628).
  - net: dsa: sja1105: fix reception from VLAN-unaware bridges
    (bsc#1012628).
  - rxrpc: Fix uninitialised variable in rxrpc_send_data()
    (bsc#1012628).
  - tcp: fix TFO SYN_RECV to not zero retrans_stamp with retransmits
    out (bsc#1012628).
  - tcp: fix tcp_enter_recovery() to zero retrans_stamp when it's
    safe (bsc#1012628).
  - tcp: fix to allow timestamp undo if no retransmits were sent
    (bsc#1012628).
  - net: phy: aquantia: remove usage of phy_set_max_speed
    (bsc#1012628).
  - net: phy: aquantia: AQR115c fix up PMA capabilities
    (bsc#1012628).
  - sfc: Don't invoke xdp_do_flush() from netpoll (bsc#1012628).
  - net: phy: dp83869: fix memory corruption when enabling fiber
    (bsc#1012628).
  - NFSv4: Prevent NULL-pointer dereference in
    nfs42_complete_copies() (bsc#1012628).
  - SUNRPC: Fix integer overflow in decode_rc_list() (bsc#1012628).
  - ice: fix VLAN replay after reset (bsc#1012628).
  - ice: disallow DPLL_PIN_STATE_SELECTABLE for dpll output pins
    (bsc#1012628).
  - ice: fix memleak in ice_init_tx_topology() (bsc#1012628).
  - ice: clear port vlan config during reset (bsc#1012628).
  - ice: set correct dst VSI in only LAN filters (bsc#1012628).
  - nfsd: nfsd_destroy_serv() must call svc_destroy() even if
    nfsd_startup_net() failed (bsc#1012628).
  - NFSD: Mark filecache "down" if init fails (bsc#1012628).
  - fbdev: sisfb: Fix strbuf array overflow (bsc#1012628).
  - smb: client: fix UAF in async decryption (bsc#1012628).
  - fbcon: Fix a NULL pointer dereference issue in fbcon_putcs
    (bsc#1012628).
  - drm/amd/display: Check null pointer before dereferencing se
    (bsc#1012628).
  - drm/xe/oa: Fix overflow in oa batch buffer (bsc#1012628).
  - scsi: lpfc: Revise TRACE_EVENT log flag severities from KERN_ERR
    to KERN_WARNING (bsc#1012628).
  - scsi: lpfc: Ensure DA_ID handling completion before deleting
    an NPIV instance (bsc#1012628).
  - scsi: lpfc: Add ELS_RSP cmd to the list of WQEs to flush in
    lpfc_els_flush_cmd() (bsc#1012628).
  - driver core: bus: Return -EIO instead of 0 when show/store
    invalid bus attribute (bsc#1012628).
  - driver core: bus: Fix double free in driver API bus_register()
    (bsc#1012628).
  - dm vdo: don't refer to dedupe_context after releasing it
    (bsc#1012628).
  - usb: gadget: uvc: Fix ERR_PTR dereference in uvc_v4l2.c
    (bsc#1012628).
  - staging: vme_user: added bound check to geoid (bsc#1012628).
  - tools/iio: Add memory allocation failure check for trigger_name
    (bsc#1012628).
  - virtio_pmem: Check device status before requesting flush
    (bsc#1012628).
  - netfilter: nf_reject: Fix build warning when
    CONFIG_BRIDGE_NETFILTER=n (bsc#1012628).
  - netfilter: nf_nat: don't try nat source port reallocation for
    reverse dir clash (bsc#1012628).
  - LoongArch: Fix memleak in pci_acpi_scan_root() (bsc#1012628).
  - comedi: ni_routing: tools: Check when the file could not be
    opened (bsc#1012628).
  - usb: host: xhci-plat: Parse xhci-missing_cas_quirk and apply
    quirk (bsc#1012628).
  - xhci: dbc: Fix STALL transfer event handling (bsc#1012628).
  - usb: dwc2: Adjust the timing of USB Driver Interrupt
    Registration in the Crashkernel Scenario (bsc#1012628).
  - usb: chipidea: udc: enable suspend interrupt after usb reset
    (bsc#1012628).
  - usb: typec: tipd: Free IRQ only if it was requested before
    (bsc#1012628).
  - serial: protect uart_port_dtr_rts() in uart_shutdown() too
    (bsc#1012628).
  - clk: imx: Remove CLK_SET_PARENT_GATE for DRAM mux for i.MX7D
    (bsc#1012628).
  - remoteproc: imx_rproc: Use imx specific hook for
    find_loaded_rsc_table (bsc#1012628).
  - media: videobuf2-core: clear memory related fields in
    __vb2_plane_dmabuf_put() (bsc#1012628).
  - riscv/kexec_file: Fix relocation type R_RISCV_ADD16 and
    R_RISCV_SUB16 unknown (bsc#1012628).
  - soundwire: cadence: re-check Peripheral status with delayed_work
    (bsc#1012628).
  - PCI: endpoint: Assign PCI domain number for endpoint controllers
    (bsc#1012628).
  - PCI: qcom: Disable mirroring of DBI and iATU register space
    in BAR region (bsc#1012628).
  - RDMA/mlx5: Enforce umem boundaries for explicit ODP page faults
    (bsc#1012628).
  - riscv: avoid Imbalance in RAS (bsc#1012628).
  - riscv: Omit optimized string routines when using KASAN
    (bsc#1012628).
  - mfd: intel-lpss: Add Intel Panther Lake LPSS PCI IDs
    (bsc#1012628).
  - mfd: intel-lpss: Add Intel Arrow Lake-H LPSS PCI IDs
    (bsc#1012628).
  - mfd: intel_soc_pmic_chtwc: Make Lenovo Yoga Tab 3 X90F DMI
    match less strict (bsc#1012628).
  - ntb: ntb_hw_switchtec: Fix use after free vulnerability in
    switchtec_ntb_remove due to race condition (bsc#1012628).
  - io_uring: check if we need to reschedule during overflow flush
    (bsc#1012628).
  - RISC-V: Don't have MAX_PHYSMEM_BITS exceed phys_addr_t
    (bsc#1012628).
  - i3c: master: cdns: Fix use after free vulnerability in
    cdns_i3c_master Driver Due to Race Condition (bsc#1012628).
  - PCI: Mark Creative Labs EMU20k2 INTx masking as broken
    (bsc#1012628).
  - i2c: i801: Use a different adapter-name for IDF adapters
    (bsc#1012628).
  - PCI: Add ACS quirk for Qualcomm SA8775P (bsc#1012628).
  - clk: bcm: bcm53573: fix OF node leak in init (bsc#1012628).
  - RDMA/rtrs-srv: Avoid null pointer deref during path
    establishment (bsc#1012628).
  - PCI: Add function 0 DMA alias quirk for Glenfly Arise chip
    (bsc#1012628).
  - soundwire: intel_bus_common: enable interrupts before exiting
    reset (bsc#1012628).
  - RDMA/mad: Improve handling of timed out WRs of mad agent
    (bsc#1012628).
  - ktest.pl: Avoid false positives with grub2 skip regex
    (bsc#1012628).
  - bpf: Prevent tail call between progs attached to different hooks
    (bsc#1012628).
  - s390/traps: Handle early warnings gracefully (bsc#1012628).
  - s390/cpum_sf: Remove WARN_ON_ONCE statements (bsc#1012628).
  - ext4: nested locking for xattr inode (bsc#1012628).
  - ext4: don't set SB_RDONLY after filesystem errors (bsc#1012628).
  - bpf, x64: Fix a jit convergence issue (bsc#1012628).
  - s390/mm: Add cond_resched() to cmm_alloc/free_pages()
    (bsc#1012628).
  - s390/facility: Disable compile time optimization for
    decompressor code (bsc#1012628).
  - bpf: Check percpu map value size first (bsc#1012628).
  - selftests/bpf: Fix ARG_PTR_TO_LONG {half-,}uninitialized test
    (bsc#1012628).
  - bpf: Call the missed btf_record_free() when map creation fails
    (bsc#1012628).
  - zram: don't free statically defined names (bsc#1012628).
  - zram: free secondary algorithms names (bsc#1012628).
  - perf build: Fix build feature-dwarf_getlocations fail for old
    libdw (bsc#1012628).
  - perf build: Fix static compilation error when libdw is not
    installed (bsc#1012628).
  - ntfs3: Change to non-blocking allocation in ntfs_d_hash
    (bsc#1012628).
  - perf vdso: Missed put on 32-bit dsos (bsc#1012628).
  - virtio_console: fix misc probe bugs (bsc#1012628).
  - vdpa/octeon_ep: Fix format specifier for pointers in debug
    messages (bsc#1012628).
  - fs/ntfs3: Refactor enum_rstbl to suppress static checker
    (bsc#1012628).
  - fs/ntfs3: Fix sparse warning in ni_fiemap (bsc#1012628).
  - fs/ntfs3: Fix sparse warning for bigendian (bsc#1012628).
  - fs/ntfs3: Optimize large writes into sparse file (bsc#1012628).
  - fs/ntfs3: Do not call file_modified if collapse range failed
    (bsc#1012628).
  - net: fec: don't save PTP state if PTP is unsupported
    (bsc#1012628).
  - unicode: Don't special case ignorable code points (bsc#1012628).
  - commit 1f17917
* Wed Oct 16 2024 tiwai@suse.de
  - Bluetooth: btmtk: Remove resetting mt7921 before downloading
    the fw (bsc#1231599).
  - commit 01da1db
* Tue Oct 15 2024 jdelvare@suse.de
  - hwmon: (jc42) Properly detect TSE2004-compliant devices again
    (bsc#1231312).
  - commit f22376e
* Mon Oct 14 2024 mkubecek@suse.cz
  - update to 6.12-rc3
  - update configs
    - new config option
    - USB_ONBOARD_DEV_USB5744=y (i386, ppc64le, riscv64)
    - removed config option
    - NFS_COMMON_LOCALIO_SUPPORT
  - commit efb99e5
* Thu Oct 10 2024 jslaby@suse.cz
  - Linux 6.11.3 (bsc#1012628).
  - static_call: Handle module init failure correctly in
    static_call_del_module() (bsc#1012628).
  - static_call: Replace pointless WARN_ON() in
    static_call_module_notify() (bsc#1012628).
  - jump_label: Fix static_key_slow_dec() yet again (bsc#1012628).
  - scsi: st: Fix input/output error on empty drive reset
    (bsc#1012628).
  - scsi: pm8001: Do not overwrite PCI queue mapping (bsc#1012628).
  - drm/i915/psr: Do not wait for PSR being idle on on Panel Replay
    (bsc#1012628).
  - drm/i915/display: BMG supports UHBR13.5 (bsc#1012628).
  - drm/i915/dp: Fix AUX IO power enabling for eDP PSR
    (bsc#1012628).
  - drm/amdgpu: Fix get each xcp macro (bsc#1012628).
  - drm/amd/display: handle nulled pipe context in DCE110's
    set_drr() (bsc#1012628).
  - ksmbd: fix warning: comparison of distinct pointer types lacks
    a cast (bsc#1012628).
  - mailbox: ARM_MHU_V3 should depend on ARM64 (bsc#1012628).
  - mailbox: rockchip: fix a typo in module autoloading
    (bsc#1012628).
  - mailbox: bcm2835: Fix timeout during suspend mode (bsc#1012628).
  - ceph: fix a memory leak on cap_auths in MDS client
    (bsc#1012628).
  - ceph: remove the incorrect Fw reference check when dirtying
    pages (bsc#1012628).
  - drm/i915/dp: Fix colorimetry detection (bsc#1012628).
  - ieee802154: Fix build error (bsc#1012628).
  - net: sparx5: Fix invalid timestamps (bsc#1012628).
  - net/mlx5: Fix error path in multi-packet WQE transmit
    (bsc#1012628).
  - net/mlx5: Added cond_resched() to crdump collection
    (bsc#1012628).
  - net/mlx5e: Fix NULL deref in mlx5e_tir_builder_alloc()
    (bsc#1012628).
  - net/mlx5e: SHAMPO, Fix overflow of hd_per_wq (bsc#1012628).
  - net/mlx5e: Fix crash caused by calling __xfrm_state_delete()
    twice (bsc#1012628).
  - netfilter: uapi: NFTA_FLOWTABLE_HOOK is NLA_NESTED
    (bsc#1012628).
  - net: ieee802154: mcr20a: Use IRQF_NO_AUTOEN flag in
    request_irq() (bsc#1012628).
  - net: wwan: qcom_bam_dmux: Fix missing pm_runtime_disable()
    (bsc#1012628).
  - selftests: netfilter: Fix nft_audit.sh for newer nft binaries
    (bsc#1012628).
  - netfilter: nf_tables: prevent nf_skb_duplicated corruption
    (bsc#1012628).
  - selftests: netfilter: Add missing return value (bsc#1012628).
  - Bluetooth: MGMT: Fix possible crash on mgmt_index_removed
    (bsc#1012628).
  - Bluetooth: L2CAP: Fix uaf in l2cap_connect (bsc#1012628).
  - Bluetooth: btmrvl: Use IRQF_NO_AUTOEN flag in request_irq()
    (bsc#1012628).
  - afs: Fix missing wire-up of afs_retry_request() (bsc#1012628).
  - afs: Fix the setting of the server responding flag
    (bsc#1012628).
  - net: dsa: improve shutdown sequence (bsc#1012628).
  - net: Add netif_get_gro_max_size helper for GRO (bsc#1012628).
  - net: Fix gso_features_check to check for both
    dev->gso_{ipv4_,}max_size (bsc#1012628).
  - net: ethernet: lantiq_etop: fix memory disclosure (bsc#1012628).
  - net: fec: Restart PPS after link state change (bsc#1012628).
  - net: fec: Reload PTP registers after link-state change
    (bsc#1012628).
  - net: avoid potential underflow in qdisc_pkt_len_init() with UFO
    (bsc#1012628).
  - net: add more sanity checks to qdisc_pkt_len_init()
    (bsc#1012628).
  - net: stmmac: dwmac4: extend timeout for VLAN Tag register busy
    bit check (bsc#1012628).
  - ipv4: ip_gre: Fix drops of small packets in ipgre_xmit
    (bsc#1012628).
  - netfs: Fix missing wakeup after issuing writes (bsc#1012628).
  - net: test for not too small csum_start in
    virtio_net_hdr_to_skb() (bsc#1012628).
  - ppp: do not assume bh is held in ppp_channel_bridge_input()
    (bsc#1012628).
  - net: phy: realtek: Check the index value in led_hw_control_get
    (bsc#1012628).
  - bridge: mcast: Fail MDB get request on empty entry
    (bsc#1012628).
  - net/ncsi: Disable the ncsi work before freeing the associated
    structure (bsc#1012628).
  - iomap: constrain the file range passed to iomap_file_unshare
    (bsc#1012628).
  - dt-bindings: net: xlnx,axi-ethernet: Add missing reg minItems
    (bsc#1012628).
  - sctp: set sk_state back to CLOSED if autobind fails in
    sctp_listen_start (bsc#1012628).
  - ASoC: topology: Fix incorrect addressing assignments
    (bsc#1012628).
  - drm/panthor: Fix race when converting group handle to group
    object (bsc#1012628).
  - ASoC: atmel: mchp-pdmc: Skip ALSA restoration if substream
    runtime is uninitialized (bsc#1012628).
  - drm/connector: hdmi: Fix writing Dynamic Range Mastering
    infoframes (bsc#1012628).
  - io_uring: fix memory leak when cache init fail (bsc#1012628).
  - rust: kbuild: split up helpers.c (bsc#1012628).
  - rust: kbuild: auto generate helper exports (bsc#1012628).
  - rust: mutex: fix __mutex_init() usage in case of PREEMPT_RT
    (bsc#1012628).
  - ALSA: mixer_oss: Remove some incorrect kfree_const() usages
    (bsc#1012628).
  - ALSA: hda/realtek: Fix the push button function for the ALC257
    (bsc#1012628).
  - cifs: Remove intermediate object of failed create reparse call
    (bsc#1012628).
  - ASoC: Intel: soc-acpi-intel-rpl-match: add missing empty item
    (bsc#1012628).
  - drm/panthor: Lock the VM resv before calling
    drm_gpuvm_bo_obtain_prealloc() (bsc#1012628).
  - ASoC: imx-card: Set card.owner to avoid a warning calltrace
    if SND=m (bsc#1012628).
  - drm/xe: Restore pci state upon resume (bsc#1012628).
  - drm/xe/guc_submit: add missing locking in wedged_fini
    (bsc#1012628).
  - drm/xe: Resume TDR after GT reset (bsc#1012628).
  - drm/xe: Prevent null pointer access in xe_migrate_copy
    (bsc#1012628).
  - cifs: Fix buffer overflow when parsing NFS reparse points
    (bsc#1012628).
  - cifs: Do not convert delimiter when parsing NFS-style symlinks
    (bsc#1012628).
  - gpiolib: Fix potential NULL pointer dereference in
    gpiod_get_label() (bsc#1012628).
  - tools/rtla: Fix installation from out-of-tree build
    (bsc#1012628).
  - ALSA: gus: Fix some error handling paths related to get_bpos()
    usage (bsc#1012628).
  - ALSA: hda/conexant: Fix conflicting quirk for System76 Pangolin
    (bsc#1012628).
  - drm/amd/display: Disable replay if VRR capability is false
    (bsc#1012628).
  - drm/amd/display: Fix VRR cannot enable (bsc#1012628).
  - drm/amd/display: Re-enable panel replay feature (bsc#1012628).
  - l2tp: prevent possible tunnel refcount underflow (bsc#1012628).
  - wifi: ath9k: fix possible integer overflow in
    ath9k_get_et_stats() (bsc#1012628).
  - wifi: rtw89: avoid to add interface to list twice when SER
    (bsc#1012628).
  - wifi: ath9k_htc: Use __skb_set_length() for resetting urb
    before resubmit (bsc#1012628).
  - intel_idle: Disable promotion to C1E on Jasper Lake and Elkhart
    Lake (bsc#1012628).
  - crypto: x86/sha256 - Add parentheses around macros' single
    arguments (bsc#1012628).
  - crypto: octeontx - Fix authenc setkey (bsc#1012628).
  - crypto: octeontx2 - Fix authenc setkey (bsc#1012628).
  - ice: Adjust over allocation of memory in
    ice_sched_add_root_node() and ice_sched_add_node()
    (bsc#1012628).
  - wifi: iwlwifi: mvm: Fix a race in scan abort flow (bsc#1012628).
  - wifi: iwlwifi: mvm: drop wrong STA selection in TX
    (bsc#1012628).
  - wifi: cfg80211: Set correct chandef when starting CAC
    (bsc#1012628).
  - net/xen-netback: prevent UAF in xenvif_flush_hash()
    (bsc#1012628).
  - net: hisilicon: hip04: fix OF node leak in probe()
    (bsc#1012628).
  - net: hisilicon: hns_dsaf_mac: fix OF node leak in
    hns_mac_get_info() (bsc#1012628).
  - net: hisilicon: hns_mdio: fix OF node leak in probe()
    (bsc#1012628).
  - ACPI: PAD: fix crash in exit_round_robin() (bsc#1012628).
  - ACPICA: Fix memory leak if acpi_ps_get_next_namepath() fails
    (bsc#1012628).
  - ACPICA: Fix memory leak if acpi_ps_get_next_field() fails
    (bsc#1012628).
  - exec: don't WARN for racy path_noexec check (bsc#1012628).
  - fs/inode: Prevent dump_mapping() accessing invalid
    dentry.d_name.name (bsc#1012628).
  - ACPI: resource: Skip IRQ override on Asus Vivobook Go E1404GAB
    (bsc#1012628).
  - wifi: mt76: mt7915: disable tx worker during tx BA session
    enable/disable (bsc#1012628).
  - net: sched: consistently use rcu_replace_pointer() in
    taprio_change() (bsc#1012628).
  - Bluetooth: btusb: Add Realtek RTL8852C support ID 0x0489:0xe122
    (bsc#1012628).
  - Bluetooth: btrtl: Set msft ext address filter quirk for RTL8852B
    (bsc#1012628).
  - ACPI: video: Add force_vendor quirk for Panasonic Toughbook
    CF-18 (bsc#1012628).
  - ACPI: CPPC: Add support for setting EPP register in FFH
    (bsc#1012628).
  - blk_iocost: fix more out of bound shifts (bsc#1012628).
  - btrfs: don't readahead the relocation inode on RST
    (bsc#1012628).
  - wifi: ath12k: fix array out-of-bound access in SoC stats
    (bsc#1012628).
  - wifi: ath11k: fix array out-of-bound access in SoC stats
    (bsc#1012628).
  - wifi: rtw88: select WANT_DEV_COREDUMP (bsc#1012628).
  - l2tp: free sessions using rcu (bsc#1012628).
  - l2tp: use rcu list add/del when updating lists (bsc#1012628).
  - wifi: rtw89: 885xb: reset IDMEM mode to prevent download
    firmware failure (bsc#1012628).
  - ACPI: EC: Do not release locks during operation region accesses
    (bsc#1012628).
  - ACPICA: check null return of ACPI_ALLOCATE_ZEROED() in
    acpi_db_convert_to_package() (bsc#1012628).
  - tipc: guard against string buffer overrun (bsc#1012628).
  - net: skbuff: sprinkle more __GFP_NOWARN on ingress allocs
    (bsc#1012628).
  - net: mvpp2: Increase size of queue_name buffer (bsc#1012628).
  - bnxt_en: Extend maximum length of version string by 1 byte
    (bsc#1012628).
  - ipv4: Check !in_dev earlier for ioctl(SIOCSIFADDR)
    (bsc#1012628).
  - wifi: rtw89: correct base HT rate mask for firmware
    (bsc#1012628).
  - netfilter: nf_tables: do not remove elements if set backend
    implements .abort (bsc#1012628).
  - ipv4: Mask upper DSCP bits and ECN bits in NETLINK_FIB_LOOKUP
    family (bsc#1012628).
  - nvme-keyring: restrict match length for version '1' identifiers
    (bsc#1012628).
  - nvme-tcp: sanitize TLS key handling (bsc#1012628).
  - nvme-tcp: check for invalidated or revoked key (bsc#1012628).
  - net: atlantic: Avoid warning about potential string truncation
    (bsc#1012628).
  - crypto: simd - Do not call crypto_alloc_tfm during registration
    (bsc#1012628).
  - netpoll: Ensure clean state on setup failures (bsc#1012628).
  - tcp: avoid reusing FIN_WAIT2 when trying to find port in
    connect() process (bsc#1012628).
  - wifi: iwlwifi: mvm: use correct key iteration (bsc#1012628).
  - wifi: iwlwifi: allow only CN mcc from WRDD (bsc#1012628).
  - wifi: iwlwifi: mvm: avoid NULL pointer dereference
    (bsc#1012628).
  - virt: sev-guest: Ensure the SNP guest messages do not exceed
    a page (bsc#1012628).
  - wifi: mac80211: fix RCU list iterations (bsc#1012628).
  - ACPICA: iasl: handle empty connection_node (bsc#1012628).
  - proc: add config & param to block forcing mem writes
    (bsc#1012628).
  - vfs: use RCU in ilookup (bsc#1012628).
  - drivers/perf: arm_spe: Use perf_allow_kernel() for permissions
    (bsc#1012628).
  - nvme: fix metadata handling in nvme-passthrough (bsc#1012628).
  - can: netlink: avoid call to do_set_data_bittiming callback
    with stale can_priv::ctrlmode (bsc#1012628).
  - netdev-genl: Set extack and fix error on napi-get (bsc#1012628).
  - wifi: wilc1000: Do not operate uninitialized hardware during
    suspend/resume (bsc#1012628).
  - block: fix integer overflow in BLKSECDISCARD (bsc#1012628).
  - cpufreq: amd-pstate: add check for cpufreq_cpu_get's return
    value (bsc#1012628).
  - cpufreq: loongson3: Use raw_smp_processor_id() in
    do_service_request() (bsc#1012628).
  - arm64: trans_pgd: mark PTEs entries as valid to avoid dead
    kexec() (bsc#1012628).
  - net: phy: Check for read errors in SIOCGMIIREG (bsc#1012628).
  - wifi: rtw89: avoid reading out of bounds when loading TX power
    FW elements (bsc#1012628).
  - x86/bugs: Add missing NO_SSB flag (bsc#1012628).
  - x86/bugs: Fix handling when SRSO mitigation is disabled
    (bsc#1012628).
  - net: napi: Prevent overflow of napi_defer_hard_irqs
    (bsc#1012628).
  - crypto: hisilicon - fix missed error branch (bsc#1012628).
  - wifi: mt76: mt7915: add dummy HW offload of IEEE 802.11
    fragmentation (bsc#1012628).
  - wifi: mt76: mt7915: hold dev->mt76.mutex while disabling tx
    worker (bsc#1012628).
  - wifi: mwifiex: Fix memcpy() field-spanning write warning in
    mwifiex_cmd_802_11_scan_ext() (bsc#1012628).
  - netfs: Cancel dirty folios that have no storage destination
    (bsc#1012628).
  - nfp: Use IRQF_NO_AUTOEN flag in request_irq() (bsc#1012628).
  - ALSA: usb-audio: Add input value sanity checks for standard
    types (bsc#1012628).
  - x86/ioapic: Handle allocation failures gracefully (bsc#1012628).
  - x86/apic: Remove logical destination mode for 64-bit
    (bsc#1012628).
  - ALSA: usb-audio: Define macros for quirk table entries
    (bsc#1012628).
  - ALSA: usb-audio: Replace complex quirk lines with macros
    (bsc#1012628).
  - ALSA: usb-audio: Add quirk for RME Digiface USB (bsc#1012628).
  - ALSA: usb-audio: Add mixer quirk for RME Digiface USB
    (bsc#1012628).
  - ALSA: hda/realtek: Refactor and simplify Samsung Galaxy Book
    init (bsc#1012628).
  - ALSA: usb-audio: Add logitech Audio profile quirk (bsc#1012628).
  - ASoC: codecs: wsa883x: Handle reading version failure
    (bsc#1012628).
  - ALSA: control: Take power_ref lock primarily (bsc#1012628).
  - tools/x86/kcpuid: Protect against faulty "max subleaf" values
    (bsc#1012628).
  - x86/pkeys: Add PKRU as a parameter in signal handling functions
    (bsc#1012628).
  - x86/pkeys: Restore altstack access in sigreturn() (bsc#1012628).
  - x86/kexec: Add EFI config table identity mapping for kexec
    kernel (bsc#1012628).
  - x86/mm/ident_map: Use gbpages only where full GB page should
    be mapped (bsc#1012628).
  - ALSA: asihpi: Fix potential OOB array access (bsc#1012628).
  - ALSA: hdsp: Break infinite MIDI input flush loop (bsc#1012628).
  - tools/nolibc: powerpc: limit stack-protector workaround to GCC
    (bsc#1012628).
  - selftests/nolibc: avoid passing NULL to printf("%s")
    (bsc#1012628).
  - x86/syscall: Avoid memcpy() for ia32 syscall_get_arguments()
    (bsc#1012628).
  - ASoC: Intel: boards: always check the result of
    acpi_dev_get_first_match_dev() (bsc#1012628).
  - rcu-tasks: Fix access non-existent percpu rtpcp variable in
    rcu_tasks_need_gpcb() (bsc#1012628).
  - hwmon: (nct6775) add G15CF to ASUS WMI monitoring list
    (bsc#1012628).
  - fbdev: efifb: Register sysfs groups through driver core
    (bsc#1012628).
  - fbdev: pxafb: Fix possible use after free in pxafb_task()
    (bsc#1012628).
  - pmdomain: core: Don't hold the genpd-lock when calling
    dev_pm_domain_set() (bsc#1012628).
  - pmdomain: core: Use dev_name() instead of kobject_get_path()
    in debugfs (bsc#1012628).
  - rcuscale: Provide clear error when async specified without
    primitives (bsc#1012628).
  - power: reset: brcmstb: Do not go into infinite loop if reset
    fails (bsc#1012628).
  - iommu/arm-smmu-v3: Match Stall behaviour for S2 (bsc#1012628).
  - iommu/vt-d: Always reserve a domain ID for identity setup
    (bsc#1012628).
  - iommu/vt-d: Fix potential lockup if qi_submit_sync called with
    0 count (bsc#1012628).
  - iommu/vt-d: Unconditionally flush device TLB for pasid table
    updates (bsc#1012628).
  - iommu/arm-smmu-v3: Do not use devm for the cd table allocations
    (bsc#1012628).
  - drm/stm: Avoid use-after-free issues with crtc and plane
    (bsc#1012628).
  - drm/amd/display: Pass non-null to
    dcn20_validate_apply_pipe_split_flags (bsc#1012628).
  - drm/amd/display: Check null pointers before using them
    (bsc#1012628).
  - drm/amd/display: Check null pointers before used (bsc#1012628).
  - drm/amd/display: Check null pointers before multiple uses
    (bsc#1012628).
  - drm/amdgpu: disallow multiple BO_HANDLES chunks in one submit
    (bsc#1012628).
  - drm/amdkfd: amdkfd_free_gtt_mem clear the correct pointer
    (bsc#1012628).
  - drm/amd/display: Add null check for head_pipe in
    dcn201_acquire_free_pipe_for_layer (bsc#1012628).
  - drm/amd/display: Add null check for head_pipe in
    dcn32_acquire_idle_pipe_for_head_pipe_in_layer (bsc#1012628).
  - drm/amd/display: Add NULL check for clk_mgr and clk_mgr->funcs
    in dcn30_init_hw (bsc#1012628).
  - drm/amd/display: Add NULL check for clk_mgr and clk_mgr->funcs
    in dcn401_init_hw (bsc#1012628).
  - drm/amd/display: Add NULL check for clk_mgr in dcn32_init_hw
    (bsc#1012628).
  - drm/xe/hdcp: Check GSC structure validity (bsc#1012628).
  - drm/amd/display: Add null check for pipe_ctx->plane_state in
    dcn20_program_pipe (bsc#1012628).
  - drm/amd/display: Add null check for top_pipe_to_program in
    commit_planes_for_stream (bsc#1012628).
  - drm/amd/display: Use gpuvm_min_page_size_kbytes for DML2
    surfaces (bsc#1012628).
  - ata: pata_serverworks: Do not use the term blacklist
    (bsc#1012628).
  - ata: sata_sil: Rename sil_blacklist to sil_quirks (bsc#1012628).
  - selftests/bpf: fix uprobe.path leak in bpf_testmod
    (bsc#1012628).
  - scsi: smartpqi: Add new controller PCI IDs (bsc#1012628).
  - HID: Ignore battery for all ELAN I2C-HID devices (bsc#1012628).
  - drm/amd/display: Underflow Seen on DCN401 eGPU (bsc#1012628).
  - drm/amd/display: Handle null 'stream_status' in
    'planes_changed_for_existing_stream' (bsc#1012628).
  - drm/amd/display: Add NULL check for function pointer in
    dcn20_set_output_transfer_func (bsc#1012628).
  - drm/amd/display: Add NULL check for function pointer in
    dcn401_set_output_transfer_func (bsc#1012628).
  - drm/amd/display: Add NULL check for function pointer in
    dcn32_set_output_transfer_func (bsc#1012628).
  - drm/amd/display: fix a UBSAN warning in DML2.1 (bsc#1012628).
  - drm/amd/display: Add null check for 'afb' in
    amdgpu_dm_update_cursor (v2) (bsc#1012628).
  - drm/amd/display: Check null pointers before using dc->clk_mgr
    (bsc#1012628).
  - drm/amd/display: Check null pointer before try to access it
    (bsc#1012628).
  - drm/amd/display: Add null check for 'afb' in
    amdgpu_dm_plane_handle_cursor_update (v2) (bsc#1012628).
  - drm/xe: Name and document Wa_14019789679 (bsc#1012628).
  - drm/amd/display: fix double free issue during amdgpu module
    unload (bsc#1012628).
  - drm/amdgpu: add list empty check to avoid null pointer issue
    (bsc#1012628).
  - jfs: UBSAN: shift-out-of-bounds in dbFindBits (bsc#1012628).
  - jfs: Fix uaf in dbFreeBits (bsc#1012628).
  - jfs: check if leafidx greater than num leaves per dmap tree
    (bsc#1012628).
  - scsi: smartpqi: correct stream detection (bsc#1012628).
  - scsi: smartpqi: add new controller PCI IDs (bsc#1012628).
  - drm/msm/adreno: Assign msm_gpu->pdev earlier to avoid nullptrs
    (bsc#1012628).
  - jfs: Fix uninit-value access of new_ea in ea_buffer
    (bsc#1012628).
  - drm/amdgpu: add raven1 gfxoff quirk (bsc#1012628).
  - drm/amdgpu: enable gfxoff quirk on HP 705G4 (bsc#1012628).
  - drm/amdkfd: Fix resource leak in criu restore queue
    (bsc#1012628).
  - HID: multitouch: Add support for Thinkpad X12 Gen 2 Kbd
    Portfolio (bsc#1012628).
  - platform/x86: touchscreen_dmi: add nanote-next quirk
    (bsc#1012628).
  - platform/x86/amd: pmf: Add quirk for TUF Gaming A14
    (bsc#1012628).
  - drm/xe: Add timeout to preempt fences (bsc#1012628).
  - drm/xe/fbdev: Limit the usage of stolen for LNL+ (bsc#1012628).
  - drm/stm: ltdc: reset plane transparency after plane disable
    (bsc#1012628).
  - drm/amd/display: Initialize denominators' default to 1
    (bsc#1012628).
  - drm/amd/display: Check null-initialized variables (bsc#1012628).
  - drm/amd/display: Check phantom_stream before it is used
    (bsc#1012628).
  - drm/amd/display: Check stream before comparing them
    (bsc#1012628).
  - drm/amd/display: Deallocate DML memory if allocation fails
    (bsc#1012628).
  - drm/amd/display: Increase array size of dummy_boolean
    (bsc#1012628).
  - drm/amd/display: Fix index out of bounds in DCN30 degamma
    hardware format translation (bsc#1012628).
  - drm/amd/display: Fix index out of bounds in degamma hardware
    format translation (bsc#1012628).
  - drm/amd/display: Implement bounds check for stream encoder
    creation in DCN401 (bsc#1012628).
  - drm/amd/display: Fix index out of bounds in DCN30 color
    transformation (bsc#1012628).
  - drm/amdgpu/gfx12: properly handle error ints on all pipes
    (bsc#1012628).
  - drm/amdgpu/gfx9: properly handle error ints on all pipes
    (bsc#1012628).
  - drm/amd/display: Fix possible overflow in integer multiplication
    (bsc#1012628).
  - drm/amd/display: Check stream_status before it is used
    (bsc#1012628).
  - drm/amd/display: Avoid overflow assignment in link_dp_cts
    (bsc#1012628).
  - drm/amd/display: Initialize get_bytes_per_element's default to 1
    (bsc#1012628).
  - drm/printer: Allow NULL data in devcoredump printer
    (bsc#1012628).
  - perf,x86: avoid missing caller address in stack traces captured
    in uprobe (bsc#1012628).
  - scsi: aacraid: Rearrange order of struct aac_srb_unit
    (bsc#1012628).
  - scsi: lpfc: Validate hdwq pointers before dereferencing in
    reset/errata paths (bsc#1012628).
  - scsi: lpfc: Fix unsolicited FLOGI kref imbalance when in direct
    attached topology (bsc#1012628).
  - scsi: lpfc: Update PRLO handling in direct attached topology
    (bsc#1012628).
  - drm/amd/display: Force enable 3DLUT DMA check for dcn401 in DML
    (bsc#1012628).
  - drm/amdgpu: fix unchecked return value warning for amdgpu_gfx
    (bsc#1012628).
  - drm/amdgpu: fix unchecked return value warning for
    amdgpu_atombios (bsc#1012628).
  - perf: Fix event_function_call() locking (bsc#1012628).
  - scsi: NCR5380: Initialize buffer for MSG IN and STATUS transfers
    (bsc#1012628).
  - drm/radeon/r100: Handle unknown family in
    r100_cp_init_microcode() (bsc#1012628).
  - drm/amd/display: Unlock Pipes Based On DET Allocation
    (bsc#1012628).
  - drm/amdgpu: fix ptr check warning in gfx9 ip_dump (bsc#1012628).
  - drm/amdgpu: fix ptr check warning in gfx10 ip_dump
    (bsc#1012628).
  - drm/amdgpu: fix ptr check warning in gfx11 ip_dump
    (bsc#1012628).
  - drm/amdgpu: Block MMR_READ IOCTL in reset (bsc#1012628).
  - drm/amdgpu/gfx9: use rlc safe mode for soft recovery
    (bsc#1012628).
  - drm/amdgpu/gfx11: enter safe mode before touching CP_INT_CNTL
    (bsc#1012628).
  - drm/xe: Use topology to determine page fault queue size
    (bsc#1012628).
  - drm/amd/pm: ensure the fw_info is not null before using it
    (bsc#1012628).
  - drm/amdkfd: Check int source id for utcl2 poison event
    (bsc#1012628).
  - drm/xe: Drop warn on xe_guc_pc_gucrc_disable in guc pc fini
    (bsc#1012628).
  - of/irq: Refer to actual buffer size in of_irq_parse_one()
    (bsc#1012628).
  - drm/amd/display: guard write a 0 post_divider value to HW
    (bsc#1012628).
  - powerpc/pseries: Use correct data types from pseries_hp_errorlog
    struct (bsc#1012628).
  - ovl: fsync after metadata copy-up (bsc#1012628).
  - drm/amdgpu/gfx12: use rlc safe mode for soft recovery
    (bsc#1012628).
  - drm/amdgpu/gfx11: use rlc safe mode for soft recovery
    (bsc#1012628).
  - drm/amdgpu/gfx10: use rlc safe mode for soft recovery
    (bsc#1012628).
  - platform/x86: lenovo-ymc: Ignore the 0x0 state (bsc#1012628).
  - tools/hv: Add memory allocation check in hv_fcopy_start
    (bsc#1012628).
  - HID: i2c-hid: ensure various commands do not interfere with
    each other (bsc#1012628).
  - ksmbd: add refcnt to ksmbd_conn struct (bsc#1012628).
  - platform/mellanox: mlxbf-pmc: fix lockdep warning (bsc#1012628).
  - platform/x86: x86-android-tablets: Adjust Xiaomi Pad 2 bottom
    bezel touch buttons LED (bsc#1012628).
  - ext4: filesystems without casefold feature cannot be mounted
    with siphash (bsc#1012628).
  - bpf: Make the pointer returned by iter next method valid
    (bsc#1012628).
  - ext4: ext4_search_dir should return a proper error
    (bsc#1012628).
  - ext4: avoid use-after-free in ext4_ext_show_leaf()
    (bsc#1012628).
  - ext4: fix i_data_sem unlock order in ext4_ind_migrate()
    (bsc#1012628).
  - bpftool: Fix undefined behavior caused by shifting into the
    sign bit (bsc#1012628).
  - iomap: handle a post-direct I/O invalidate race in
    iomap_write_delalloc_release (bsc#1012628).
  - bpftool: Fix undefined behavior in qsort(NULL, 0,
    ...) (bsc#1012628).
  - bpf: Fix a sdiv overflow issue (bsc#1012628).
  - EINJ, CXL: Fix CXL device SBDF calculation (bsc#1012628).
  - spi: spi-imx: Fix pm_runtime_set_suspended() with runtime pm
    enabled (bsc#1012628).
  - spi: spi-cadence: Fix pm_runtime_set_suspended() with runtime
    pm enabled (bsc#1012628).
  - spi: spi-cadence: Fix missing spi_controller_is_target() check
    (bsc#1012628).
  - selftest: hid: add missing run-hid-tools-tests.sh (bsc#1012628).
  - spi: s3c64xx: fix timeout counters in flush_fifo (bsc#1012628).
  - kselftest/devices/probe: Fix SyntaxWarning in regex strings
    for Python3 (bsc#1012628).
  - selftests: breakpoints: use remaining time to check if suspend
    succeed (bsc#1012628).
  - accel/ivpu: Add missing MODULE_FIRMWARE metadata (bsc#1012628).
  - spi: rpc-if: Add missing MODULE_DEVICE_TABLE (bsc#1012628).
  - ALSA: control: Fix power_ref lock order for compat code, too
    (bsc#1012628).
  - perf callchain: Fix stitch LBR memory leaks (bsc#1012628).
  - perf: Really fix event_function_call() locking (bsc#1012628).
  - drm/xe: fixup xe_alloc_pf_queue (bsc#1012628).
  - drm/xe: Fix memory leak on xe_alloc_pf_queue failure
    (bsc#1012628).
  - selftests: vDSO: fix vDSO name for powerpc (bsc#1012628).
  - selftests: vDSO: fix vdso_config for powerpc (bsc#1012628).
  - selftests: vDSO: fix vDSO symbols lookup for powerpc64
    (bsc#1012628).
  - ext4: fix error message when rejecting the default hash
    (bsc#1012628).
  - selftests/mm: fix charge_reserved_hugetlb.sh test (bsc#1012628).
  - nvme-tcp: fix link failure for TCP auth (bsc#1012628).
  - f2fs: add write priority option based on zone UFS (bsc#1012628).
  - f2fs: fix to don't panic system for no free segment fault
    injection (bsc#1012628).
  - powerpc/vdso: Fix VDSO data access when running in a non-root
    time namespace (bsc#1012628).
  - selftests: vDSO: fix ELF hash table entry size for s390x
    (bsc#1012628).
  - selftests: vDSO: fix vdso_config for s390 (bsc#1012628).
  - f2fs: make BG GC more aggressive for zoned devices
    (bsc#1012628).
  - f2fs: introduce migration_window_granularity (bsc#1012628).
  - f2fs: increase BG GC migration window granularity when boosted
    for zoned devices (bsc#1012628).
  - f2fs: do FG_GC when GC boosting is required for zoned devices
    (bsc#1012628).
  - f2fs: forcibly migrate to secure space for zoned device file
    pinning (bsc#1012628).
  - Revert "ALSA: hda: Conditionally use snooping for AMD HDMI"
    (bsc#1012628).
  - platform/x86: x86-android-tablets: Fix use after free on
    platform_device_register() errors (bsc#1012628).
  - platform/x86: ISST: Fix the KASAN report slab-out-of-bounds bug
    (bsc#1012628).
  - KVM: arm64: Fix kvm_has_feat*() handling of negative features
    (bsc#1012628).
  - i2c: stm32f7: Do not prepare/unprepare clock during runtime
    suspend/resume (bsc#1012628).
  - i2c: qcom-geni: Use IRQF_NO_AUTOEN flag in request_irq()
    (bsc#1012628).
  - i2c: xiic: Wait for TX empty to avoid missed TX NAKs
    (bsc#1012628).
  - media: i2c: ar0521: Use cansleep version of gpiod_set_value()
    (bsc#1012628).
  - i2c: core: Lock address during client device instantiation
    (bsc#1012628).
  - i2c: xiic: Fix pm_runtime_set_suspended() with runtime pm
    enabled (bsc#1012628).
  - i2c: designware: fix controller is holding SCL low while ENABLE
    bit is disabled (bsc#1012628).
  - i2c: synquacer: Deal with optional PCLK correctly (bsc#1012628).
  - rust: sync: require `T: Sync` for `LockedBy::access`
    (bsc#1012628).
  - ovl: fail if trusted xattrs are needed but caller lacks
    permission (bsc#1012628).
  - firmware: tegra: bpmp: Drop unused mbox_client_to_bpmp()
    (bsc#1012628).
  - memory: tegra186-emc: drop unused to_tegra186_emc()
    (bsc#1012628).
  - dt-bindings: clock: exynos7885: Fix duplicated binding
    (bsc#1012628).
  - spi: bcm63xx: Fix module autoloading (bsc#1012628).
  - spi: bcm63xx: Fix missing pm_runtime_disable() (bsc#1012628).
  - power: supply: hwmon: Fix missing temp1_max_alarm attribute
    (bsc#1012628).
  - mm, slub: avoid zeroing kmalloc redzone (bsc#1012628).
  - power: supply: Drop use_cnt check from
    power_supply_property_is_writeable() (bsc#1012628).
  - perf/core: Fix small negative period being ignored
    (bsc#1012628).
  - drm/v3d: Prevent out of bounds access in performance query
    extensions (bsc#1012628).
  - parisc: Fix itlb miss handler for 64-bit programs (bsc#1012628).
  - drm/mediatek: ovl_adaptor: Add missing of_node_put()
    (bsc#1012628).
  - drm: Consistently use struct drm_mode_rect for FB_DAMAGE_CLIPS
    (bsc#1012628).
  - ALSA: hda/tas2781: Add new quirk for Lenovo Y990 Laptop
    (bsc#1012628).
  - ALSA: core: add isascii() check to card ID generator
    (bsc#1012628).
  - ALSA: usb-audio: Add delay quirk for VIVO USB-C HEADSET
    (bsc#1012628).
  - ALSA: usb-audio: Add native DSD support for Luxman D-08u
    (bsc#1012628).
  - ALSA: line6: add hw monitor volume control to POD HD500X
    (bsc#1012628).
  - ALSA: hda/realtek: fix mute/micmute LED for HP mt645 G8
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Huawei MateBook 13 KLV-WX9
    (bsc#1012628).
  - ALSA: hda/realtek: Add a quirk for HP Pavilion 15z-ec200
    (bsc#1012628).
  - ext4: no need to continue when the number of entries is 1
    (bsc#1012628).
  - ext4: correct encrypted dentry name hash when not casefolded
    (bsc#1012628).
  - ext4: fix slab-use-after-free in ext4_split_extent_at()
    (bsc#1012628).
  - ext4: propagate errors from ext4_find_extent() in
    ext4_insert_range() (bsc#1012628).
  - ext4: fix incorrect tid assumption in ext4_fc_mark_ineligible()
    (bsc#1012628).
  - ext4: dax: fix overflowing extents beyond inode size when
    partially writing (bsc#1012628).
  - ext4: fix incorrect tid assumption in
    __jbd2_log_wait_for_space() (bsc#1012628).
  - ext4: drop ppath from ext4_ext_replay_update_ex() to avoid
    double-free (bsc#1012628).
  - ext4: aovid use-after-free in ext4_ext_insert_extent()
    (bsc#1012628).
  - ext4: fix double brelse() the buffer of the extents path
    (bsc#1012628).
  - ext4: fix timer use-after-free on failed mount (bsc#1012628).
  - ext4: fix access to uninitialised lock in fc replay path
    (bsc#1012628).
  - ext4: update orig_path in ext4_find_extent() (bsc#1012628).
  - ext4: fix incorrect tid assumption in
    ext4_wait_for_tail_page_commit() (bsc#1012628).
  - ext4: fix incorrect tid assumption in
    jbd2_journal_shrink_checkpoint_list() (bsc#1012628).
  - ext4: fix fast commit inode enqueueing during a full journal
    commit (bsc#1012628).
  - ext4: use handle to mark fc as ineligible in
    __track_dentry_update() (bsc#1012628).
  - ext4: mark fc as ineligible using an handle in ext4_xattr_set()
    (bsc#1012628).
  - ext4: fix off by one issue in alloc_flex_gd() (bsc#1012628).
  - drm/xe: Generate oob before compiling anything (bsc#1012628).
  - parisc: Fix 64-bit userspace syscall path (bsc#1012628).
  - parisc: Allow mmap(MAP_STACK) memory to automatically expand
    upwards (bsc#1012628).
  - parisc: Fix stack start for ADDR_NO_RANDOMIZE personality
    (bsc#1012628).
  - drm/rockchip: vop: clear DMA stop bit on RK3066 (bsc#1012628).
  - of: address: Report error on resource bounds overflow
    (bsc#1012628).
  - of/irq: Support #msi-cells=<0> in of_msi_get_domain
    (bsc#1012628).
  - drm: omapdrm: Add missing check for alloc_ordered_workqueue
    (bsc#1012628).
  - resource: fix region_intersects() vs add_memory_driver_managed()
    (bsc#1012628).
  - lib/buildid: harden build ID parsing logic (bsc#1012628).
  - jbd2: stop waiting for space when jbd2_cleanup_journal_tail()
    returns error (bsc#1012628).
  - jbd2: correctly compare tids with tid_geq function in
    jbd2_fc_begin_commit (bsc#1012628).
  - mm: krealloc: consider spare memory for __GFP_ZERO
    (bsc#1012628).
  - ocfs2: fix the la space leak when unmounting an ocfs2 volume
    (bsc#1012628).
  - ocfs2: fix uninit-value in ocfs2_get_block() (bsc#1012628).
  - ocfs2: reserve space for inline xattr before attaching reflink
    tree (bsc#1012628).
  - ocfs2: cancel dqi_sync_work before freeing oinfo (bsc#1012628).
  - ocfs2: remove unreasonable unlock in ocfs2_read_blocks
    (bsc#1012628).
  - ocfs2: fix null-ptr-deref when journal load failed
    (bsc#1012628).
  - ocfs2: fix possible null-ptr-deref in ocfs2_set_buffer_uptodate
    (bsc#1012628).
  - scripts/gdb: fix timerlist parsing issue (bsc#1012628).
  - scripts/gdb: add iteration function for rbtree (bsc#1012628).
  - scripts/gdb: fix lx-mounts command error (bsc#1012628).
  - arm64: fix selection of HAVE_DYNAMIC_FTRACE_WITH_ARGS
    (bsc#1012628).
  - drm/xe: fix UAF around queue destruction (bsc#1012628).
  - drm/xe/oa: Don't reset OAC_CONTEXT_ENABLE on OA stream close
    (bsc#1012628).
  - sched/deadline: Comment sched_dl_entity::dl_server variable
    (bsc#1012628).
  - sched/core: Add clearing of ->dl_server in
    put_prev_task_balance() (bsc#1012628).
  - sched/core: Clear prev->dl_server in CFS pick fast path
    (bsc#1012628).
  - sched: psi: fix bogus pressure spikes from aggregation race
    (bsc#1012628).
  - riscv: define ILLEGAL_POINTER_VALUE for 64bit (bsc#1012628).
  - exfat: fix memory leak in exfat_load_bitmap() (bsc#1012628).
  - perf python: Disable -Wno-cast-function-type-mismatch if
    present on clang (bsc#1012628).
  - perf hist: Update hist symbol when updating maps (bsc#1012628).
  - nfsd: fix delegation_blocked() to block correctly for at least
    30 seconds (bsc#1012628).
  - nfsd: map the EBADMSG to nfserr_io to avoid warning
    (bsc#1012628).
  - NFSD: Fix NFSv4's PUTPUBFH operation (bsc#1012628).
  - i3c: master: svc: Fix use after free vulnerability in
    svc_i3c_master Driver Due to Race Condition (bsc#1012628).
  - sysctl: avoid spurious permanent empty tables (bsc#1012628).
  - RDMA/mana_ib: use the correct page table index based on hardware
    page size (bsc#1012628).
  - RDMA/mana_ib: use the correct page size for mapping user-mode
    doorbell page (bsc#1012628).
  - drivers/perf: riscv: Align errno for unsupported perf event
    (bsc#1012628).
  - riscv: Fix kernel stack size when KASAN is enabled
    (bsc#1012628).
  - aoe: fix the potential use-after-free problem in more places
    (bsc#1012628).
  - media: imx335: Fix reset-gpio handling (bsc#1012628).
  - media: ov5675: Fix power on/off delay timings (bsc#1012628).
  - clk: rockchip: fix error for unknown clocks (bsc#1012628).
  - leds: pca9532: Remove irrelevant blink configuration error
    message (bsc#1012628).
  - remoteproc: k3-r5: Fix error handling when power-up failed
    (bsc#1012628).
  - gfs2: fix double destroy_workqueue error (bsc#1012628).
  - media: videobuf2: Drop minimum allocation requirement of 2
    buffers (bsc#1012628).
  - clk: qcom: dispcc-sm8250: use CLK_SET_RATE_PARENT for branch
    clocks (bsc#1012628).
  - media: sun4i_csi: Implement link validate for sun4i_csi subdev
    (bsc#1012628).
  - clk: qcom: gcc-sm8450: Do not turn off PCIe GDSCs during
    gdsc_disable() (bsc#1012628).
  - media: uapi/linux/cec.h: cec_msg_set_reply_to: zero flags
    (bsc#1012628).
  - dt-bindings: clock: qcom: Add GPLL9 support on gcc-sc8180x
    (bsc#1012628).
  - clk: qcom: gcc-sc8180x: Register QUPv3 RCGs for DFS on sc8180x
    (bsc#1012628).
  - clk: qcom: clk-rpmh: Fix overflow in BCM vote (bsc#1012628).
  - clk: samsung: exynos7885: Update CLKS_NR_FSYS after bindings
    fix (bsc#1012628).
  - clk: qcom: gcc-sm8150: De-register gcc_cpuss_ahb_clk_src
    (bsc#1012628).
  - media: venus: fix use after free bug in venus_remove due to
    race condition (bsc#1012628).
  - clk: qcom: gcc-sm8250: Do not turn off PCIe GDSCs during
    gdsc_disable() (bsc#1012628).
  - media: qcom: camss: Remove use_count guard in stop_streaming
    (bsc#1012628).
  - clk: qcom: gcc-sc8180x: Add GPLL9 support (bsc#1012628).
  - media: qcom: camss: Fix ordering of pm_runtime_enable
    (bsc#1012628).
  - clk: qcom: gcc-sc8180x: Fix the sdcc2 and sdcc4 clocks freq
    table (bsc#1012628).
  - clk: qcom: clk-alpha-pll: Fix CAL_L_VAL override for LUCID
    EVO PLL (bsc#1012628).
  - drm/amd/display: avoid set dispclk to 0 (bsc#1012628).
  - smb: client: use actual path when queryfs (bsc#1012628).
  - smb3: fix incorrect mode displayed for read-only files
    (bsc#1012628).
  - iio: magnetometer: ak8975: Fix reading for ak099xx sensors
    (bsc#1012628).
  - iio: pressure: bmp280: Fix regmap for BMP280 device
    (bsc#1012628).
  - iio: pressure: bmp280: Fix waiting time for BMP3xx configuration
    (bsc#1012628).
  - vrf: revert "vrf: Remove unnecessary RCU-bh critical section"
    (bsc#1012628).
  - net: gso: fix tcp fraglist segmentation after pull from
    frag_list (bsc#1012628).
  - gso: fix udp gso fraglist segmentation after pull from frag_list
    (bsc#1012628).
  - tomoyo: fallback to realpath if symlink's pathname does not
    exist (bsc#1012628).
  - kselftests: mm: fix wrong __NR_userfaultfd value (bsc#1012628).
  - net: stmmac: Fix zero-division error when disabling tc cbs
    (bsc#1012628).
  - rtc: at91sam9: fix OF node leak in probe() error path
    (bsc#1012628).
  - mm/filemap: fix filemap_get_folios_contig THP panic
    (bsc#1012628).
  - mm/hugetlb: fix memfd_pin_folios free_huge_pages leak
    (bsc#1012628).
  - mm/hugetlb: fix memfd_pin_folios resv_huge_pages leak
    (bsc#1012628).
  - mm/gup: fix memfd_pin_folios hugetlb page allocation
    (bsc#1012628).
  - mm/gup: fix memfd_pin_folios alloc race panic (bsc#1012628).
  - mm/hugetlb: simplify refs in memfd_alloc_folio (bsc#1012628).
  - Input: adp5589-keys - fix NULL pointer dereference
    (bsc#1012628).
  - Input: adp5589-keys - fix adp5589_gpio_get_value()
    (bsc#1012628).
  - HID: bpf: fix cfi stubs for hid_bpf_ops (bsc#1012628).
  - cachefiles: fix dentry leak in cachefiles_open_file()
    (bsc#1012628).
  - pidfs: check for valid pid namespace (bsc#1012628).
  - ACPI: video: Add backlight=native quirk for Dell OptiPlex 5480
    AIO (bsc#1012628).
  - ACPI: resource: Remove duplicate Asus E1504GAB IRQ override
    (bsc#1012628).
  - ACPI: resource: Loosen the Asus E1404GAB DMI match to also
    cover the E1404GA (bsc#1012628).
  - ACPI: resource: Add Asus Vivobook X1704VAP to
    irq1_level_low_skip_override[] (bsc#1012628).
  - ACPI: resource: Add Asus ExpertBook B2502CVA to
    irq1_level_low_skip_override[] (bsc#1012628).
  - btrfs: send: fix buffer overflow detection when copying path
    to cache entry (bsc#1012628).
  - btrfs: fix a NULL pointer dereference when failed to start a
    new trasacntion (bsc#1012628).
  - btrfs: drop the backref cache during relocation if we commit
    (bsc#1012628).
  - btrfs: send: fix invalid clone operation for file that got
    its size decreased (bsc#1012628).
  - btrfs: wait for fixup workers before stopping cleaner kthread
    during umount (bsc#1012628).
  - cpufreq: Avoid a bad reference count on CPU node (bsc#1012628).
  - cpufreq: intel_pstate: Make hwp_notify_lock a raw spinlock
    (bsc#1012628).
  - gpio: davinci: fix lazy disable (bsc#1012628).
  - net: pcs: xpcs: fix the wrong register that was written back
    (bsc#1012628).
  - Bluetooth: hci_event: Align BR/EDR JUST_WORKS paring with LE
    (bsc#1012628).
  - mac802154: Fix potential RCU dereference issue in
    mac802154_scan_worker (bsc#1012628).
  - io_uring/net: harden multishot termination case for recv
    (bsc#1012628).
  - ceph: fix cap ref leak via netfs init_request (bsc#1012628).
  - tracing/hwlat: Fix a race during cpuhp processing (bsc#1012628).
  - tracing/timerlat: Drop interface_lock in stop_kthread()
    (bsc#1012628).
  - tracing/timerlat: Fix a race during cpuhp processing
    (bsc#1012628).
  - tracing/timerlat: Fix duplicated kthread creation due to CPU
    online/offline (bsc#1012628).
  - rtla: Fix the help text in osnoise and timerlat top tools
    (bsc#1012628).
  - firmware/sysfb: Disable sysfb for firmware buffers with unknown
    parent (bsc#1012628).
  - close_range(): fix the logics in descriptor table trimming
    (bsc#1012628).
  - drm/i915/gem: fix bitwise and logical AND mixup (bsc#1012628).
  - drm/panthor: Don't add write fences to the shared BOs
    (bsc#1012628).
  - drm/panthor: Fix access to uninitialized variable in
    tick_ctx_cleanup() (bsc#1012628).
  - drm/panthor: Don't declare a queue blocked if deferred
    operations are pending (bsc#1012628).
  - drm/sched: Fix dynamic job-flow control race (bsc#1012628).
  - drm/sched: Add locking to drm_sched_entity_modify_sched
    (bsc#1012628).
  - drm/sched: Always wake up correct scheduler in
    drm_sched_entity_push_job (bsc#1012628).
  - drm/sched: Always increment correct scheduler score
    (bsc#1012628).
  - drm/amd/display: Restore Optimized pbn Value if Failed to
    Disable DSC (bsc#1012628).
  - drm/amd/display: Add HDR workaround for specific eDP
    (bsc#1012628).
  - drm/amd/display: Enable idle workqueue for more IPS modes
    (bsc#1012628).
  - drm/amd/display: update DML2 policy
    EnhancedPrefetchScheduleAccelerationFinal DCN35 (bsc#1012628).
  - drm/amd/display: Fix system hang while resume with TBT monitor
    (bsc#1012628).
  - kconfig: fix infinite loop in sym_calc_choice() (bsc#1012628).
  - kconfig: qconf: move conf_read() before drawing tree pain
    (bsc#1012628).
  - kconfig: qconf: fix buffer overflow in debug links
    (bsc#1012628).
  - uprobes: fix kernel info leak via "[uprobes]" vma (bsc#1012628).
  - mm: z3fold: deprecate CONFIG_Z3FOLD (bsc#1012628).
  - sunrpc: change sp_nrthreads from atomic_t to unsigned int
    (bsc#1012628).
  - NFSD: Async COPY result needs to return a write verifier
    (bsc#1012628).
  - NFSD: Limit the number of concurrent async COPY operations
    (bsc#1012628).
  - remoteproc: k3-r5: Acquire mailbox handle during probe routine
    (bsc#1012628).
  - remoteproc: k3-r5: Delay notification of wakeup event
    (bsc#1012628).
  - r8169: Fix spelling mistake: "tx_underun" -> "tx_underrun"
    (bsc#1012628).
  - r8169: add tally counter fields added with RTL8125
    (bsc#1012628).
  - ACPI: battery: Simplify battery hook locking (bsc#1012628).
  - ACPI: battery: Fix possible crash when unregistering a battery
    hook (bsc#1012628).
  - drm/xe: Clean up VM / exec queue file lock usage (bsc#1012628).
  - drm/xe/vm: move xa_alloc to prevent UAF (bsc#1012628).
  - drm/rockchip: vop: enable VOP_FEATURE_INTERNAL_RGB on RK3066
    (bsc#1012628).
  - drm/xe/vram: fix ccs offset calculation (bsc#1012628).
  - Revert "drm/amd/display: Skip Recompute DSC Params if no Stream
    on Link" (bsc#1012628).
  - drm/sched: revert "Always increment correct scheduler score"
    (bsc#1012628).
  - rxrpc: Fix a race between socket set up and I/O thread creation
    (bsc#1012628).
  - vhost/scsi: null-ptr-dereference in vhost_scsi_get_req()
    (bsc#1012628).
  - ALSA: control: Fix leftover snd_power_unref() (bsc#1012628).
  - crypto: octeontx* - Select CRYPTO_AUTHENC (bsc#1012628).
  - drm/amd/display: Revert Avoid overflow assignment (bsc#1012628).
  - perf report: Fix segfault when 'sym' sort key is not used
    (bsc#1012628).
  - pmdomain: core: Reduce debug summary table width (bsc#1012628).
  - perf python: Allow checking for the existence of warning
    options in clang (bsc#1012628).
  - Update config files. (set to new defaults -- bsc#1231416)
  - Rename to
    patches.kernel.org/6.11.3-068-ALSA-hda-generic-Unconditionally-prefer-prefer.patch.
  - Rename to
    patches.kernel.org/6.11.3-083-e1000e-avoid-failing-the-system-during-pm_susp.patch.
  - Rename to
    patches.kernel.org/6.11.3-420-arm64-Subscribe-Microsoft-Azure-Cobalt-100-to-.patch.
  - Rename to
    patches.kernel.org/6.11.3-529-arm64-cputype-Add-Neoverse-N3-definitions.patch.
  - Rename to
    patches.kernel.org/6.11.3-530-arm64-errata-Expand-speculative-SSBS-workaroun.patch.
  - Rename to
    patches.kernel.org/6.11.3-533-drm-amd-display-Allow-backlight-to-go-below-AM.patch.
  - commit 006a2b4
* Wed Oct 09 2024 tiwai@suse.de
  - Drop the previous fix for HD-audio TAS2781 (bsc#1230132)
    The proposed fix turned out to be incorrect
  - commit 3c20227
* Wed Oct 09 2024 jslaby@suse.cz
  - Update ZSWAP config files (bsc#1231416)
    * Z3FOLD is deprecated.
    * ZSMALLOC should be the default.
  - commit 7cce8ae
* Tue Oct 08 2024 tiwai@suse.de
  - ALSA: hda: tas2781: Fix missing setup at runtime PM
    (bsc#1230132).
  - commit 4ec2eee
* Tue Oct 08 2024 tiwai@suse.de
  - Use pahole -j1 option for reproducible builds (bsc#1230414
    bsc#1229450).
  - commit 0a678de
* Tue Oct 08 2024 jslaby@suse.cz
  - arm64: Subscribe Microsoft Azure Cobalt 100 to erratum 3194386
    (MIDR-SSSB).
  - arm64: errata: Expand speculative SSBS workaround once more
    (MIDR-SSSB).
  - arm64: cputype: Add Neoverse-N3 definitions (MIDR-SSSB).
  - commit 92ca8ff
* Tue Oct 08 2024 jslaby@suse.cz
  - drm/amd/display: Allow backlight to go
    below `AMDGPU_DM_DEFAULT_MIN_BACKLIGHT`
    (<d75e0922-ec80-4ef1-880a-fba98a67ffe5@amd.com>).
  - commit 19bb639
* Mon Oct 07 2024 msuchanek@suse.de
  - Delete some more obsolete scripts
  - commit 2fd5ee5
* Mon Oct 07 2024 jslaby@suse.cz
  - Refresh
    patches.suse/ALSA-hda-generic-Unconditionally-prefer-preferred_da.patch.
    Update upstream status.
  - commit 3cbf0fa
* Mon Oct 07 2024 mkubecek@suse.cz
  - update to 6.12-rc2
  - drop mainline patch
    - patches.rpmify/bcachefs-rename-version-bversion-for-big-endian-buil.patch (2007d28ec009)
  - refresh configs
    - removed config options (due to reverts)
    - SECURITY_TOMOYO_LKM
    - MOUSE_PS2_PIXART
  - commit 9204357
* Fri Oct 04 2024 jslaby@suse.cz
  - Linux 6.11.2 (bsc#1012628).
  - wifi: ath11k: use work queue to process beacon tx event
    (bsc#1012628).
  - EDAC/synopsys: Fix error injection on Zynq UltraScale+
    (bsc#1012628).
  - wifi: rtw88: always wait for both firmware loading attempts
    (bsc#1012628).
  - crypto: xor - fix template benchmarking (bsc#1012628).
  - crypto: qat - disable IOV in adf_dev_stop() (bsc#1012628).
  - crypto: qat - fix recovery flow for VFs (bsc#1012628).
  - crypto: qat - ensure correct order in VF restarting handler
    (bsc#1012628).
  - crypto: iaa - Fix potential use after free bug (bsc#1012628).
  - ACPI: PMIC: Remove unneeded check in
    tps68470_pmic_opregion_probe() (bsc#1012628).
  - eth: fbnic: select DEVLINK and PAGE_POOL (bsc#1012628).
  - wifi: brcmfmac: introducing fwil query functions (bsc#1012628).
  - wifi: ath9k: Remove error checks when creating debugfs entries
    (bsc#1012628).
  - wifi: ath12k: fix BSS chan info request WMI command
    (bsc#1012628).
  - wifi: ath12k: match WMI BSS chan info structure with firmware
    definition (bsc#1012628).
  - wifi: ath12k: fix invalid AMPDU factor calculation in
    ath12k_peer_assoc_h_he() (bsc#1012628).
  - hwrng: cn10k - Enable by default CN10K driver if Thunder SoC
    is enabled (bsc#1012628).
  - crypto: x86/aes-gcm - fix PREEMPT_RT issue in gcm_crypt()
    (bsc#1012628).
  - net: stmmac: dwmac-loongson: Init ref and PTP clocks rate
    (bsc#1012628).
  - virtio: rename virtio_config_enabled to
    virtio_config_core_enabled (bsc#1012628).
  - virtio: allow driver to disable the configure change
    notification (bsc#1012628).
  - virtio-net: synchronize operstate with admin state on up/down
    (bsc#1012628).
  - virtio-net: synchronize probe with ndo_set_features
    (bsc#1012628).
  - arm64: signal: Fix some under-bracketed UAPI macros
    (bsc#1012628).
  - wifi: rtw89: remove unused C2H event ID
    RTW89_MAC_C2H_FUNC_READ_WOW_CAM to prevent out-of-bounds reading
    (bsc#1012628).
  - wifi: rtw88: remove CPT execution branch never used
    (bsc#1012628).
  - RISC-V: KVM: Fix sbiret init before forwarding to userspace
    (bsc#1012628).
  - RISC-V: KVM: Don't zero-out PMU snapshot area before freeing
    data (bsc#1012628).
  - RISC-V: KVM: Allow legacy PMU access from guest (bsc#1012628).
  - RISC-V: KVM: Fix to allow hpmcounter31 from the guest
    (bsc#1012628).
  - mount: handle OOM on mnt_warn_timestamp_expiry (bsc#1012628).
  - autofs: fix missing fput for FSCONFIG_SET_FD (bsc#1012628).
  - netfilter: nf_tables: store new sets in dedicated list
    (bsc#1012628).
  - ARM: 9410/1: vfp: Use asm volatile in fmrx/fmxr macros
    (bsc#1012628).
  - powercap: intel_rapl: Fix off by one in get_rpi() (bsc#1012628).
  - wifi: rtw89: limit the PPDU length for VHT rate to 0x40000
    (bsc#1012628).
  - kselftest/arm64: signal: fix/refactor SVE vector length
    enumeration (bsc#1012628).
  - arm64: smp: smp_send_stop() and crash_smp_send_stop() should
    try non-NMI first (bsc#1012628).
  - thermal: core: Fold two functions into their respective callers
    (bsc#1012628).
  - thermal: core: Fix rounding of delay jiffies (bsc#1012628).
  - drivers/perf: Fix ali_drw_pmu driver interrupt status clearing
    (bsc#1012628).
  - perf/dwc_pcie: Fix registration issue in multi PCIe controller
    instances (bsc#1012628).
  - perf/dwc_pcie: Always register for PCIe bus notifier
    (bsc#1012628).
  - crypto: qat - fix "Full Going True" macro definition
    (bsc#1012628).
  - ACPI: video: force native for Apple MacbookPro9,2 (bsc#1012628).
  - wifi: mac80211_hwsim: correct MODULE_PARM_DESC of multi_radio
    (bsc#1012628).
  - wifi: mac80211: don't use rate mask for offchannel TX either
    (bsc#1012628).
  - wifi: iwlwifi: config: label 'gl' devices as discrete
    (bsc#1012628).
  - wifi: iwlwifi: mvm: set the cipher for secured NDP ranging
    (bsc#1012628).
  - wifi: iwlwifi: mvm: increase the time between ranging
    measurements (bsc#1012628).
  - wifi: cfg80211: fix bug of mapping AF3x to incorrect User
    Priority (bsc#1012628).
  - wifi: mac80211: fix the comeback long retry times (bsc#1012628).
  - wifi: iwlwifi: mvm: allow ESR when we the ROC expires
    (bsc#1012628).
  - wifi: mac80211: Check for missing VHT elements only for 5 GHz
    (bsc#1012628).
  - ACPICA: Implement ACPI_WARNING_ONCE and ACPI_ERROR_ONCE
    (bsc#1012628).
  - ACPICA: executer/exsystem: Don't nag user about every Stall()
    violating the spec (bsc#1012628).
  - padata: Honor the caller's alignment in case of chunk_size 0
    (bsc#1012628).
  - drivers/perf: hisi_pcie: Record hardware counts correctly
    (bsc#1012628).
  - drivers/perf: hisi_pcie: Fix TLP headers bandwidth counting
    (bsc#1012628).
  - kselftest/arm64: Actually test SME vector length changes via
    sigreturn (bsc#1012628).
  - can: j1939: use correct function name in comment (bsc#1012628).
  - wifi: rtw89: wow: fix wait condition for AOAC report request
    (bsc#1012628).
  - ACPI: CPPC: Fix MASK_VAL() usage (bsc#1012628).
  - netfilter: nf_tables: elements with timeout below CONFIG_HZ
    never expire (bsc#1012628).
  - netfilter: nf_tables: reject element expiration with no timeout
    (bsc#1012628).
  - netfilter: nf_tables: reject expiration higher than timeout
    (bsc#1012628).
  - netfilter: nf_tables: remove annotation to access set timeout
    while holding lock (bsc#1012628).
  - netfilter: nft_dynset: annotate data-races around set timeout
    (bsc#1012628).
  - perf/arm-cmn: Refactor node ID handling. Again (bsc#1012628).
  - perf/arm-cmn: Fix CCLA register offset (bsc#1012628).
  - perf/arm-cmn: Ensure dtm_idx is big enough (bsc#1012628).
  - cpufreq: ti-cpufreq: Introduce quirks to handle syscon fails
    appropriately (bsc#1012628).
  - thermal: gov_bang_bang: Adjust states of all uninitialized
    instances (bsc#1012628).
  - wifi: mt76: mt7915: fix oops on non-dbdc mt7986 (bsc#1012628).
  - wifi: mt76: mt7921: fix wrong UNII-4 freq range check for the
    channel usage (bsc#1012628).
  - wifi: mt76: mt7996: use hweight16 to get correct tx antenna
    (bsc#1012628).
  - wifi: mt76: mt7996: fix traffic delay when switching back to
    working channel (bsc#1012628).
  - wifi: mt76: mt7996: fix wmm set of station interface to 3
    (bsc#1012628).
  - wifi: mt76: mt7996: fix HE and EHT beamforming capabilities
    (bsc#1012628).
  - wifi: mt76: mt7996: fix EHT beamforming capability check
    (bsc#1012628).
  - x86/sgx: Fix deadlock in SGX NUMA node search (bsc#1012628).
  - pm:cpupower: Add missing powercap_set_enabled() stub function
    (bsc#1012628).
  - crypto: ccp - do not request interrupt on cmd completion when
    irqs disabled (bsc#1012628).
  - crypto: hisilicon/hpre - mask cluster timeout error
    (bsc#1012628).
  - crypto: hisilicon/qm - reset device before enabling it
    (bsc#1012628).
  - crypto: hisilicon/qm - inject error before stopping queue
    (bsc#1012628).
  - wifi: mt76: mt7996: fix handling mbss enable/disable
    (bsc#1012628).
  - wifi: mt76: connac: fix checksum offload fields of connac3 RXD
    (bsc#1012628).
  - wifi: mt76: mt7603: fix mixed declarations and code
    (bsc#1012628).
  - wifi: cfg80211: fix UBSAN noise in cfg80211_wext_siwscan()
    (bsc#1012628).
  - wifi: mt76: mt7915: fix rx filter setting for bfee functionality
    (bsc#1012628).
  - wifi: mt76: mt7996: fix uninitialized TLV data (bsc#1012628).
  - wifi: cfg80211: fix two more possible UBSAN-detected off-by-one
    errors (bsc#1012628).
  - wifi: mac80211: use two-phase skb reclamation in
    ieee80211_do_stop() (bsc#1012628).
  - wifi: wilc1000: fix potential RCU dereference issue in
    wilc_parse_join_bss_param (bsc#1012628).
  - af_unix: Don't call skb_get() for OOB skb (bsc#1012628).
  - af_unix: Remove single nest in manage_oob() (bsc#1012628).
  - af_unix: Rename unlinked_skb in manage_oob() (bsc#1012628).
  - af_unix: Move spin_lock() in manage_oob() (bsc#1012628).
  - af_unix: Don't return OOB skb in manage_oob() (bsc#1012628).
  - Bluetooth: hci_core: Fix sending MGMT_EV_CONNECT_FAILED
    (bsc#1012628).
  - Bluetooth: hci_sync: Ignore errors from
    HCI_OP_REMOTE_NAME_REQ_CANCEL (bsc#1012628).
  - sock_map: Add a cond_resched() in sock_hash_free()
    (bsc#1012628).
  - can: bcm: Clear bo->bcm_proc_read after remove_proc_entry()
    (bsc#1012628).
  - can: m_can: enable NAPI before enabling interrupts
    (bsc#1012628).
  - can: m_can: m_can_close(): stop clocks after device has been
    shut down (bsc#1012628).
  - Bluetooth: btusb: Fix not handling ZPL/short-transfer
    (bsc#1012628).
  - bareudp: Pull inner IP header in bareudp_udp_encap_recv()
    (bsc#1012628).
  - bareudp: Pull inner IP header on xmit (bsc#1012628).
  - net: enetc: Use IRQF_NO_AUTOEN flag in request_irq()
    (bsc#1012628).
  - crypto: n2 - Set err to EINVAL if snprintf fails for hmac
    (bsc#1012628).
  - xsk: fix batch alloc API on non-coherent systems (bsc#1012628).
  - netkit: Assign missing bpf_net_context (bsc#1012628).
  - r8169: disable ALDPS per default for RTL8125 (bsc#1012628).
  - net: ipv6: rpl_iptunnel: Fix memory leak in rpl_input
    (bsc#1012628).
  - fbnic: Set napi irq value after calling netif_napi_add
    (bsc#1012628).
  - net: tipc: avoid possible garbage value (bsc#1012628).
  - ipv6: avoid possible NULL deref in rt6_uncached_list_flush_dev()
    (bsc#1012628).
  - ublk: move zone report data out of request pdu (bsc#1012628).
  - nbd: fix race between timeout and normal completion
    (bsc#1012628).
  - block, bfq: fix possible UAF for bfqq->bic with merge chain
    (bsc#1012628).
  - block, bfq: choose the last bfqq from merge chain in
    bfq_setup_cooperator() (bsc#1012628).
  - block, bfq: don't break merge chain in bfq_split_bfqq()
    (bsc#1012628).
  - cachefiles: Fix non-taking of sb_writers around set/removexattr
    (bsc#1012628).
  - nbd: correct the maximum value for discard sectors
    (bsc#1012628).
  - erofs: fix incorrect symlink detection in fast symlink
    (bsc#1012628).
  - erofs: fix error handling in z_erofs_init_decompressor
    (bsc#1012628).
  - erofs: handle overlapped pclusters out of crafted images
    properly (bsc#1012628).
  - block, bfq: fix uaf for accessing waker_bfqq after splitting
    (bsc#1012628).
  - block, bfq: fix procress reference leakage for bfqq in merge
    chain (bsc#1012628).
  - io_uring/io-wq: do not allow pinning outside of cpuset
    (bsc#1012628).
  - io_uring/io-wq: inherit cpuset of cgroup in io worker
    (bsc#1012628).
  - block: fix potential invalid pointer dereference in
    blk_add_partition (bsc#1012628).
  - spi: ppc4xx: handle irq_of_parse_and_map() errors (bsc#1012628).
  - arm64: dts: exynos: exynos7885-jackpotlte: Correct RAM amount
    to 4GB (bsc#1012628).
  - arm64: dts: mediatek: mt8186: Fix supported-hw mask for GPU OPPs
    (bsc#1012628).
  - firmware: arm_scmi: Fix double free in OPTEE transport
    (bsc#1012628).
  - spi: ppc4xx: Avoid returning 0 when failed to parse and map IRQ
    (bsc#1012628).
  - firmware: qcom: scm: Disable SDI and write no dump to dump mode
    (bsc#1012628).
  - regulator: Return actual error in of_regulator_bulk_get_all()
    (bsc#1012628).
  - arm64: dts: renesas: r9a08g045: Correct GICD and GICR sizes
    (bsc#1012628).
  - arm64: dts: renesas: r9a07g043u: Correct GICD and GICR sizes
    (bsc#1012628).
  - arm64: dts: renesas: r9a07g054: Correct GICD and GICR sizes
    (bsc#1012628).
  - arm64: dts: renesas: r9a07g044: Correct GICD and GICR sizes
    (bsc#1012628).
  - ARM: dts: microchip: sam9x60: Fix rtc/rtt clocks (bsc#1012628).
  - arm64: tegra: Correct location of power-sensors for IGX Orin
    (bsc#1012628).
  - arm64: dts: rockchip: Correct vendor prefix for Hardkernel
    ODROID-M1 (bsc#1012628).
  - arm64: dts: ti: k3-j721e-sk: Fix reversed C6x carveout locations
    (bsc#1012628).
  - arm64: dts: ti: k3-j721e-beagleboneai64: Fix reversed C6x
    carveout locations (bsc#1012628).
  - spi: bcmbca-hsspi: Fix missing pm_runtime_disable()
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100: Fix PHY for DP2 (bsc#1012628).
  - ARM: dts: microchip: sama7g5: Fix RTT clock (bsc#1012628).
  - ARM: dts: imx7d-zii-rmu2: fix Ethernet PHY pinctrl property
    (bsc#1012628).
  - arm64: dts: ti: k3-am654-idk: Fix dtbs_check warning in ICSSG
    dmas (bsc#1012628).
  - ARM: versatile: fix OF node leak in CPUs prepare (bsc#1012628).
  - reset: berlin: fix OF node leak in probe() error path
    (bsc#1012628).
  - reset: k210: fix OF node leak in probe() error path
    (bsc#1012628).
  - platform: cznic: turris-omnia-mcu: Fix error check in
    omnia_mcu_register_trng() (bsc#1012628).
  - clocksource/drivers/qcom: Add missing iounmap() on errors in
    msm_dt_timer_init() (bsc#1012628).
  - arm64: dts: mediatek: mt8195: Correct clock order for dp_intf*
    (bsc#1012628).
  - x86/mm: Use IPIs to synchronize LAM enablement (bsc#1012628).
  - ASoC: rt5682s: Return devm_of_clk_add_hw_provider to transfer
    the error (bsc#1012628).
  - ASoC: tas2781: Fix a compiling warning reported by robot kernel
    test due to adding tas2563_dvc_table (bsc#1012628).
  - ASoC: tas2781-i2c: Drop weird GPIO code (bsc#1012628).
  - ASoC: tas2781-i2c: Get the right GPIO line (bsc#1012628).
  - selftests/ftrace: Add required dependency for kprobe tests
    (bsc#1012628).
  - ALSA: hda: cs35l41: fix module autoloading (bsc#1012628).
  - selftests/ftrace: Fix test to handle both old and new kernels
    (bsc#1012628).
  - x86/boot/64: Strip percpu address space when setting up GDT
    descriptors (bsc#1012628).
  - m68k: Fix kernel_clone_args.flags in m68k_clone() (bsc#1012628).
  - ASoC: loongson: fix error release (bsc#1012628).
  - selftests/ftrace: Fix eventfs ownership testcase to find mount
    point (bsc#1012628).
  - selftests:resctrl: Fix build failure on archs without
    __cpuid_count() (bsc#1012628).
  - cgroup/pids: Avoid spurious event notification (bsc#1012628).
  - hwmon: (max16065) Fix overflows seen when writing limits
    (bsc#1012628).
  - hwmon: (max16065) Fix alarm attributes (bsc#1012628).
  - iommu/arm-smmu: Un-demote unhandled-fault msg (bsc#1012628).
  - iommu/arm-smmu-v3: Fix a NULL vs IS_ERR() check (bsc#1012628).
  - mtd: slram: insert break after errors in parsing the map
    (bsc#1012628).
  - hwmon: (ntc_thermistor) fix module autoloading (bsc#1012628).
  - power: supply: axp20x_battery: Remove design from min and max
    voltage (bsc#1012628).
  - power: supply: max17042_battery: Fix SOC threshold calc w/
    no current sense (bsc#1012628).
  - fbdev: hpfb: Fix an error handling path in hpfb_dio_probe()
    (bsc#1012628).
  - iommu/amd: Handle error path in amd_iommu_probe_device()
    (bsc#1012628).
  - iommu/amd: Allocate the page table root using GFP_KERNEL
    (bsc#1012628).
  - iommu/amd: Move allocation of the top table into
    v1_alloc_pgtable (bsc#1012628).
  - iommu/amd: Set the pgsize_bitmap correctly (bsc#1012628).
  - iommu/amd: Do not set the D bit on AMD v2 table entries
    (bsc#1012628).
  - mtd: powernv: Add check devm_kasprintf() returned value
    (bsc#1012628).
  - rcu/nocb: Fix RT throttling hrtimer armed from offline CPU
    (bsc#1012628).
  - mtd: rawnand: mtk: Use for_each_child_of_node_scoped()
    (bsc#1012628).
  - mtd: rawnand: mtk: Factorize out the logic cleaning mtk chips
    (bsc#1012628).
  - mtd: rawnand: mtk: Fix init error path (bsc#1012628).
  - iommu/arm-smmu-qcom: hide last LPASS SMMU context bank from
    linux (bsc#1012628).
  - iommu/arm-smmu-qcom: Work around SDM845 Adreno SMMU w/ 16K pages
    (bsc#1012628).
  - iommu/arm-smmu-qcom: apply num_context_bank fixes for SDM630 /
    SDM660 (bsc#1012628).
  - pmdomain: core: Harden inter-column space in debug summary
    (bsc#1012628).
  - pmdomain: core: Fix "managed by" alignment in debug summary
    (bsc#1012628).
  - drm/stm: Fix an error handling path in stm_drm_platform_probe()
    (bsc#1012628).
  - drm/stm: ltdc: check memory returned by devm_kzalloc()
    (bsc#1012628).
  - drm/amd/display: free bo used for dmub bounding box
    (bsc#1012628).
  - drm/amd/display: Check link_res->hpo_dp_link_enc before using it
    (bsc#1012628).
  - drm/amd/display: Add null check for set_output_gamma in
    dcn30_set_output_transfer_func (bsc#1012628).
  - drm/amdgpu: properly handle vbios fake edid sizing
    (bsc#1012628).
  - drm/radeon: properly handle vbios fake edid sizing
    (bsc#1012628).
  - drm/amd/display: Reset VRR config during resume (bsc#1012628).
  - scsi: smartpqi: revert
    propagate-the-multipath-failure-to-SML-quickly (bsc#1012628).
  - scsi: sd: Don't check if a write for REQ_ATOMIC (bsc#1012628).
  - scsi: block: Don't check REQ_ATOMIC for reads (bsc#1012628).
  - scsi: NCR5380: Check for phase match during PDMA fixup
    (bsc#1012628).
  - drm/amd/amdgpu: Properly tune the size of struct (bsc#1012628).
  - drm/amd/display: Improve FAM control for DCN401 (bsc#1012628).
  - drm/rockchip: vop: Allow 4096px width scaling (bsc#1012628).
  - drm/rockchip: dw_hdmi: Fix reading EDID when using a forced mode
    (bsc#1012628).
  - drm/radeon/evergreen_cs: fix int overflow errors in cs track
    offsets (bsc#1012628).
  - drm/xe: Move and export xe_hw_engine lookup (bsc#1012628).
  - drm/xe: Use reserved copy engine for user binds on faulting
    devices (bsc#1012628).
  - drm/bridge: lontium-lt8912b: Validate mode in
    drm_bridge_funcs::mode_valid() (bsc#1012628).
  - drm/vc4: hdmi: Handle error case of pm_runtime_resume_and_get
    (bsc#1012628).
  - scsi: elx: libefc: Fix potential use after free in
    efc_nport_vport_del() (bsc#1012628).
  - jfs: fix out-of-bounds in dbNextAG() and diAlloc()
    (bsc#1012628).
  - drm/mediatek: Fix missing configuration flags in
    mtk_crtc_ddp_config() (bsc#1012628).
  - drm/mediatek: Use spin_lock_irqsave() for CRTC event lock
    (bsc#1012628).
  - powerpc/8xx: Fix initial memory mapping (bsc#1012628).
  - powerpc/8xx: Fix kernel vs user address comparison
    (bsc#1012628).
  - powerpc/vdso: Inconditionally use CFUNC macro (bsc#1012628).
  - drm/msm: Use a7xx family directly in gpu_state (bsc#1012628).
  - drm/msm: Dump correct dbgahb clusters on a750 (bsc#1012628).
  - drm/msm: Fix CP_BV_DRAW_STATE_ADDR name (bsc#1012628).
  - drm/msm: Fix incorrect file name output in adreno_request_fw()
    (bsc#1012628).
  - drm/msm/a5xx: disable preemption in submits by default
    (bsc#1012628).
  - drm/msm/a5xx: properly clear preemption records on resume
    (bsc#1012628).
  - drm/msm/a5xx: fix races in preemption evaluation stage
    (bsc#1012628).
  - drm/msm/a5xx: workaround early ring-buffer emptiness check
    (bsc#1012628).
  - ipmi: docs: don't advertise deprecated sysfs entries
    (bsc#1012628).
  - drm/msm/dp: enable widebus on all relevant chipsets
    (bsc#1012628).
  - drm/msm/dsi: correct programming sequence for SM8350 / SM8450
    (bsc#1012628).
  - drm/msm: fix %s null argument error (bsc#1012628).
  - platform/x86: ideapad-laptop: Make the scope_guard() clear of
    its scope (bsc#1012628).
  - kselftest: dt: Ignore nodes that have ancestors disabled
    (bsc#1012628).
  - drivers:drm:exynos_drm_gsc:Fix wrong assignment in gsc_bind()
    (bsc#1012628).
  - drm/amdgpu: fix invalid fence handling in amdgpu_vm_tlb_flush
    (bsc#1012628).
  - xen: use correct end address of kernel for conflict checking
    (bsc#1012628).
  - HID: wacom: Support sequence numbers smaller than 16-bit
    (bsc#1012628).
  - HID: wacom: Do not warn about dropped packets for first packet
    (bsc#1012628).
  - ata: libata: Clear DID_TIME_OUT for ATA PT commands with sense
    data (bsc#1012628).
  - xen: introduce generic helper checking for memory map conflicts
    (bsc#1012628).
  - xen: move max_pfn in xen_memory_setup() out of function scope
    (bsc#1012628).
  - xen: add capability to remap non-RAM pages to different PFNs
    (bsc#1012628).
  - xen: tolerate ACPI NVS memory overlapping with Xen allocated
    memory (bsc#1012628).
  - drm/xe: fix missing 'xe_vm_put' (bsc#1012628).
  - xen/swiotlb: add alignment check for dma buffers (bsc#1012628).
  - xen/swiotlb: fix allocated size (bsc#1012628).
  - tpm: Clean up TPM space after command failure (bsc#1012628).
  - sched/fair: Make SCHED_IDLE entity be preempted in strict
    hierarchy (bsc#1012628).
  - bpf, x64: Fix tailcall hierarchy (bsc#1012628).
  - bpf, arm64: Fix tailcall hierarchy (bsc#1012628).
  - bpf, lsm: Add check for BPF LSM return value (bsc#1012628).
  - bpf: Fix compare error in function retval_range_within
    (bsc#1012628).
  - selftests/bpf: Workaround strict bpf_lsm return value check
    (bsc#1012628).
  - selftests/bpf: Fix error linking uprobe_multi on mips
    (bsc#1012628).
  - selftests/bpf: Fix wrong binary in Makefile log output
    (bsc#1012628).
  - tools/runqslower: Fix LDFLAGS and add LDLIBS support
    (bsc#1012628).
  - bpf: Fail verification for sign-extension of packet
    data/data_end/data_meta (bsc#1012628).
  - selftests/bpf: Use pid_t consistently in test_progs.c
    (bsc#1012628).
  - selftests/bpf: Fix compile error from rlim_t in sk_storage_map.c
    (bsc#1012628).
  - selftests/bpf: Fix error compiling bpf_iter_setsockopt.c with
    musl libc (bsc#1012628).
  - selftests/bpf: Drop unneeded error.h includes (bsc#1012628).
  - selftests/bpf: Fix missing ARRAY_SIZE() definition in bench.c
    (bsc#1012628).
  - selftests/bpf: Fix missing UINT_MAX definitions in benchmarks
    (bsc#1012628).
  - selftests/bpf: Fix missing BUILD_BUG_ON() declaration
    (bsc#1012628).
  - selftests/bpf: Fix include of <sys/fcntl.h> (bsc#1012628).
  - selftests/bpf: Fix compiling parse_tcp_hdr_opt.c with musl-libc
    (bsc#1012628).
  - selftests/bpf: Fix compiling kfree_skb.c with musl-libc
    (bsc#1012628).
  - selftests/bpf: Fix compiling flow_dissector.c with musl-libc
    (bsc#1012628).
  - selftests/bpf: Fix compiling tcp_rtt.c with musl-libc
    (bsc#1012628).
  - selftests/bpf: Fix compiling core_reloc.c with musl-libc
    (bsc#1012628).
  - selftests/bpf: Fix errors compiling lwt_redirect.c with musl
    libc (bsc#1012628).
  - selftests/bpf: Fix errors compiling decap_sanity.c with musl
    libc (bsc#1012628).
  - selftests/bpf: Fix errors compiling crypto_sanity.c with musl
    libc (bsc#1012628).
  - selftests/bpf: Fix errors compiling cg_storage_multi.h with
    musl libc (bsc#1012628).
  - libbpf: Don't take direct pointers into BTF data from st_ops
    (bsc#1012628).
  - selftests/bpf: Fix arg parsing in veristat, test_progs
    (bsc#1012628).
  - selftests/bpf: Fix error compiling test_lru_map.c (bsc#1012628).
  - selftests/bpf: Fix C++ compile error from missing _Bool type
    (bsc#1012628).
  - selftests/bpf: Fix redefinition errors compiling lwt_reroute.c
    (bsc#1012628).
  - selftests/bpf: Fix compile if backtrace support missing in libc
    (bsc#1012628).
  - selftests/bpf: Fix error compiling tc_redirect.c with musl libc
    (bsc#1012628).
  - s390/entry: Move early program check handler to entry.S
    (bsc#1012628).
  - s390/entry: Make early program check handler relocated lowcore
    aware (bsc#1012628).
  - libbpf: Fix license for btf_relocate.c (bsc#1012628).
  - samples/bpf: Fix compilation errors with cf-protection option
    (bsc#1012628).
  - selftests/bpf: no need to track next_match_pos in struct
    test_loader (bsc#1012628).
  - selftests/bpf: extract test_loader->expect_msgs as a data
    structure (bsc#1012628).
  - selftests/bpf: allow checking xlated programs in verifier_*
    tests (bsc#1012628).
  - selftests/bpf: __arch_* macro to limit test cases to specific
    archs (bsc#1012628).
  - selftests/bpf: fix to avoid __msg tag de-duplication by clang
    (bsc#1012628).
  - bpf: correctly handle malformed BPF_CORE_TYPE_ID_LOCAL relos
    (bsc#1012628).
  - selftests/bpf: Fix incorrect parameters in NULL pointer checking
    (bsc#1012628).
  - libbpf: Fix bpf_object__open_skeleton()'s mishandling of options
    (bsc#1012628).
  - s390/ap: Fix deadlock caused by recursive lock of the AP bus
    scan mutex (bsc#1012628).
  - libbpf: Ensure new BTF objects inherit input endianness
    (bsc#1012628).
  - xz: cleanup CRC32 edits from 2018 (bsc#1012628).
  - kthread: fix task state in kthread worker if being frozen
    (bsc#1012628).
  - ext4: clear EXT4_GROUP_INFO_WAS_TRIMMED_BIT even mount with
    discard (bsc#1012628).
  - bpftool: Fix handling enum64 in btf dump sorting (bsc#1012628).
  - sched/deadline: Fix schedstats vs deadline servers
    (bsc#1012628).
  - smackfs: Use rcu_assign_pointer() to ensure safe assignment
    in smk_set_cipso (bsc#1012628).
  - ext4: avoid buffer_head leak in ext4_mark_inode_used()
    (bsc#1012628).
  - ext4: avoid potential buffer_head leak in __ext4_new_inode()
    (bsc#1012628).
  - ext4: avoid negative min_clusters in find_group_orlov()
    (bsc#1012628).
  - ext4: return error on ext4_find_inline_entry (bsc#1012628).
  - ext4: avoid OOB when system.data xattr changes underneath the
    filesystem (bsc#1012628).
  - ext4: check stripe size compatibility on remount as well
    (bsc#1012628).
  - sched/numa: Fix the vma scan starving issue (bsc#1012628).
  - nilfs2: fix potential null-ptr-deref in nilfs_btree_insert()
    (bsc#1012628).
  - nilfs2: determine empty node blocks as corrupted (bsc#1012628).
  - nilfs2: fix potential oob read in nilfs_btree_check_delete()
    (bsc#1012628).
  - sched/pelt: Use rq_clock_task() for hw_pressure (bsc#1012628).
  - bpf: Fix bpf_strtol and bpf_strtoul helpers for 32bit
    (bsc#1012628).
  - bpf: Fix helper writes to read-only maps (bsc#1012628).
  - bpf: Improve check_raw_mode_ok test for MEM_UNINIT-tagged types
    (bsc#1012628).
  - bpf: Zero former ARG_PTR_TO_{LONG,INT} args in case of error
    (bsc#1012628).
  - perf scripts python cs-etm: Restore first sample log in verbose
    mode (bsc#1012628).
  - perf bpf: Move BPF disassembly routines to separate file to
    avoid clash with capstone bpf headers (bsc#1012628).
  - perf mem: Free the allocated sort string, fixing a leak
    (bsc#1012628).
  - perf lock contention: Change stack_id type to s32 (bsc#1012628).
  - perf vendor events: SKX, CLX, SNR uncore cache event fixes
    (bsc#1012628).
  - perf inject: Fix leader sampling inserting additional samples
    (bsc#1012628).
  - perf report: Fix --total-cycles --stdio output error
    (bsc#1012628).
  - perf build: Fix up broken capstone feature detection fast path
    (bsc#1012628).
  - perf sched timehist: Fix missing free of session in
    perf_sched__timehist() (bsc#1012628).
  - perf stat: Display iostat headers correctly (bsc#1012628).
  - perf dwarf-aux: Check allowed location expressions when
    collecting variables (bsc#1012628).
  - perf annotate-data: Fix off-by-one in location range check
    (bsc#1012628).
  - perf dwarf-aux: Handle bitfield members from pointer access
    (bsc#1012628).
  - perf hist: Don't set hpp_fmt_value for members in --no-group
    (bsc#1012628).
  - perf sched timehist: Fixed timestamp error when unable to
    confirm event sched_in time (bsc#1012628).
  - perf time-utils: Fix 32-bit nsec parsing (bsc#1012628).
  - perf mem: Check mem_events for all eligible PMUs (bsc#1012628).
  - perf mem: Fix missed p-core mem events on ADL and RPL
    (bsc#1012628).
  - clk: imx: clk-audiomix: Correct parent clock for earc_phy and
    audpll (bsc#1012628).
  - clk: imx: imx6ul: fix default parent for enet*_ref_sel
    (bsc#1012628).
  - clk: imx: composite-8m: Enable gate clk with mcore_booted
    (bsc#1012628).
  - clk: imx: composite-93: keep root clock on when mcore enabled
    (bsc#1012628).
  - clk: imx: composite-7ulp: Check the PCC present bit
    (bsc#1012628).
  - clk: imx: fracn-gppll: fix fractional part of PLL getting lost
    (bsc#1012628).
  - clk: imx: imx8mp: fix clock tree update of TF-A managed clocks
    (bsc#1012628).
  - clk: imx: imx8qxp: Register dc0_bypass0_clk before disp clk
    (bsc#1012628).
  - clk: imx: imx8qxp: Parent should be initialized earlier than
    the clock (bsc#1012628).
  - quota: avoid missing put_quota_format when DQUOT_SUSPENDED is
    passed (bsc#1012628).
  - remoteproc: imx_rproc: Correct ddr alias for i.MX8M
    (bsc#1012628).
  - remoteproc: imx_rproc: Initialize workqueue earlier
    (bsc#1012628).
  - clk: rockchip: Set parent rate for DCLK_VOP clock on RK3228
    (bsc#1012628).
  - clk: qcom: dispcc-sm8550: fix several supposed typos
    (bsc#1012628).
  - clk: qcom: dispcc-sm8550: use rcg2_ops for
    mdss_dptx1_aux_clk_src (bsc#1012628).
  - clk: qcom: dispcc-sm8650: Update the GDSC flags (bsc#1012628).
  - clk: qcom: dispcc-sm8550: use rcg2_shared_ops for ESC RCGs
    (bsc#1012628).
  - leds: bd2606mvv: Fix device child node usage in
    bd2606mvv_probe() (bsc#1012628).
  - pinctrl: renesas: rzg2l: Return -EINVAL if the pin doesn't
    support PIN_CFG_OEN (bsc#1012628).
  - pinctrl: ti: ti-iodelay: Fix some error handling paths
    (bsc#1012628).
  - phy: phy-rockchip-samsung-hdptx: Explicitly include pm_runtime.h
    (bsc#1012628).
  - Input: ilitek_ts_i2c - avoid wrong input subsystem sync
    (bsc#1012628).
  - Input: ilitek_ts_i2c - add report id message validation
    (bsc#1012628).
  - drivers: media: dvb-frontends/rtl2832: fix an out-of-bounds
    write error (bsc#1012628).
  - drivers: media: dvb-frontends/rtl2830: fix an out-of-bounds
    write error (bsc#1012628).
  - media: raspberrypi: VIDEO_RASPBERRYPI_PISP_BE should depend
    on ARCH_BCM2835 (bsc#1012628).
  - PCI: Wait for Link before restoring Downstream Buses
    (bsc#1012628).
  - firewire: core: correct range of block for case of switch
    statement (bsc#1012628).
  - PCI: keystone: Fix if-statement expression in ks_pcie_quirk()
    (bsc#1012628).
  - media: staging: media: starfive: camss: Drop obsolete return
    value documentation (bsc#1012628).
  - clk: qcom: ipq5332: Register gcc_qdss_tsctr_clk_src
    (bsc#1012628).
  - clk: qcom: dispcc-sm8250: use special function for Lucid 5LPE
    PLL (bsc#1012628).
  - leds: pca995x: Use device_for_each_child_node() to access
    device child nodes (bsc#1012628).
  - leds: pca995x: Fix device child node usage in pca995x_probe()
    (bsc#1012628).
  - x86/PCI: Check pcie_find_root_port() return for NULL
    (bsc#1012628).
  - nvdimm: Fix devs leaks in scan_labels() (bsc#1012628).
  - PCI: xilinx-nwl: Fix register misspelling (bsc#1012628).
  - PCI: xilinx-nwl: Clean up clock on probe failure/removal
    (bsc#1012628).
  - leds: gpio: Set num_leds after allocation (bsc#1012628).
  - media: platform: rzg2l-cru: rzg2l-csi2: Add missing
    MODULE_DEVICE_TABLE (bsc#1012628).
  - RDMA/iwcm: Fix
    WARNING:at_kernel/workqueue.c:#check_flush_dependency
    (bsc#1012628).
  - pinctrl: single: fix missing error code in pcs_probe()
    (bsc#1012628).
  - clk: at91: sama7g5: Allocate only the needed amount of memory
    for PLLs (bsc#1012628).
  - iommufd/selftest: Fix buffer read overrrun in the dirty test
    (bsc#1012628).
  - RDMA/bnxt_re: Fix the table size for PSN/MSN entries
    (bsc#1012628).
  - media: mediatek: vcodec: Fix H264 multi stateless decoder
    smatch warning (bsc#1012628).
  - media: mediatek: vcodec: Fix VP8 stateless decoder smatch
    warning (bsc#1012628).
  - media: mediatek: vcodec: Fix H264 stateless decoder smatch
    warning (bsc#1012628).
  - media: imagination: VIDEO_E5010_JPEG_ENC should depend on
    ARCH_K3 (bsc#1012628).
  - RDMA/rtrs: Reset hb_missed_cnt after receiving other traffic
    from peer (bsc#1012628).
  - RDMA/rtrs-clt: Reset cid to con_num - 1 to stay in bounds
    (bsc#1012628).
  - clk: ti: dra7-atl: Fix leak of of_nodes (bsc#1012628).
  - clk: starfive: Use pm_runtime_resume_and_get to fix
    pm_runtime_get_sync() usage (bsc#1012628).
  - clk: rockchip: rk3588: Fix 32k clock name for
    pmu_24m_32k_100m_src_p (bsc#1012628).
  - nfsd: remove unneeded EEXIST error check in nfsd_do_file_acquire
    (bsc#1012628).
  - nfsd: fix refcount leak when file is unhashed after being found
    (bsc#1012628).
  - pinctrl: mvebu: Fix devinit_dove_pinctrl_probe function
    (bsc#1012628).
  - IB/mlx5: Fix UMR pd cleanup on error flow of driver init
    (bsc#1012628).
  - IB/core: Fix ib_cache_setup_one error flow cleanup
    (bsc#1012628).
  - dt-bindings: PCI: layerscape-pci: Replace fsl,lx2160a-pcie
    with fsl,lx2160ar2-pcie (bsc#1012628).
  - iommufd: Check the domain owner of the parent before creating
    a nesting domain (bsc#1012628).
  - PCI: kirin: Fix buffer overflow in kirin_pcie_parse_port()
    (bsc#1012628).
  - RDMA/erdma: Return QP state in erdma_query_qp (bsc#1012628).
  - RDMA/mlx5: Fix counter update on MR cache mkey creation
    (bsc#1012628).
  - RDMA/mlx5: Limit usage of over-sized mkeys from the MR cache
    (bsc#1012628).
  - RDMA/mlx5: Drop redundant work canceling from clean_keys()
    (bsc#1012628).
  - RDMA/mlx5: Fix MR cache temp entries cleanup (bsc#1012628).
  - watchdog: imx_sc_wdt: Don't disable WDT in suspend
    (bsc#1012628).
  - RDMA/hns: Don't modify rq next block addr in HIP09 QPC
    (bsc#1012628).
  - RDMA/hns: Fix Use-After-Free of rsv_qp on HIP08 (bsc#1012628).
  - RDMA/hns: Fix the overflow risk of hem_list_calc_ba_range()
    (bsc#1012628).
  - RDMA/hns: Fix spin_unlock_irqrestore() called with IRQs enabled
    (bsc#1012628).
  - RDMA/hns: Fix VF triggering PF reset in abnormal interrupt
    handler (bsc#1012628).
  - RDMA/hns: Fix 1bit-ECC recovery address in non-4K OS
    (bsc#1012628).
  - RDMA/hns: Optimize hem allocation performance (bsc#1012628).
  - RDMA/hns: Fix restricted __le16 degrades to integer issue
    (bsc#1012628).
  - Input: ims-pcu - fix calling interruptible mutex (bsc#1012628).
  - RDMA/mlx5: Obtain upper net device only when needed
    (bsc#1012628).
  - PCI: qcom-ep: Enable controller resources like PHY only after
    refclk is available (bsc#1012628).
  - riscv: Fix fp alignment bug in perf_callchain_user()
    (bsc#1012628).
  - RDMA/hns: Fix ah error counter in sw stat not increasing
    (bsc#1012628).
  - RDMA/cxgb4: Added NULL check for lookup_atid (bsc#1012628).
  - RDMA/irdma: fix error message in irdma_modify_qp_roce()
    (bsc#1012628).
  - ntb: intel: Fix the NULL vs IS_ERR() bug for
    debugfs_create_dir() (bsc#1012628).
  - ntb_perf: Fix printk format (bsc#1012628).
  - ntb: Force physically contiguous allocation of rx ring buffers
    (bsc#1012628).
  - nfsd: call cache_put if xdr_reserve_space returns NULL
    (bsc#1012628).
  - nfsd: return -EINVAL when namelen is 0 (bsc#1012628).
  - nfsd: untangle code in nfsd4_deleg_getattr_conflict()
    (bsc#1012628).
  - nfsd: fix initial getattr on write delegation (bsc#1012628).
  - crypto: caam - Pad SG length when allocating hash edesc
    (bsc#1012628).
  - crypto: powerpc/p10-aes-gcm - Disable CRYPTO_AES_GCM_P10
    (bsc#1012628).
  - f2fs: atomic: fix to avoid racing w/ GC (bsc#1012628).
  - f2fs: reduce expensive checkpoint trigger frequency
    (bsc#1012628).
  - f2fs: fix to avoid racing in between read and OPU dio write
    (bsc#1012628).
  - f2fs: Create COW inode from parent dentry for atomic write
    (bsc#1012628).
  - f2fs: fix to wait page writeback before setting gcing flag
    (bsc#1012628).
  - f2fs: atomic: fix to truncate pagecache before on-disk metadata
    truncation (bsc#1012628).
  - f2fs: fix to avoid use-after-free in f2fs_stop_gc_thread()
    (bsc#1012628).
  - f2fs: compress: don't redirty sparse cluster during
    {,de}compress (bsc#1012628).
  - f2fs: prevent atomic file from being dirtied before commit
    (bsc#1012628).
  - f2fs: get rid of online repaire on corrupted directory
    (bsc#1012628).
  - f2fs: fix to don't set SB_RDONLY in f2fs_handle_critical_error()
    (bsc#1012628).
  - spi: airoha: fix dirmap_{read,write} operations (bsc#1012628).
  - spi: airoha: fix airoha_snand_{write,read}_data data_len
    estimation (bsc#1012628).
  - spi: atmel-quadspi: Undo runtime PM changes at driver exit time
    (bsc#1012628).
  - spi: spi-fsl-lpspi: Undo runtime PM changes at driver exit time
    (bsc#1012628).
  - lib/sbitmap: define swap_lock as raw_spinlock_t (bsc#1012628).
  - spi: airoha: remove read cache in airoha_snand_dirmap_read()
    (bsc#1012628).
  - spi: atmel-quadspi: Avoid overwriting delay register settings
    (bsc#1012628).
  - NFSv4.2: Fix detection of "Proxying of Times" server support
    (bsc#1012628).
  - nvme-multipath: system fails to create generic nvme device
    (bsc#1012628).
  - iio: adc: ad7606: fix oversampling gpio array (bsc#1012628).
  - iio: adc: ad7606: fix standby gpio state to match the
    documentation (bsc#1012628).
  - driver core: Fix error handling in driver API device_rename()
    (bsc#1012628).
  - ABI: testing: fix admv8818 attr description (bsc#1012628).
  - iio: chemical: bme680: Fix read/write ops to device by adding
    mutexes (bsc#1012628).
  - iio: magnetometer: ak8975: drop incorrect AK09116 compatible
    (bsc#1012628).
  - dt-bindings: iio: asahi-kasei,ak8975: drop incorrect AK09116
    compatible (bsc#1012628).
  - driver core: Fix a potential null-ptr-deref in
    module_add_driver() (bsc#1012628).
  - serial: 8250: omap: Cleanup on error in request_irq
    (bsc#1012628).
  - Coresight: Set correct cs_mode for TPDM to fix disable issue
    (bsc#1012628).
  - Coresight: Set correct cs_mode for dummy source to fix disable
    issue (bsc#1012628).
  - coresight: tmc: sg: Do not leak sg_table (bsc#1012628).
  - interconnect: icc-clk: Add missed num_nodes initialization
    (bsc#1012628).
  - interconnect: qcom: sm8250: Enable sync_state (bsc#1012628).
  - dm integrity: fix gcc 5 warning (bsc#1012628).
  - cxl/pci: Fix to record only non-zero ranges (bsc#1012628).
  - vdpa/mlx5: Fix invalid mr resource destroy (bsc#1012628).
  - vhost_vdpa: assign irq bypass producer token correctly
    (bsc#1012628).
  - ep93xx: clock: Fix off by one in ep93xx_div_recalc_rate()
    (bsc#1012628).
  - um: remove ARCH_NO_PREEMPT_DYNAMIC (bsc#1012628).
  - Revert "dm: requeue IO if mapping table not yet available"
    (bsc#1012628).
  - net: phy: aquantia: fix -ETIMEDOUT PHY probe failure when
    firmware not present (bsc#1012628).
  - net: xilinx: axienet: Schedule NAPI in two steps (bsc#1012628).
  - net: xilinx: axienet: Fix packet counting (bsc#1012628).
  - netfilter: nf_reject_ipv6: fix nf_reject_ip6_tcphdr_put()
    (bsc#1012628).
  - net: seeq: Fix use after free vulnerability in ether3 Driver
    Due to Race Condition (bsc#1012628).
  - net: ipv6: select DST_CACHE from IPV6_RPL_LWTUNNEL
    (bsc#1012628).
  - tcp: check skb is non-NULL in tcp_rto_delta_us() (bsc#1012628).
  - net: qrtr: Update packets cloning when broadcasting
    (bsc#1012628).
  - net: phy: aquantia: fix setting active_low bit (bsc#1012628).
  - net: phy: aquantia: fix applying active_low bit after reset
    (bsc#1012628).
  - net: ravb: Fix maximum TX frame size for GbEth devices
    (bsc#1012628).
  - net: ravb: Fix R-Car RX frame size limit (bsc#1012628).
  - bonding: Fix unnecessary warnings and logs from
    bond_xdp_get_xmit_slave() (bsc#1012628).
  - virtio_net: Fix mismatched buf address when unmapping for
    small packets (bsc#1012628).
  - net: stmmac: set PP_FLAG_DMA_SYNC_DEV only if XDP is enabled
    (bsc#1012628).
  - netfilter: nf_tables: Keep deleted flowtable hooks until after
    RCU (bsc#1012628).
  - netfilter: ctnetlink: compile ctnetlink_label_size with
    CONFIG_NF_CONNTRACK_EVENTS (bsc#1012628).
  - netfilter: nf_tables: use rcu chain hook list iterator from
    netlink dump path (bsc#1012628).
  - netfilter: nf_tables: missing objects with no memcg accounting
    (bsc#1012628).
  - selftests: netfilter: Avoid hanging ipvs.sh (bsc#1012628).
  - io_uring/sqpoll: do not allow pinning outside of cpuset
    (bsc#1012628).
  - io_uring/rw: treat -EOPNOTSUPP for IOCB_NOWAIT like -EAGAIN
    (bsc#1012628).
  - io_uring: check for presence of task_work rather than
    TIF_NOTIFY_SIGNAL (bsc#1012628).
  - fuse: use exclusive lock when FUSE_I_CACHE_IO_MODE is set
    (bsc#1012628).
  - mm: migrate: annotate data-race in migrate_folio_unmap()
    (bsc#1012628).
  - mm: call the security_mmap_file() LSM hook in remap_file_pages()
    (bsc#1012628).
  - drm/amd/display: Fix Synaptics Cascaded Panamera DSC
    Determination (#3495 bsc#1012628 bsc#1228093).
  - drm/amd/display: Add DSC Debug Log (bsc#1012628).
  - xen: move checks for e820 conflicts further up (bsc#1012628).
  - xen: allow mapping ACPI data using a different physical address
    (bsc#1012628).
  - io_uring/sqpoll: retain test for whether the CPU is valid
    (bsc#1012628).
  - drm/amd/display: disable_hpo_dp_link_output: Check
    link_res->hpo_dp_link_enc before using it (bsc#1012628).
  - drm/xe: fix engine_class bounds check again (bsc#1012628).
  - io_uring/sqpoll: do not put cpumask on stack (bsc#1012628).
  - selftests/bpf: correctly move 'log' upon successful match
    (bsc#1012628).
  - Remove *.orig pattern from .gitignore (bsc#1012628).
  - PCI: Revert to the original speed after PCIe failed link
    retraining (bsc#1012628).
  - PCI: Clear the LBMS bit after a link retrain (bsc#1012628).
  - PCI: dra7xx: Fix threaded IRQ request for "dra7xx-pcie-main"
    IRQ (bsc#1012628).
  - PCI: imx6: Fix missing call to phy_power_off() in error handling
    (bsc#1012628).
  - PCI: imx6: Fix establish link failure in EP mode for i.MX8MM
    and i.MX8MP (bsc#1012628).
  - PCI: imx6: Fix i.MX8MP PCIe EP's occasional failure to trigger
    MSI (bsc#1012628).
  - PCI: Correct error reporting with PCIe failed link retraining
    (bsc#1012628).
  - PCI: Use an error code with PCIe failed link retraining
    (bsc#1012628).
  - PCI: xilinx-nwl: Fix off-by-one in INTx IRQ handler
    (bsc#1012628).
  - PCI: dra7xx: Fix error handling when IRQ request fails in probe
    (bsc#1012628).
  - Revert "soc: qcom: smd-rpm: Match rpmsg channel instead of
    compatible" (bsc#1012628).
  - ASoC: rt5682: Return devm_of_clk_add_hw_provider to transfer
    the error (bsc#1012628).
  - soc: fsl: cpm1: qmc: Update TRNSYNC only in transparent mode
    (bsc#1012628).
  - soc: fsl: cpm1: tsa: Fix tsa_write8() (bsc#1012628).
  - soc: versatile: integrator: fix OF node leak in probe() error
    path (bsc#1012628).
  - Revert "media: tuners: fix error return code of
    hybrid_tuner_request_state()" (bsc#1012628).
  - iommu/amd: Fix argument order in amd_iommu_dev_flush_pasid_all()
    (bsc#1012628).
  - iommufd: Protect against overflow of ALIGN() during iova
    allocation (bsc#1012628).
  - Input: adp5588-keys - fix check on return code (bsc#1012628).
  - Input: i8042 - add TUXEDO Stellaris 16 Gen5 AMD to i8042 quirk
    table (bsc#1012628).
  - Input: i8042 - add TUXEDO Stellaris 15 Slim Gen6 AMD to i8042
    quirk table (bsc#1012628).
  - Input: i8042 - add another board name for TUXEDO Stellaris
    Gen5 AMD line (bsc#1012628).
  - KVM: arm64: Add memory length checks and remove inline in
    do_ffa_mem_xfer (bsc#1012628).
  - KVM: x86: Enforce x2APIC's must-be-zero reserved ICR bits
    (bsc#1012628).
  - KVM: x86: Move x2APIC ICR helper above kvm_apic_write_nodecode()
    (bsc#1012628).
  - KVM: x86: Re-split x2APIC ICR into ICR+ICR2 for AMD (x2AVIC)
    (bsc#1012628).
  - KVM: Use dedicated mutex to protect kvm_usage_count to avoid
    deadlock (bsc#1012628).
  - drm/amd/display: Skip Recompute DSC Params if no Stream on Link
    (bsc#1012628).
  - drm/amdgpu/mes12: reduce timeout (bsc#1012628).
  - drm/amdgpu/mes11: reduce timeout (bsc#1012628).
  - drm/amdkfd: Add SDMA queue quantum support for GFX12
    (bsc#1012628).
  - drm/amdgpu: update golden regs for gfx12 (bsc#1012628).
  - drm/amdgpu/mes12: set enable_level_process_quantum_check
    (bsc#1012628).
  - drm/amdgpu/vcn: enable AV1 on both instances (bsc#1012628).
  - drm/amd/pm: update workload mask after the setting
    (bsc#1012628).
  - drm/amdgpu: fix PTE copy corruption for sdma 7 (bsc#1012628).
  - drm/amdgpu: bump driver version for cleared VRAM (bsc#1012628).
  - drm/amdgpu/mes12: switch SET_SHADER_DEBUGGER pkt to mes schq
    pipe (bsc#1012628).
  - drm/amdgpu: Fix selfring initialization sequence on soc24
    (bsc#1012628).
  - drm/amd/display: Add HDMI DSC native YCbCr422 support
    (bsc#1012628).
  - drm/amd/display: Round calculated vtotal (bsc#1012628).
  - drm/amd/display: Clean up dsc blocks in accelerated mode
    (bsc#1012628).
  - drm/amd/display: Block timing sync for different output formats
    in pmo (bsc#1012628).
  - drm/amd/display: Validate backlight caps are sane (bsc#1012628).
  - drm/amd/display: Disable SYMCLK32_LE root clock gating
    (bsc#1012628).
  - drm/amd/display: Block dynamic IPS2 on DCN35 for incompatible
    FW versions (bsc#1012628).
  - drm/amd/display: Enable DML2 override_det_buffer_size_kbytes
    (bsc#1012628).
  - drm/amd/display: Skip to enable dsc if it has been off
    (bsc#1012628).
  - drm/amd/display: Fix underflow when setting underscan on DCN401
    (bsc#1012628).
  - drm/amd/display: Update IPS default mode for DCN35/DCN351
    (bsc#1012628).
  - objtool: Handle frame pointer related instructions
    (bsc#1012628).
  - x86/tdx: Fix "in-kernel MMIO" check (bsc#1012628).
  - KEYS: prevent NULL pointer dereference in find_asymmetric_key()
    (bsc#1012628).
  - powerpc/atomic: Use YZ constraints for DS-form instructions
    (bsc#1012628).
  - ksmbd: make __dir_empty() compatible with POSIX (bsc#1012628).
  - ksmbd: allow write with FILE_APPEND_DATA (bsc#1012628).
  - ksmbd: handle caseless file creation (bsc#1012628).
  - ata: libata-scsi: Fix ata_msense_control() CDL page reporting
    (bsc#1012628).
  - scsi: sd: Fix off-by-one error in
    sd_read_block_characteristics() (bsc#1012628).
  - scsi: ufs: qcom: Update MODE_MAX cfg_bw value (bsc#1012628).
  - scsi: lpfc: Restrict support for 32 byte CDBs to specific HBAs
    (bsc#1012628).
  - scsi: mac_scsi: Revise printk(KERN_DEBUG ...) messages
    (bsc#1012628).
  - scsi: mac_scsi: Refactor polling loop (bsc#1012628).
  - scsi: mac_scsi: Disallow bus errors during PDMA send
    (bsc#1012628).
  - can: esd_usb: Remove CAN_CTRLMODE_3_SAMPLES for CAN-USB/3-FD
    (bsc#1012628).
  - wifi: rtw88: Fix USB/SDIO devices not transmitting beacons
    (bsc#1012628).
  - usbnet: fix cyclical race on disconnect with work queue
    (bsc#1012628).
  - arm64: dts: mediatek: mt8195-cherry: Mark USB 3.0 on xhci1 as
    disabled (bsc#1012628).
  - arm64: dts: mediatek: mt8395-nio-12l: Mark USB 3.0 on xhci1
    as disabled (bsc#1012628).
  - USB: appledisplay: close race between probe and completion
    handler (bsc#1012628).
  - USB: misc: cypress_cy7c63: check for short transfer
    (bsc#1012628).
  - USB: class: CDC-ACM: fix race between get_serial and set_serial
    (bsc#1012628).
  - USB: misc: yurex: fix race between read and write (bsc#1012628).
  - usb: xhci: fix loss of data on Cadence xHC (bsc#1012628).
  - usb: cdnsp: Fix incorrect usb_request status (bsc#1012628).
  - usb: xHCI: add XHCI_RESET_ON_RESUME quirk for Phytium xHCI host
    (bsc#1012628).
  - usb: gadget: dummy_hcd: execute hrtimer callback in softirq
    context (bsc#1012628).
  - usb: dwc2: drd: fix clock gating on USB role switch
    (bsc#1012628).
  - bus: integrator-lm: fix OF node leak in probe() (bsc#1012628).
  - bus: mhi: host: pci_generic: Update EDL firmware path for
    Foxconn modems (bsc#1012628).
  - bus: mhi: host: pci_generic: Fix the name for the Telit FE990A
    (bsc#1012628).
  - firmware_loader: Block path traversal (bsc#1012628).
  - tty: rp2: Fix reset with non forgiving PCIe host bridges
    (bsc#1012628).
  - pps: add an error check in parport_attach (bsc#1012628).
  - serial: don't use uninitialized value in uart_poll_init()
    (bsc#1012628).
  - xhci: Set quirky xHC PCI hosts to D3 _after_ stopping and
    freeing them (bsc#1012628).
  - serial: qcom-geni: fix fifo polling timeout (bsc#1012628).
  - serial: qcom-geni: fix false console tx restart (bsc#1012628).
  - crypto: qcom-rng - fix support for ACPI-based systems
    (bsc#1012628).
  - crypto: ccp - Properly unregister /dev/sev on sev
    PLATFORM_STATUS failure (bsc#1012628).
  - drbd: Fix atomicity violation in drbd_uuid_set_bm()
    (bsc#1012628).
  - drbd: Add NULL check for net_conf to prevent dereference in
    state validation (bsc#1012628).
  - ACPI: sysfs: validate return type of _STR method (bsc#1012628).
  - ACPI: resource: Do IRQ override on MECHREV GM7XG0M
    (bsc#1012628).
  - ACPI: resource: Add another DMI match for the TongFang GMxXGxx
    (bsc#1012628).
  - efistub/tpm: Use ACPI reclaim memory for event log to avoid
    corruption (bsc#1012628).
  - intel_idle: add Granite Rapids Xeon support (bsc#1012628).
  - intel_idle: fix ACPI _CST matching for newer Xeon platforms
    (bsc#1012628).
  - x86/entry: Remove unwanted instrumentation in common_interrupt()
    (bsc#1012628).
  - perf/x86/intel: Allow to setup LBR for counting event for BPF
    (bsc#1012628).
  - perf/x86/intel/pt: Fix sampling synchronization (bsc#1012628).
  - btrfs: subpage: fix the bitmap dump which can cause bitmap
    corruption (bsc#1012628).
  - wifi: mt76: mt7921: Check devm_kasprintf() returned value
    (bsc#1012628).
  - wifi: mt76: mt7915: check devm_kasprintf() returned value
    (bsc#1012628).
  - wifi: mt76: mt7996: fix NULL pointer dereference in
    mt7996_mcu_sta_bfer_he (bsc#1012628).
  - idpf: fix netdev Tx queue stop/wake (bsc#1012628).
  - wifi: mt76: mt7925: fix a potential array-index-out-of-bounds
    issue for clc (bsc#1012628).
  - wifi: rtw88: 8821cu: Remove VID/PID 0bda:c82c (bsc#1012628).
  - wifi: rtw88: 8822c: Fix reported RX band width (bsc#1012628).
  - wifi: rtw88: 8703b: Fix reported RX band width (bsc#1012628).
  - wifi: mt76: mt7615: check devm_kasprintf() returned value
    (bsc#1012628).
  - wifi: mt76: mt7925: fix a potential association failure upon
    resuming (bsc#1012628).
  - debugfs show actual source in /proc/mounts (bsc#1012628).
  - debugobjects: Fix conditions in fill_pool() (bsc#1012628).
  - btrfs: fix race setting file private on concurrent lseek using
    same fd (bsc#1012628).
  - btrfs: tree-checker: fix the wrong output of data backref
    objectid (bsc#1012628).
  - btrfs: always update fstrim_range on failure in FITRIM ioctl
    (bsc#1012628).
  - f2fs: fix to wait dio completion (bsc#1012628).
  - f2fs: fix several potential integer overflows in file offsets
    (bsc#1012628).
  - f2fs: prevent possible int overflow in dir_block_index()
    (bsc#1012628).
  - f2fs: avoid potential int overflow in
    sanity_check_area_boundary() (bsc#1012628).
  - f2fs: Require FMODE_WRITE for atomic write ioctls (bsc#1012628).
  - f2fs: check discard support for conventional zones
    (bsc#1012628).
  - f2fs: fix to check atomic_file in f2fs ioctl interfaces
    (bsc#1012628).
  - hwrng: mtk - Use devm_pm_runtime_enable (bsc#1012628).
  - hwrng: bcm2835 - Add missing clk_disable_unprepare in
    bcm2835_rng_init (bsc#1012628).
  - hwrng: cctrng - Add missing clk_disable_unprepare in
    cctrng_resume (bsc#1012628).
  - arm64: esr: Define ESR_ELx_EC_* constants as UL (bsc#1012628).
  - arm64: errata: Enable the AC03_CPU_38 workaround for ampere1a
    (bsc#1012628).
  - arm64: dts: mediatek: mt8186-corsola: Disable DPI display
    interface (bsc#1012628).
  - arm64: dts: rockchip: Raise Pinebook Pro's panel backlight
    PWM frequency (bsc#1012628).
  - arm64: dts: qcom: sa8775p: Mark APPS and PCIe SMMUs as DMA
    coherent (bsc#1012628).
  - arm64: dts: rockchip: Correct the Pinebook Pro battery design
    capacity (bsc#1012628).
  - vfs: fix race between evice_inodes() and find_inode()&iput()
    (bsc#1012628).
  - netfs: Delete subtree of 'fs/netfs' when netfs module exits
    (bsc#1012628).
  - fs: Fix file_set_fowner LSM hook inconsistencies (bsc#1012628).
  - nfs: fix memory leak in error path of nfs4_do_reclaim
    (bsc#1012628).
  - EDAC/igen6: Fix conversion of system address to physical memory
    address (bsc#1012628).
  - icmp: change the order of rate limits (bsc#1012628).
  - eventpoll: Annotate data-race of busy_poll_usecs (bsc#1012628).
  - md: Don't flush sync_work in md_write_start() (bsc#1012628).
  - cpuidle: riscv-sbi: Use scoped device node handling to fix
    missing of_node_put (bsc#1012628).
  - padata: use integer wrap around to prevent deadlock on seq_nr
    overflow (bsc#1012628).
  - lsm: add the inode_free_security_rcu() LSM implementation hook
    (bsc#1012628).
  - spi: fspi: involve lut_num for struct nxp_fspi_devtype_data
    (bsc#1012628).
  - dt-bindings: spi: nxp-fspi: add imx8ulp support (bsc#1012628).
  - ARM: dts: imx6ul-geam: fix fsl,pins property in tscgrp pinctrl
    (bsc#1012628).
  - ARM: dts: imx6ull-seeed-npi: fix fsl,pins property in tscgrp
    pinctrl (bsc#1012628).
  - tools/nolibc: include arch.h from string.h (bsc#1012628).
  - soc: versatile: realview: fix memory leak during device remove
    (bsc#1012628).
  - soc: versatile: realview: fix soc_dev leak during device remove
    (bsc#1012628).
  - usb: typec: ucsi: Call CANCEL from single location
    (bsc#1012628).
  - usb: typec: ucsi: Fix busy loop on ASUS VivoBooks (bsc#1012628).
  - soc: qcom: geni-se: add GP_LENGTH/IRQ_EN_SET/IRQ_EN_CLEAR
    registers (bsc#1012628).
  - serial: qcom-geni: fix arg types for qcom_geni_serial_poll_bit()
    (bsc#1012628).
  - serial: qcom-geni: introduce qcom_geni_serial_poll_bitfield()
    (bsc#1012628).
  - serial: qcom-geni: fix console corruption (bsc#1012628).
  - thermal: core: Store trip sysfs attributes in thermal_trip_desc
    (bsc#1012628).
  - thermal: sysfs: Get to trips via attribute pointers
    (bsc#1012628).
  - thermal: sysfs: Refine the handling of trip hysteresis changes
    (bsc#1012628).
  - thermal: sysfs: Add sanity checks for trip temperature and
    hysteresis (bsc#1012628).
  - lsm: infrastructure management of the sock security
    (bsc#1012628).
  - bpf: lsm: Set bpf_lsm_blob_sizes.lbs_task to 0 (bsc#1012628).
  - dm-verity: restart or panic on an I/O error (bsc#1012628).
  - compiler.h: specify correct attribute for .rodata..c_jump_table
    (bsc#1012628).
  - lockdep: fix deadlock issue between lockdep and rcu
    (bsc#1012628).
  - exfat: resolve memory leak from exfat_create_upcase_table()
    (bsc#1012628).
  - mm/hugetlb_vmemmap: batch HVO work when demoting (bsc#1012628).
  - s390/ftrace: Avoid calling unwinder in ftrace_return_address()
    (bsc#1012628).
  - selftest mm/mseal: fix test_seal_mremap_move_dontunmap_anyaddr
    (bsc#1012628).
  - mm: only enforce minimum stack gap size if it's sensible
    (bsc#1012628).
  - spi: fspi: add support for imx8ulp (bsc#1012628).
  - module: Fix KCOV-ignored file name (bsc#1012628).
  - fbdev: xen-fbfront: Assign fb_info->device (bsc#1012628).
  - tpm: export tpm2_sessions_init() to fix ibmvtpm building
    (bsc#1012628).
  - mm/hugetlb.c: fix UAF of vma in hugetlb fault pathway
    (bsc#1012628).
  - mm/huge_memory: ensure huge_zero_folio won't have
    large_rmappable flag set (bsc#1012628).
  - mm: change vmf_anon_prepare() to __vmf_anon_prepare()
    (bsc#1012628).
  - mm/damon/vaddr: protect vma traversal in
    __damon_va_thre_regions() with rcu read lock (bsc#1012628).
  - i2c: aspeed: Update the stop sw state when the bus recovery
    occurs (bsc#1012628).
  - i2c: isch: Add missed 'else' (bsc#1012628).
  - i2c: xiic: Try re-initialization on bus busy timeout
    (bsc#1012628).
  - Documentation: KVM: fix warning in "make htmldocs"
    (bsc#1012628).
  - bpf: Fix use-after-free in bpf_uprobe_multi_link_attach()
    (bsc#1012628).
  - spi: atmel-quadspi: Fix wrong register value written to MR
    (bsc#1012628).
  - Revert: "dm-verity: restart or panic on an I/O error"
    (bsc#1012628).
  - Update config files.
  - Rename to
    patches.kernel.org/6.11.2-502-drm-amdgpu-display-Fix-a-mistake-in-revert-com.patch.
  - Rename to
    patches.kernel.org/6.11.2-695-block-Fix-elv_iosched_local_module-handling-of.patch.
  - Delete
    patches.suse/drm-amd-display-Fix-Synaptics-Cascaded-DSC-Determina.patch.
  - commit 38c846e
* Fri Oct 04 2024 msuchanek@suse.de
  - rpm/release-projects: Add SLFO projects (bsc#1231293).
  - commit 9f2c584
* Wed Oct 02 2024 jslaby@suse.cz
  - firmware: qcom: scm: Allow QSEECOM on ThinkPad T14s
    (bsc#1231235).
  - arm64: dts: qcom: Add X1E78100 ThinkPad T14s Gen 6
    (bsc#1231235).
  - dt-bindings: arm: qcom: Add Lenovo ThinkPad T14s Gen 6
    (bsc#1231235).
  - commit af74485
* Wed Oct 02 2024 tiwai@suse.de
  - ALSA: hda/generic: Unconditionally prefer preferred_dacs pairs
    (bsc#1219803).
  - commit 329a345
* Tue Oct 01 2024 schwab@suse.de
  - rpm/check-for-config-changes: add HAVE_RUST and RUSTC_SUPPORTS_ to IGNORED_CONFIGS_RE
    They depend on SHADOW_CALL_STACK.
  - commit 65fa52b
* Tue Oct 01 2024 ricardo@foundries.io
  - config: arm64: enable CONFIG_SCSI_UFS_QCOM (bsc#1231167)
    Add CONFIG_SCSI_UFS_QCOM=m to be able to detect UFS controllers on QCOM
    chipsets.
  - commit 9b1dd71
* Mon Sep 30 2024 jslaby@suse.cz
  - Linux 6.11.1 (bsc#1012628).
  - powercap: intel_rapl: Change an error pointer to NULL
    (bsc#1012628).
  - USB: usbtmc: prevent kernel-usb-infoleak (bsc#1012628).
  - USB: serial: pl2303: add device id for Macrosilicon MS3020
    (bsc#1012628).
  - nvme-pci: qdepth 1 quirk (bsc#1012628).
  - ASoC: amd: acp: add ZSC control register programming sequence
    (bsc#1012628).
  - Bluetooth: btintel_pcie: Allocate memory for driver private data
    (bsc#1012628).
  - netfilter: nft_socket: Fix a NULL vs IS_ERR() bug in
    nft_socket_cgroup_subtree_level() (bsc#1012628).
  - cpufreq/amd-pstate: Add the missing cpufreq_cpu_put()
    (bsc#1012628).
  - powercap/intel_rapl: Fix the energy-pkg event for AMD CPUs
    (bsc#1012628).
  - powercap/intel_rapl: Add support for AMD family 1Ah
    (bsc#1012628).
  - drm: Expand max DRM device number to full MINORBITS
    (bsc#1012628).
  - accel: Use XArray instead of IDR for minors (bsc#1012628).
  - drm: Use XArray instead of IDR for minors (bsc#1012628).
  - commit 3bf25fe
* Mon Sep 30 2024 mkubecek@suse.cz
  - bcachefs: rename version -> bversion for big endian builds.
    (Fixes s390x build.)
  - commit fcf4d55
* Mon Sep 30 2024 mkubecek@suse.cz
  - update to 6.12-rc1
  - drop 6 patches (all mainline)
    - patches.suse/ALSA-hda-Enhance-pm_blacklist-option.patch
    - patches.suse/ALSA-hda-Keep-PM-disablement-for-deny-listed-instanc.patch
    - patches.suse/block-Fix-elv_iosched_local_module-handling-of-none-.patch
    - patches.suse/drm-amd-display-Fix-Synaptics-Cascaded-DSC-Determina.patch
    - patches.suse/drm-amd-display-Fix-a-typo-in-revert-commit.patch
    - patches.suse/e1000e-avoid-failing-the-system-during-pm_suspend.patch
  - refresh
    - patches.suse/add-suse-supported-flag.patch
    - patches.suse/0001-security-lockdown-expose-a-hook-to-lock-the-kernel-down.patch
    - disable ARM architectures (need config update)
    - new config options
    - General setup
    - CONFIG_PREEMPT_RT=n
    - CONFIG_SCHED_CLASS_EXT=y
    - CONFIG_CPUSETS_V1=n
    - Mitigations for CPU vulnerabilities
    - CONFIG_MITIGATION_GDS=y
    - CONFIG_MITIGATION_MDS=y
    - CONFIG_MITIGATION_TAA=y
    - CONFIG_MITIGATION_MMIO_STALE_DATA=y
    - CONFIG_MITIGATION_L1TF=y
    - CONFIG_MITIGATION_RETBLEED=y
    - CONFIG_MITIGATION_SPECTRE_V1=y
    - CONFIG_MITIGATION_SPECTRE_V2=y
    - CONFIG_MITIGATION_SRBDS=y
    - CONFIG_MITIGATION_SSB=y
    - Enable loadable module support
    - CONFIG_MODULE_COMPRESS=y
    - CONFIG_MODULE_COMPRESS_ZSTD=y
    - CONFIG_MODULE_COMPRESS_ALL=n
    - CONFIG_MODULE_DECOMPRESS=y
    - Memory Management options
    - CONFIG_ZSWAP_ZPOOL_DEFAULT_Z3FOLD_DEPRECATED=n
    - CONFIG_Z3FOLD_DEPRECATED=m
    - Networking support
    - CONFIG_BT_HCIUART_AML=y
    - CONFIG_NET_9P_USBG=n
    - File systems
    - CONFIG_BCACHEFS_PATH_TRACEPOINTS=n
    - CONFIG_EROFS_FS_BACKED_BY_FILE=y
    - CONFIG_NFS_LOCALIO=n
    - CONFIG_CIFS_COMPRESSION=n
    - Security options
    - CONFIG_PROC_MEM_ALWAYS_FORCE=y
    - CONFIG_PROC_MEM_FORCE_PTRACE=n
    - CONFIG_PROC_MEM_NO_FORCE=n
    - CONFIG_SECURITY_TOMOYO_LKM=y
    - CONFIG_SECURITY_IPE=n
    - Library routines
    - CONFIG_XZ_DEC_ARM64=y
    - CONFIG_XZ_DEC_RISCV=y
    - Kernel hacking
    - CONFIG_BUILTIN_MODULE_RANGES=y
    - CONFIG_TEST_MULDIV64=n
    - Block devices
    - CONFIG_ZRAM_BACKEND_LZ4=y
    - CONFIG_ZRAM_BACKEND_LZ4HC=y
    - CONFIG_ZRAM_BACKEND_ZSTD=y
    - CONFIG_ZRAM_BACKEND_DEFLATE=y
    - CONFIG_ZRAM_BACKEND_842=y
    - CONFIG_ZRAM_BACKEND_LZO=y
    - CONFIG_ZRAM_DEF_COMP_DEFLATE=n
    - Network device support
    - CONFIG_MLX5_HW_STEERING=y
    - CONFIG_OA_TC6=m
    - CONFIG_RTASE=m
    - CONFIG_RTW89_8852BTE=m
    - Graphics support
    - CONFIG_DRM_PANIC=y
    - CONFIG_DRM_PANIC_FOREGROUND_COLOR=0xffffff
    - CONFIG_DRM_PANIC_BACKGROUND_COLOR=0x000000
    - CONFIG_DRM_PANIC_DEBUG=n
    - CONFIG_DRM_PANIC_SCREEN="kmsg"
    - Sound card support
    - CONFIG_SND_UTIMER=y
    - CONFIG_SND_SOC_AMD_SOF_SDW_MACH=m
    - CONFIG_SND_SOC_SOF_AMD_ACP70=m
    - CONFIG_SND_SOC_SOF_PANTHERLAKE=m
    - CONFIG_SND_SOC_MT6357=n
    - Industrial I/O support
    - CONFIG_ADXL380_SPI=n
    - CONFIG_ADXL380_I2C=n
    - CONFIG_AD4000=n
    - CONFIG_AD4695=n
    - CONFIG_PAC1921=n
    - CONFIG_LTC2664=n
    - CONFIG_ENS210=n
    - CONFIG_BH1745=n
    - CONFIG_SDP500=n
    - CONFIG_HX9023S=n
    - CONFIG_AW96103=n
    - Misc devices
    - CONFIG_PCI_NPEM=y
    - CONFIG_RPMB=m
    - CONFIG_MOUSE_PS2_PIXART=y
    - CONFIG_I2C_KEBA=m
    - CONFIG_SENSORS_SURFACE_TEMP=m
    - CONFIG_THERMAL_CORE_TESTING=m
    - CONFIG_USB_EXTRON_DA_HD_4K_PLUS_CEC=m
    - CONFIG_HID_GOODIX_SPI=m
    - CONFIG_LEDS_BLINKM_MULTICOLOR=y
    - CONFIG_RTC_DRV_SD2405AL=m
    - CONFIG_AMD_QDMA=m
    - CONFIG_EXTCON_LC824206XA=m
    - OF dependent (i386, ppc64le, riscv64)
    - MFD_ADP5585=m
    - DRM_PANEL_BOE_TV101WUM_LL2=n
    - PWM_ADP5585=n
    - NVMEM_LAYOUT_U_BOOT_ENV=m
    - GPIO_ADP5585=m
    - i386
    - CAN_ROCKCHIP_CANFD=m
    - I2C_MUX_MULE=m
    - ppc64le
    - FPROBE=y
    - FPROBE_EVENTS=y
    - s390x
    - SCHED_TOPOLOGY_VERTICAL=n
    - HIPERDISPATCH_ON=y
    - FDMA=y
    - CRYPTO_HMAC_S390=m
    - PKEY_CCA=m
    - PKEY_EP11=m
    - PKEY_PCKMO=m
    - riscv64
    - ARM_ALLWINNER_SUN50I_CPUFREQ_NVMEM
    - NUMA_EMU=y
    - CAN_ROCKCHIP_CANFD=m
    - I2C_MUX_MULE=m
    - PINCTRL_SOPHGO_CV1800B=m
    - PINCTRL_SOPHGO_CV1812H=m
    - PINCTRL_SOPHGO_SG2000=m
    - PINCTRL_SOPHGO_SG2002=m
    - SENSORS_SG2042_MCU=m
    - VIDEO_HANTRO_HEVC_RFC=y
    - SOPHGO_CV1800B_ADC=n
  - commit 6134165
* Mon Sep 30 2024 mkubecek@suse.cz
  - config.conf: process x86_64 first
    When running run_oldconfig.sh with "Yes" reply to "Use these settings for
    other configurations?", it is more convenient if the first processed
    architecture is the most frequently used.
  - commit 23bb779
* Fri Sep 27 2024 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream reference and move into sorted section:
    - patches.suse/drm-amd-display-Fix-Synaptics-Cascaded-DSC-Determina.patch
    - patches.suse/block-Fix-elv_iosched_local_module-handling-of-none-.patch
  - update upstream reference and resort:
    - patches.suse/drm-amd-display-Fix-a-typo-in-revert-commit.patch
  - commit 28c05ff
* Wed Sep 25 2024 jslaby@suse.cz
  - Revert "PCI: Extend ACS configurability" (bsc#1229019).
  - commit 4b97d57
* Tue Sep 24 2024 jslaby@suse.cz
  - block: Fix elv_iosched_local_module handling of "none" scheduler
    (bsc#1230925).
  - commit d8cfa46
* Fri Sep 20 2024 jslaby@suse.cz
  - drm/amdgpu/display: Fix a mistake in revert commit (bsc#1228093
  - commit 39574a1
* Fri Sep 20 2024 jslaby@suse.cz
  - Refresh patches.suse/ALSA-hda-Enhance-pm_blacklist-option.patch.
  - Refresh
    patches.suse/ALSA-hda-Keep-PM-disablement-for-deny-listed-instanc.patch.
    Update upstream status.
  - commit 2244c0f
* Thu Sep 19 2024 jslaby@suse.cz
  - Linux 6.10.11 (bsc#1012628).
  - usb: typec: ucsi: Always set number of alternate modes
    (bsc#1012628).
  - usb: typec: ucsi: Fix cable registration (bsc#1012628).
  - drm/mediatek: Set sensible cursor width/height values to fix
    crash (bsc#1012628).
  - ksmbd: override fsids for share path check (bsc#1012628).
  - ksmbd: override fsids for smb2_query_info() (bsc#1012628).
  - usbnet: ipheth: remove extraneous rx URB length check
    (bsc#1012628).
  - usbnet: ipheth: drop RX URBs with no payload (bsc#1012628).
  - usbnet: ipheth: do not stop RX on failing RX callback
    (bsc#1012628).
  - usbnet: ipheth: fix carrier detection in modes 1 and 4
    (bsc#1012628).
  - net: ethernet: use ip_hdrlen() instead of bit shift
    (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirk for Ayn Loki Zero
    (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirk for Ayn Loki Max
    (bsc#1012628).
  - net: phy: vitesse: repair vsc73xx autonegotiation (bsc#1012628).
  - powerpc/mm: Fix boot warning with hugepages and
    CONFIG_DEBUG_VIRTUAL (bsc#1012628).
  - wifi: mt76: mt7921: fix NULL pointer access in
    mt7921_ipv6_addr_change (bsc#1012628).
  - drm/amdgpu: Update kmd_fw_shared for VCN5 (bsc#1012628).
  - net: hns3: use correct release function during uninitialization
    (bsc#1012628).
  - btrfs: update target inode's ctime on unlink (bsc#1012628).
  - Input: ads7846 - ratelimit the spi_sync error message
    (bsc#1012628).
  - Input: synaptics - enable SMBus for HP Elitebook 840 G2
    (bsc#1012628).
  - hid-asus: add ROG Ally X prod ID to quirk list (bsc#1012628).
  - HID: multitouch: Add support for GT7868Q (bsc#1012628).
  - Input: edt-ft5x06 - add support for FocalTech FT8201
    (bsc#1012628).
  - cgroup/cpuset: Eliminate unncessary sched domains rebuilds in
    hotplug (bsc#1012628).
  - scripts: kconfig: merge_config: config files: add a trailing
    newline (bsc#1012628).
  - platform/x86: asus-wmi: Add quirk for ROG Ally X (bsc#1012628).
  - platform/surface: aggregator_registry: Add Support for Surface
    Pro 10 (bsc#1012628).
  - platform/surface: aggregator_registry: Add support for Surface
    Laptop Go 3 (bsc#1012628).
  - platform/surface: aggregator_registry: Add support for Surface
    Laptop Studio 2 (bsc#1012628).
  - platform/surface: aggregator_registry: Add fan and thermal
    sensor support for Surface Laptop 5 (bsc#1012628).
  - platform/surface: aggregator_registry: Add support for Surface
    Laptop 6 (bsc#1012628).
  - spi: zynqmp-gqspi: Scale timeout by data size (bsc#1012628).
  - drm/msm/adreno: Fix error return if missing firmware-name
    (bsc#1012628).
  - Input: i8042 - add Fujitsu Lifebook E756 to i8042 quirk table
    (bsc#1012628).
  - drm/xe/xe2lpm: Extend Wa_16021639441 (bsc#1012628).
  - drm/xe: fix WA 14018094691 (bsc#1012628).
  - drm/xe: use devm instead of drmm for managed bo (bsc#1012628).
  - s390/mm: Pin identity mapping base to zero (bsc#1012628).
  - smb/server: fix return value of smb2_open() (bsc#1012628).
  - NFSv4: Fix clearing of layout segments in layoutreturn
    (bsc#1012628).
  - NFS: Avoid unnecessary rescanning of the per-server delegation
    list (bsc#1012628).
  - platform/x86: panasonic-laptop: Fix SINF array out of bounds
    accesses (bsc#1012628).
  - platform/x86: panasonic-laptop: Allocate 1 entry extra in the
    sinf array (bsc#1012628).
  - mptcp: pm: Fix uaf in __timer_delete_sync (bsc#1012628).
  - selftests: mptcp: join: restrict fullmesh endp on 1st sf
    (bsc#1012628).
  - arm64: dts: rockchip: fix eMMC/SPI corruption when audio has
    been used on RK3399 Puma (bsc#1012628).
  - arm64: dts: rockchip: override BIOS_DISABLE signal via GPIO
    hog on RK3399 Puma (bsc#1012628).
  - minmax: reduce min/max macro expansion in atomisp driver
    (bsc#1012628).
  - net: tighten bad gso csum offset check in virtio_net_hdr
    (bsc#1012628).
  - net: libwx: fix number of Rx and Tx descriptors (bsc#1012628).
  - dm-integrity: fix a race condition when accessing recalc_sector
    (bsc#1012628).
  - clocksource: hyper-v: Use lapic timer in a TDX VM without
    paravisor (bsc#1012628).
  - x86/hyperv: fix kexec crash due to VP assist page corruption
    (bsc#1012628).
  - mm: avoid leaving partial pfn mappings around in error case
    (bsc#1012628).
  - bcachefs: Fix bch2_extents_match() false positive (bsc#1012628).
  - bcachefs: Revert lockless buffered IO path (bsc#1012628).
  - bcachefs: Don't delete open files in online fsck (bsc#1012628).
  - arm64: dts: rockchip: fix PMIC interrupt pin in pinctrl for
    ROCK Pi E (bsc#1012628).
  - firmware: qcom: uefisecapp: Fix deadlock in qcuefi_acquire()
    (bsc#1012628).
  - riscv: dts: starfive: jh7110-common: Fix lower rate of CPUfreq
    by setting PLL0 rate to 1.5GHz (bsc#1012628).
  - drm/amd/display: Disable error correction if it's not supported
    (bsc#1012628).
  - drm/amd/display: Fix FEC_READY write on DP LT (bsc#1012628).
  - eeprom: digsy_mtc: Fix 93xx46 driver probe failure
    (bsc#1012628).
  - clk/sophgo: Using BUG() instead of unreachable() in
    mmux_get_parent_id() (bsc#1012628).
  - cxl/core: Fix incorrect vendor debug UUID define (bsc#1012628).
  - cxl: Restore XOR'd position bits during address translation
    (bsc#1012628).
  - selftests/bpf: Support SOCK_STREAM in
    unix_inet_redir_to_connected() (bsc#1012628).
  - net: hsr: Send supervisory frames to HSR network with
    ProxyNodeTable data (bsc#1012628).
  - hwmon: (pmbus) Conditionally clear individual status bits for
    pmbus rev >= 1.2 (bsc#1012628).
  - ice: Fix lldp packets dropping after changing the number of
    channels (bsc#1012628).
  - ice: fix accounting for filters shared by multiple VSIs
    (bsc#1012628).
  - ice: fix VSI lists confusion when adding VLANs (bsc#1012628).
  - igb: Always call igb_xdp_ring_update_tail() under Tx lock
    (bsc#1012628).
  - net/mlx5: Update the list of the PCI supported devices
    (bsc#1012628).
  - net/mlx5e: Add missing link modes to ptys2ethtool_map
    (bsc#1012628).
  - net/mlx5e: Add missing link mode to ptys2ext_ethtool_map
    (bsc#1012628).
  - net/mlx5: Explicitly set scheduling element and TSAR type
    (bsc#1012628).
  - net/mlx5: Add missing masks and QoS bit masks for scheduling
    elements (bsc#1012628).
  - net/mlx5: Correct TASR typo into TSAR (bsc#1012628).
  - net/mlx5: Verify support for scheduling element and TSAR type
    (bsc#1012628).
  - net/mlx5: Fix bridge mode operations when there are no VFs
    (bsc#1012628).
  - octeontx2-af: Modify SMQ flush sequence to drop packets
    (bsc#1012628).
  - net: ftgmac100: Enable TX interrupt to avoid TX timeout
    (bsc#1012628).
  - net: phy: dp83822: Fix NULL pointer dereference on DP83825
    devices (bsc#1012628).
  - selftests: net: csum: Fix checksums for packets with non-zero
    padding (bsc#1012628).
  - drivers: perf: Fix smp_processor_id() use in preemptible code
    (bsc#1012628).
  - riscv: Disable preemption while handling
    PR_RISCV_CTX_SW_FENCEI_OFF (bsc#1012628).
  - netfilter: nft_socket: fix sk refcount leaks (bsc#1012628).
  - net: hsr: prevent NULL pointer dereference in
    hsr_proxy_announce() (bsc#1012628).
  - net: dsa: felix: ignore pending status of TAS module when it's
    disabled (bsc#1012628).
  - net: dpaa: Pad packets to ETH_ZLEN (bsc#1012628).
  - netlink: specs: mptcp: fix port endianness (bsc#1012628).
  - spi: nxp-fspi: fix the KASAN report out-of-bounds bug
    (bsc#1012628).
  - drm/syncobj: Fix syncobj leak in drm_syncobj_eventfd_ioctl
    (bsc#1012628).
  - dma-buf: heaps: Fix off-by-one in CMA heap fault handler
    (bsc#1012628).
  - drm/nouveau/fb: restore init() for ramgp102 (bsc#1012628).
  - drm/amdgpu/atomfirmware: Silence UBSAN warning (bsc#1012628).
  - drm/amd/amdgpu: apply command submission parser for JPEG v1
    (bsc#1012628).
  - drm/amd/amdgpu: apply command submission parser for JPEG v2+
    (bsc#1012628).
  - drm/xe/client: fix deadlock in show_meminfo() (bsc#1012628).
  - drm/xe/client: add missing bo locking in show_meminfo()
    (bsc#1012628).
  - tracing/kprobes: Fix build error when find_module() is not
    available (bsc#1012628).
  - ASoC: Intel: soc-acpi-intel-lnl-match: add missing empty item
    (bsc#1012628).
  - ASoC: Intel: soc-acpi-intel-mtl-match: add missing empty item
    (bsc#1012628).
  - spi: geni-qcom: Undo runtime PM changes at driver exit time
    (bsc#1012628).
  - spi: geni-qcom: Fix incorrect free_irq() sequence (bsc#1012628).
  - drm/i915/guc: prevent a possible int overflow in wq offsets
    (bsc#1012628).
  - ASoC: codecs: avoid possible garbage value in peb2466_reg_read()
    (bsc#1012628).
  - drm/xe/display: fix compat IS_DISPLAY_STEP() range end
    (bsc#1012628).
  - cifs: Fix signature miscalculation (bsc#1012628).
  - pinctrl: meteorlake: Add Arrow Lake-H/U ACPI ID (bsc#1012628).
  - ASoC: meson: axg-card: fix 'use-after-free' (bsc#1012628).
  - usb: typec: ucsi: Only set number of plug altmodes after
    registration (bsc#1012628).
  - Rename to
    patches.kernel.org/6.10.11-082-fou-fix-initialization-of-grc.patch.
  - Rename to
    patches.kernel.org/6.10.11-094-tracing-osnoise-Fix-build-when-timerlat-is-no.patch.
  - Rename to
    patches.kernel.org/6.10.11-096-soundwire-stream-Revert-soundwire-stream-fix-.patch.
  - Rename to
    patches.kernel.org/6.10.11-101-drm-amd-display-Avoid-race-between-dcn10_set_.patch.
  - Rename to
    patches.kernel.org/6.10.11-102-drm-amd-display-Avoid-race-between-dcn35_set_.patch.
  - Update config files.
  - commit bd33620
* Thu Sep 19 2024 jslaby@suse.cz
  - Refresh
    patches.suse/drm-amd-display-Avoid-race-between-dcn10_set_drr-and.patch.
  - Refresh
    patches.suse/drm-amd-display-Avoid-race-between-dcn35_set_drr-and.patch
    (bsc#1012628).
  - Refresh
    patches.suse/soundwire-stream-Revert-soundwire-stream-fix-program.patch
    (bsc#1012628).
    Update upstream status.
  - commit 5d05069
* Wed Sep 18 2024 tiwai@suse.de
  - e1000e: avoid failing the system during pm_suspend
    (bsc#1230629).
  - commit 91fa7f0
* Sun Sep 15 2024 mkubecek@suse.cz
  - update to 6.11 final
  - refresh configs (headers only)
  - commit 78175ea
* Sun Sep 15 2024 mkubecek@suse.cz
  - config: update and reenable armv6hl configs
  - options mirrored from armv7hl or other architectures
  - commit 8ea4570
* Sun Sep 15 2024 mkubecek@suse.cz
  - config: update and reenable armv7hl configs
  - options mirrored from arm64 or other architectures except
    - TURRIS_OMNIA_MCU=m
    - TURRIS_OMNIA_MCU_GPIO=y
    - TURRIS_OMNIA_MCU_SYSOFF_WAKEUP=y
    - TURRIS_OMNIA_MCU_WATCHDOG=y
    - TURRIS_OMNIA_MCU_TRNG=y
  - commit 3bfbb8e
* Sun Sep 15 2024 mkubecek@suse.cz
  - config: update and reenable arm64 configs
  - options mirrored from other architectures except
    - COMPRESSED_INSTALL=n
    - PCIE_ROCKCHIP_DW_EP=y
    - QCOM_TZMEM_MODE_GENERIC=1
    - MARVELL_CN10K_DPI=m
    - NET_AIROHA=m
    - I2C_MT7621=m
    - PINCTRL_IMX_SCMI=m
    - PINCTRL_IMX91=y
    - PINCTRL_MA35D1=n
    - PINCTRL_SM4250_LPASS_LPI=m
    - REGULATOR_QCOM_PM8008=m
    - REGULATOR_RZG2L_VBCTRL=m
    - DRM_STM_LVDS=m
    - STM32_DMA3=m
    - EC_LENOVO_YOGA_C630=m
    - COMMON_CLK_C3_PLL=m
    - COMMON_CLK_C3_PERIPHERALS=m
    - CLK_QCM2290_GPUCC=m
    - IPQ_NSSCC_QCA8K=m
    - SM_CAMCC_7150=m
    - SM_CAMCC_8650=m
    - SM_DISPCC_7150=m
    - SM_VIDEOCC_7150=m
    - QCOM_CPUCP_MBOX=m
    - QCOM_PD_MAPPER=m
    - MEDIATEK_MT6359_AUXADC=m
    - PWM_AXI_PWMGEN=m
    - STM32MP_EXTI=m
    - RESET_IMX8MP_AUDIOMIX=m
    - PHY_AIROHA_PCIE=m
    - PHY_FSL_IMX8QM_HSIO=m
    - INTERCONNECT_MTK=y
    - INTERCONNECT_QCOM_MSM8953=m
    - BATTERY_LENOVO_YOGA_C630=m
    - UCSI_LENOVO_YOGA_C630=m
  - commit 7f8bc5b
* Sat Sep 14 2024 jslaby@suse.cz
  - fou: fix initialization of grc (git-fixes).
  - tracing/osnoise: Fix build when timerlat is not enabled
    (git-fixes).
  - commit fc3eac9
* Fri Sep 13 2024 jslaby@suse.cz
  - Linux 6.10.10 (bsc#1012628).
  - libfs: fix get_stashed_dentry() (bsc#1012628).
  - sch/netem: fix use after free in netem_dequeue (bsc#1012628).
  - xfs: xfs_finobt_count_blocks() walks the wrong btree
    (bsc#1012628).
  - net: ethernet: ti: am65-cpsw: Fix NULL dereference on XDP_TX
    (bsc#1012628).
  - net: microchip: vcap: Fix use-after-free error in kunit test
    (bsc#1012628).
  - net: ethernet: ti: am65-cpsw: fix XDP_DROP, XDP_TX and
    XDP_REDIRECT (bsc#1012628).
  - ASoC: dapm: Fix UAF for snd_soc_pcm_runtime object
    (bsc#1012628).
  - KVM: x86: Acquire kvm->srcu when handling KVM_SET_VCPU_EVENTS
    (bsc#1012628).
  - KVM: SVM: fix emulation of msr reads/writes of MSR_FS_BASE
    and MSR_GS_BASE (bsc#1012628).
  - KVM: SVM: Don't advertise Bus Lock Detect to guest if SVM
    support is missing (bsc#1012628).
  - ALSA: hda/conexant: Add pincfg quirk to enable top speakers
    on Sirius devices (bsc#1012628).
  - ALSA: hda/realtek: add patch for internal mic in Lenovo V145
    (bsc#1012628).
  - ALSA: hda/realtek: Enable Mute Led for HP Victus 15-fb1xxx
    (bsc#1012628).
  - ALSA: hda/realtek - Fix inactive headset mic jack for ASUS
    Vivobook 15 X1504VAP (bsc#1012628).
  - ALSA: hda/realtek: Support mute LED on HP Laptop 14-dq2xxx
    (bsc#1012628).
  - powerpc/qspinlock: Fix deadlock in MCS queue (bsc#1012628).
  - smb: client: fix double put of @cfile in smb2_set_path_size()
    (bsc#1012628).
  - ksmbd: unset the binding mark of a reused connection
    (bsc#1012628).
  - ksmbd: Unlock on in ksmbd_tcp_set_interfaces() (bsc#1012628).
  - ata: libata: Fix memory leak for error path in ata_host_alloc()
    (bsc#1012628).
  - x86/tdx: Fix data leak in mmio_read() (bsc#1012628).
  - perf/x86/intel: Limit the period on Haswell (bsc#1012628).
  - irqchip/gic-v2m: Fix refcount leak in gicv2m_of_init()
    (bsc#1012628).
  - irqchip/sifive-plic: Probe plic driver early for Allwinner D1
    platform (bsc#1012628).
  - x86/kaslr: Expose and use the end of the physical memory
    address space (bsc#1012628).
  - rtmutex: Drop rt_mutex::wait_lock before scheduling
    (bsc#1012628).
  - irqchip/riscv-aplic: Fix an IS_ERR() vs NULL bug in probe()
    (bsc#1012628).
  - nvme-pci: Add sleep quirk for Samsung 990 Evo (bsc#1012628).
  - rust: macros: provide correct provenance when constructing
    THIS_MODULE (bsc#1012628).
  - Revert "Bluetooth: MGMT/SMP: Fix address type when using SMP
    over BREDR/LE" (bsc#1012628).
  - Bluetooth: MGMT: Ignore keys being loaded with invalid type
    (bsc#1012628).
  - selftests: mm: fix build errors on armhf (bsc#1012628).
  - mmc: core: apply SD quirks earlier during probe (bsc#1012628).
  - mmc: dw_mmc: Fix IDMAC operation with pages bigger than 4K
    (bsc#1012628).
  - mmc: sdhci-of-aspeed: fix module autoloading (bsc#1012628).
  - mmc: cqhci: Fix checking of CQHCI_HALT state (bsc#1012628).
  - fuse: update stats for pages in dropped aux writeback list
    (bsc#1012628).
  - fuse: disable the combination of passthrough and writeback cache
    (bsc#1012628).
  - fuse: check aborted connection before adding requests to
    pending list for resending (bsc#1012628).
  - fuse: use unsigned type for getxattr/listxattr size truncation
    (bsc#1012628).
  - fuse: fix memory leak in fuse_create_open (bsc#1012628).
  - fuse: clear PG_uptodate when using a stolen page (bsc#1012628).
  - ASoC: Intel: Boards: Fix NULL pointer deref in BYT/CHT boards
    harder (bsc#1012628).
  - riscv: misaligned: Restrict user access to kernel memory
    (bsc#1012628).
  - parisc: Delay write-protection until mark_rodata_ro() call
    (bsc#1012628).
  - clk: starfive: jh7110-sys: Add notifier for PLL0 clock
    (bsc#1012628).
  - clk: qcom: clk-alpha-pll: Fix the pll post div mask
    (bsc#1012628).
  - clk: qcom: clk-alpha-pll: Fix the trion pll postdiv set rate
    API (bsc#1012628).
  - pinctrl: qcom: x1e80100: Bypass PDC wakeup parent for now
    (bsc#1012628).
  - can: mcp251x: fix deadlock if an interrupt occurs during
    mcp251x_open (bsc#1012628).
  - mm: vmalloc: optimize vmap_lazy_nr arithmetic when purging
    each vmap_area (bsc#1012628).
  - alloc_tag: fix allocation tag reporting when CONFIG_MODULES=n
    (bsc#1012628).
  - codetag: debug: mark codetags for poisoned page as empty
    (bsc#1012628).
  - maple_tree: remove rcu_read_lock() from mt_validate()
    (bsc#1012628).
  - kexec_file: fix elfcorehdr digest exclusion when
    CONFIG_CRASH_HOTPLUG=y (bsc#1012628).
  - mm: vmalloc: ensure vmap_block is initialised before adding
    to queue (bsc#1012628).
  - mm/slub: add check for s->flags in the
    alloc_tagging_slab_free_hook (bsc#1012628).
  - Revert "mm: skip CMA pages when they are not available"
    (bsc#1012628).
  - spi: rockchip: Resolve unbalanced runtime PM / system PM
    handling (bsc#1012628).
  - tracing/osnoise: Use a cpumask to know what threads are kthreads
    (bsc#1012628).
  - tracing/timerlat: Only clear timer if a kthread exists
    (bsc#1012628).
  - tracing: Avoid possible softlockup in tracing_iter_reset()
    (bsc#1012628).
  - tracing/timerlat: Add interface_lock around clearing of kthread
    in stop_kthread() (bsc#1012628).
  - userfaultfd: don't BUG_ON() if khugepaged yanks our page table
    (bsc#1012628).
  - userfaultfd: fix checks for huge PMDs (bsc#1012628).
  - fscache: delete fscache_cookie_lru_timer when fscache exits
    to avoid UAF (bsc#1012628).
  - eventfs: Use list_del_rcu() for SRCU protected list variable
    (bsc#1012628).
  - net: mana: Fix error handling in mana_create_txq/rxq's NAPI
    cleanup (bsc#1012628).
  - net: mctp-serial: Fix missing escapes on transmit (bsc#1012628).
  - x86/fpu: Avoid writing LBR bit to IA32_XSS unless supported
    (bsc#1012628).
  - x86/apic: Make x2apic_disable() work correctly (bsc#1012628).
  - Revert "drm/amdgpu: align pp_power_profile_mode with kernel
    docs" (bsc#1012628).
  - Revert "wifi: ath11k: restore country code during resume"
    (bsc#1012628).
  - Revert "wifi: ath11k: support hibernation" (bsc#1012628).
  - tcp_bpf: fix return value of tcp_bpf_sendmsg() (bsc#1012628).
  - ila: call nf_unregister_net_hooks() sooner (bsc#1012628).
  - sched: sch_cake: fix bulk flow accounting logic for host
    fairness (bsc#1012628).
  - nilfs2: fix missing cleanup on rollforward recovery error
    (bsc#1012628).
  - nilfs2: protect references to superblock parameters exposed
    in sysfs (bsc#1012628).
  - nilfs2: fix state management in error path of log writing
    function (bsc#1012628).
  - btrfs: qgroup: don't use extent changeset when not needed
    (bsc#1012628).
  - btrfs: zoned: handle broken write pointer on zones
    (bsc#1012628).
  - drm/xe/gsc: Do not attempt to load the GSC multiple times
    (bsc#1012628).
  - drm/panthor: flush FW AS caches in slow reset path
    (bsc#1012628).
  - drm/panthor: Restrict high priorities on group_create
    (bsc#1012628).
  - drm/imagination: Free pvr_vm_gpuva after unlink (bsc#1012628).
  - drm/i915: Do not attempt to load the GSC multiple times
    (bsc#1012628).
  - drm/amd/display: Lock DC and exit IPS when changing backlight
    (bsc#1012628).
  - ALSA: hda/realtek: extend quirks for Clevo V5[46]0
    (bsc#1012628).
  - ALSA: control: Apply sanity check of input values for user
    elements (bsc#1012628).
  - ALSA: hda: Add input value sanity checks to HDMI channel map
    controls (bsc#1012628).
  - wifi: ath12k: fix uninitialize symbol error on
    ath12k_peer_assoc_h_he() (bsc#1012628).
  - wifi: ath12k: fix firmware crash due to invalid peer nss
    (bsc#1012628).
  - smack: unix sockets: fix accept()ed socket label (bsc#1012628).
  - drm/amd/display: Check UnboundedRequestEnabled's value
    (bsc#1012628).
  - cgroup/cpuset: Delay setting of CS_CPU_EXCLUSIVE until valid
    partition (bsc#1012628).
  - virt: sev-guest: Mark driver struct with __refdata to prevent
    section mismatch (bsc#1012628).
  - bpf, verifier: Correct tail_call_reachable for bpf prog
    (bsc#1012628).
  - ELF: fix kernel.randomize_va_space double read (bsc#1012628).
  - accel/habanalabs/gaudi2: unsecure edma max outstanding register
    (bsc#1012628).
  - irqchip/renesas-rzg2l: Reorder function calls in
    rzg2l_irqc_irq_disable() (bsc#1012628).
  - irqchip/armada-370-xp: Do not allow mapping IRQ 0 and 1
    (bsc#1012628).
  - media: b2c2: flexcop-usb: fix flexcop_usb_memory_req
    (bsc#1012628).
  - af_unix: Remove put_pid()/put_cred() in copy_peercred()
    (bsc#1012628).
  - x86/kmsan: Fix hook for unaligned accesses (bsc#1012628).
  - iommu: sun50i: clear bypass register (bsc#1012628).
  - netfilter: nf_conncount: fix wrong variable type (bsc#1012628).
  - gve: Add adminq mutex lock (bsc#1012628).
  - wifi: iwlwifi: mvm: use IWL_FW_CHECK for link ID check
    (bsc#1012628).
  - udf: Avoid excessive partition lengths (bsc#1012628).
  - fs/ntfs3: One more reason to mark inode bad (bsc#1012628).
  - riscv: kprobes: Use patch_text_nosync() for insn slots
    (bsc#1012628).
  - media: vivid: fix wrong sizeimage value for mplane
    (bsc#1012628).
  - leds: spi-byte: Call of_node_put() on error path (bsc#1012628).
  - wifi: brcmsmac: advertise MFP_CAPABLE to enable WPA3
    (bsc#1012628).
  - wifi: rtw89: wow: prevent to send unexpected H2C during download
    Firmware (bsc#1012628).
  - usb: uas: set host status byte on data completion error
    (bsc#1012628).
  - usb: gadget: aspeed_udc: validate endpoint index for ast udc
    (bsc#1012628).
  - drm/amdgpu: Fix register access violation (bsc#1012628).
  - drm/amd/display: Run DC_LOG_DC after checking link->link_enc
    (bsc#1012628).
  - drm/amd/display: Check HDCP returned status (bsc#1012628).
  - drm/amd/display: Validate function returns (bsc#1012628).
  - drm/amdgpu: add missing error handling in function
    amdgpu_gmc_flush_gpu_tlb_pasid (bsc#1012628).
  - drm/amdgpu: Fix smatch static checker warning (bsc#1012628).
  - drm/amdgpu: clear RB_OVERFLOW bit when enabling interrupts
    (bsc#1012628).
  - crypto: qat - initialize user_input.lock for rate_limiting
    (bsc#1012628).
  - media: vivid: don't set HDMI TX controls if there are no HDMI
    outputs (bsc#1012628).
  - vfio/spapr: Always clear TCEs before unsetting the window
    (bsc#1012628).
  - fs: don't copy to userspace under namespace semaphore
    (bsc#1012628).
  - fs: relax permissions for statmount() (bsc#1012628).
  - powerpc/rtas: Prevent Spectre v1 gadget construction in
    sys_rtas() (bsc#1012628).
  - seccomp: release task filters when the task exits (bsc#1012628).
  - ice: Check all ice_vsi_rebuild() errors in function
    (bsc#1012628).
  - PCI: keystone: Add workaround for Errata #i2037 (AM65x SR 1.0)
    (bsc#1012628).
  - Input: ili210x - use kvmalloc() to allocate buffer for firmware
    update (bsc#1012628).
  - media: qcom: camss: Add check for v4l2_fwnode_endpoint_parse
    (bsc#1012628).
  - pcmcia: Use resource_size function on resource object
    (bsc#1012628).
  - drm/amd/display: Check denominator pbn_div before used
    (bsc#1012628).
  - drm/amd/display: Check denominator crb_pipes before used
    (bsc#1012628).
  - drm/amdgpu: check for LINEAR_ALIGNED correctly in
    check_tiling_flags_gfx6 (bsc#1012628).
  - drm/amdgpu: Correct register used to clear fault status
    (bsc#1012628).
  - drm/amdgpu/display: handle gfx12 in
    amdgpu_dm_plane_format_mod_supported (bsc#1012628).
  - can: bcm: Remove proc entry when dev is unregistered
    (bsc#1012628).
  - can: m_can: Release irq on error in m_can_open (bsc#1012628).
  - can: m_can: Reset coalescing during suspend/resume
    (bsc#1012628).
  - can: m_can: Remove coalesing disable in isr during suspend
    (bsc#1012628).
  - can: m_can: Remove m_can_rx_peripheral indirection
    (bsc#1012628).
  - can: m_can: Do not cancel timer from within timer (bsc#1012628).
  - can: m_can: disable_all_interrupts, not clear active_interrupts
    (bsc#1012628).
  - can: m_can: Reset cached active_interrupts on start
    (bsc#1012628).
  - can: mcp251xfd: fix ring configuration when switching from
    CAN-CC to CAN-FD mode (bsc#1012628).
  - rust: kbuild: fix export of bss symbols (bsc#1012628).
  - cifs: Fix lack of credit renegotiation on read retry
    (bsc#1012628).
  - netfs, cifs: Fix handling of short DIO read (bsc#1012628).
  - cifs: Fix copy offload to flush destination region
    (bsc#1012628).
  - cifs: Fix FALLOC_FL_ZERO_RANGE to preflush buffered part of
    target region (bsc#1012628).
  - igb: Fix not clearing TimeSync interrupts for 82580
    (bsc#1012628).
  - ice: Add netif_device_attach/detach into PF reset flow
    (bsc#1012628).
  - platform/x86: dell-smbios: Fix error path in dell_smbios_init()
    (bsc#1012628).
  - spi: intel: Add check devm_kasprintf() returned value
    (bsc#1012628).
  - regulator: core: Stub devm_regulator_bulk_get_const() if
    !CONFIG_REGULATOR (bsc#1012628).
  - can: kvaser_pciefd: Skip redundant NULL pointer check in ISR
    (bsc#1012628).
  - can: kvaser_pciefd: Remove unnecessary comment (bsc#1012628).
  - can: kvaser_pciefd: Rename board_irq to pci_irq (bsc#1012628).
  - can: kvaser_pciefd: Move reset of DMA RX buffers to the end
    of the ISR (bsc#1012628).
  - can: kvaser_pciefd: Use a single write when releasing RX buffers
    (bsc#1012628).
  - Bluetooth: qca: If memdump doesn't work, re-enable IBS
    (bsc#1012628).
  - Bluetooth: hci_sync: Introduce
    hci_cmd_sync_run/hci_cmd_sync_run_once (bsc#1012628).
  - Bluetooth: MGMT: Fix not generating command complete for
    MGMT_OP_DISCONNECT (bsc#1012628).
  - hwmon: ltc2991: fix register bits defines (bsc#1012628).
  - scripts: fix gfp-translate after ___GFP_*_BITS conversion to
    an enum (bsc#1012628).
  - igc: Unlock on error in igc_io_resume() (bsc#1012628).
  - hwmon: (hp-wmi-sensors) Check if WMI event data exists
    (bsc#1012628).
  - perf lock contention: Fix spinlock and rwlock accounting
    (bsc#1012628).
  - net: ethernet: ti: am65-cpsw: Fix RX statistics for XDP_TX
    and XDP_REDIRECT (bsc#1012628).
  - net: phy: Fix missing of_node_put() for leds (bsc#1012628).
  - ptp: ocp: convert serial ports to array (bsc#1012628).
  - ptp: ocp: adjust sysfs entries to expose tty information
    (bsc#1012628).
  - ice: move netif_queue_set_napi to rtnl-protected sections
    (bsc#1012628).
  - ice: protect XDP configuration with a mutex (bsc#1012628).
  - ice: check ICE_VSI_DOWN under rtnl_lock when preparing for reset
    (bsc#1012628).
  - ice: remove ICE_CFG_BUSY locking from AF_XDP code (bsc#1012628).
  - ice: do not bring the VSI up, if it was down before the XDP
    setup (bsc#1012628).
  - usbnet: modern method to get random MAC (bsc#1012628).
  - net: dqs: Do not use extern for unused dql_group (bsc#1012628).
  - bpf, net: Fix a potential race in do_sock_getsockopt()
    (bsc#1012628).
  - bpf: add check for invalid name in btf_name_valid_section()
    (bsc#1012628).
  - bareudp: Fix device stats updates (bsc#1012628).
  - fou: Fix null-ptr-deref in GRO (bsc#1012628).
  - r8152: fix the firmware doesn't work (bsc#1012628).
  - net: bridge: br_fdb_external_learn_add(): always set EXT_LEARN
    (bsc#1012628).
  - net: xilinx: axienet: Fix race in axienet_stop (bsc#1012628).
  - net: dsa: vsc73xx: fix possible subblocks range of CAPT block
    (bsc#1012628).
  - selftests: net: enable bind tests (bsc#1012628).
  - tools/net/ynl: fix cli.py --subscribe feature (bsc#1012628).
  - xen: privcmd: Fix possible access to a freed kirqfd instance
    (bsc#1012628).
  - firmware: cs_dsp: Don't allow writes to read-only controls
    (bsc#1012628).
  - phy: zynqmp: Take the phy mutex in xlate (bsc#1012628).
  - ASoC: topology: Properly initialize soc_enum values
    (bsc#1012628).
  - dm init: Handle minors larger than 255 (bsc#1012628).
  - cxl/region: Fix a race condition in memory hotplug notifier
    (bsc#1012628).
  - iommu/vt-d: Handle volatile descriptor status read
    (bsc#1012628).
  - iommu/vt-d: Remove control over Execute-Requested requests
    (bsc#1012628).
  - block: don't call bio_uninit from bio_endio (bsc#1012628).
  - cgroup: Protect css->cgroup write under css_set_lock
    (bsc#1012628).
  - um: line: always fill *error_out in setup_one_line()
    (bsc#1012628).
  - devres: Initialize an uninitialized struct member (bsc#1012628).
  - pci/hotplug/pnv_php: Fix hotplug driver crash on Powernv
    (bsc#1012628).
  - virtio_ring: fix KMSAN error for premapped mode (bsc#1012628).
  - wifi: rtw88: usb: schedule rx work after everything is set up
    (bsc#1012628).
  - scsi: ufs: core: Remove SCSI host only if added (bsc#1012628).
  - scsi: pm80xx: Set phy->enable_completion only when we wait
    for it (bsc#1012628).
  - scsi: lpfc: Handle mailbox timeouts in lpfc_get_sfp_info
    (bsc#1012628).
  - crypto: qat - fix unintentional re-enabling of error interrupts
    (bsc#1012628).
  - tracing/kprobes: Add symbol counting check when module loads
    (bsc#1012628).
  - hwmon: (adc128d818) Fix underflows seen when writing limit
    attributes (bsc#1012628).
  - hwmon: (lm95234) Fix underflows seen when writing limit
    attributes (bsc#1012628).
  - hwmon: (nct6775-core) Fix underflows seen when writing limit
    attributes (bsc#1012628).
  - hwmon: (w83627ehf) Fix underflows seen when writing limit
    attributes (bsc#1012628).
  - ASoc: TAS2781: replace beXX_to_cpup with get_unaligned_beXX
    for potentially broken alignment (bsc#1012628).
  - libbpf: Add NULL checks to bpf_object__{prev_map,next_map}
    (bsc#1012628).
  - drm/amdgpu: Set no_hw_access when VF request full GPU fails
    (bsc#1012628).
  - ext4: fix possible tid_t sequence overflows (bsc#1012628).
  - jbd2: avoid mount failed when commit block is partial submitted
    (bsc#1012628).
  - dma-mapping: benchmark: Don't starve others when doing the test
    (bsc#1012628).
  - wifi: mwifiex: Do not return unused priv in
    mwifiex_get_priv_by_id() (bsc#1012628).
  - perf/x86/intel: Hide Topdown metrics events if the feature is
    not enumerated (bsc#1012628).
  - PCI: qcom: Override NO_SNOOP attribute for SA8775P RC
    (bsc#1012628).
  - staging: vchiq_core: Bubble up wait_event_interruptible()
    return value (bsc#1012628).
  - iommufd: Require drivers to supply the cache_invalidate_user
    ops (bsc#1012628).
  - bpf: Remove tst_run from lwt_seg6local_prog_ops (bsc#1012628).
  - watchdog: imx7ulp_wdt: keep already running watchdog enabled
    (bsc#1012628).
  - drm/amdgpu: reject gang submit on reserved VMIDs (bsc#1012628).
  - smp: Add missing destroy_work_on_stack() call in
    smp_call_on_cpu() (bsc#1012628).
  - fs/ntfs3: Check more cases when directory is corrupted
    (bsc#1012628).
  - btrfs: slightly loosen the requirement for qgroup removal
    (bsc#1012628).
  - btrfs: don't BUG_ON on ENOMEM from btrfs_lookup_extent_info()
    in walk_down_proc() (bsc#1012628).
  - btrfs: replace BUG_ON with ASSERT in walk_down_proc()
    (bsc#1012628).
  - btrfs: clean up our handling of refs == 0 in snapshot delete
    (bsc#1012628).
  - btrfs: handle errors from btrfs_dec_ref() properly
    (bsc#1012628).
  - btrfs: replace BUG_ON() with error handling at
    update_ref_for_cow() (bsc#1012628).
  - btrfs: don't BUG_ON() when 0 reference count at
    btrfs_lookup_extent_info() (bsc#1012628).
  - ethtool: fail closed if we can't get max channel used in
    indirection tables (bsc#1012628).
  - cxl/region: Verify target positions using the ordered target
    list (bsc#1012628).
  - riscv: set trap vector earlier (bsc#1012628).
  - PCI: Add missing bridge lock to pci_bus_lock() (bsc#1012628).
  - tcp: Don't drop SYN+ACK for simultaneous connect()
    (bsc#1012628).
  - Bluetooth: btnxpuart: Fix Null pointer dereference in
    btnxpuart_flush() (bsc#1012628).
  - net: dpaa: avoid on-stack arrays of NR_CPUS elements
    (bsc#1012628).
  - drm/amdgpu: add mutex to protect ras shared memory
    (bsc#1012628).
  - LoongArch: Use correct API to map cmdline in relocate_kernel()
    (bsc#1012628).
  - regmap: maple: work around gcc-14.1 false-positive warning
    (bsc#1012628).
  - s390/boot: Do not assume the decompressor range is reserved
    (bsc#1012628).
  - cachefiles: Set the max subreq size for cache writes to
    MAX_RW_COUNT (bsc#1012628).
  - vfs: Fix potential circular locking through setxattr() and
    removexattr() (bsc#1012628).
  - i3c: master: svc: resend target address when get NACK
    (bsc#1012628).
  - i3c: mipi-i3c-hci: Error out instead on BUG_ON() in IBI DMA
    setup (bsc#1012628).
  - kselftests: dmabuf-heaps: Ensure the driver name is
    null-terminated (bsc#1012628).
  - spi: hisi-kunpeng: Add verification for the max_frequency
    provided by the firmware (bsc#1012628).
  - btrfs: initialize location to fix -Wmaybe-uninitialized in
    btrfs_lookup_dentry() (bsc#1012628).
  - s390/vmlinux.lds.S: Move ro_after_init section behind rodata
    section (bsc#1012628).
  - HID: cougar: fix slab-out-of-bounds Read in cougar_report_fixup
    (bsc#1012628).
  - HID: amd_sfh: free driver_data after destroying hid device
    (bsc#1012628).
  - Input: uinput - reject requests with unreasonable number of
    slots (bsc#1012628).
  - usbnet: ipheth: race between ipheth_close and error handling
    (bsc#1012628).
  - Squashfs: sanity check symbolic link size (bsc#1012628).
  - of/irq: Prevent device address out-of-bounds read in interrupt
    map walk (bsc#1012628).
  - lib/generic-radix-tree.c: Fix rare race in
    __genradix_ptr_alloc() (bsc#1012628).
  - net: hns3: void array out of bound when loop tnl_num
    (bsc#1012628).
  - kunit/overflow: Fix UB in overflow_allocation_test
    (bsc#1012628).
  - MIPS: cevt-r4k: Don't call get_c0_compare_int if timer irq is
    installed (bsc#1012628).
  - spi: spi-fsl-lpspi: limit PRESCALE bit in TCR register
    (bsc#1012628).
  - ata: pata_macio: Use WARN instead of BUG (bsc#1012628).
  - smb/server: fix potential null-ptr-deref of lease_ctx_info in
    smb2_open() (bsc#1012628).
  - NFSv4: Add missing rescheduling points in
    nfs_client_return_marked_delegations (bsc#1012628).
  - drm/amdgpu: Fix two reset triggered in a row (bsc#1012628).
  - drm/amdgpu: Add reset_context flag for host FLR (bsc#1012628).
  - drm/amdgpu: Fix amdgpu_device_reset_sriov retry logic
    (bsc#1012628).
  - fs: only copy to userspace on success in listmount()
    (bsc#1012628).
  - tcp: process the 3rd ACK with sk_socket for TFO/MPTCP
    (bsc#1012628).
  - staging: iio: frequency: ad9834: Validate frequency parameter
    value (bsc#1012628).
  - iio: buffer-dmaengine: fix releasing dma channel on error
    (bsc#1012628).
  - iio: fix scale application in
    iio_convert_raw_to_processed_unlocked (bsc#1012628).
  - iio: imu: inv_mpu6050: fix interrupt status read for old buggy
    chips (bsc#1012628).
  - iio: adc: ad7124: fix config comparison (bsc#1012628).
  - iio: adc: ad7606: remove frstdata check for serial mode
    (bsc#1012628).
  - iio: adc: ad_sigma_delta: fix irq_flags on irq request
    (bsc#1012628).
  - iio: adc: ad7124: fix chip ID mismatch (bsc#1012628).
  - iio: adc: ad7124: fix DT configuration parsing (bsc#1012628).
  - usb: dwc3: core: update LC timer as per USB Spec V3.2
    (bsc#1012628).
  - usb: cdns2: Fix controller reset issue (bsc#1012628).
  - usb: dwc3: Avoid waking up gadget during startxfer
    (bsc#1012628).
  - usb: typec: ucsi: Fix the partner PD revision (bsc#1012628).
  - misc: fastrpc: Fix double free of 'buf' in error path
    (bsc#1012628).
  - binder: fix UAF caused by offsets overwrite (bsc#1012628).
  - dt-bindings: nvmem: Use soc-nvmem node name instead of nvmem
    (bsc#1012628).
  - nvmem: u-boot-env: error if NVMEM device is too small
    (bsc#1012628).
  - nvmem: Fix return type of devm_nvmem_device_get() in kerneldoc
    (bsc#1012628).
  - uio_hv_generic: Fix kernel NULL pointer dereference in
    hv_uio_rescind (bsc#1012628).
  - Drivers: hv: vmbus: Fix rescind handling in uio_hv_generic
    (bsc#1012628).
  - VMCI: Fix use-after-free when removing resource in
    vmci_resource_remove() (bsc#1012628).
  - clocksource/drivers/imx-tpm: Fix return -ETIME when delta
    exceeds INT_MAX (bsc#1012628).
  - clocksource/drivers/imx-tpm: Fix next event not taking effect
    sometime (bsc#1012628).
  - clocksource/drivers/timer-of: Remove percpu irq related code
    (bsc#1012628).
  - uprobes: Use kzalloc to allocate xol area (bsc#1012628).
  - perf/aux: Fix AUX buffer serialization (bsc#1012628).
  - mm: zswap: rename is_zswap_enabled() to zswap_is_enabled()
    (bsc#1012628).
  - mm/memcontrol: respect zswap.writeback setting from parent cg
    too (bsc#1012628).
  - workqueue: wq_watchdog_touch is always called with valid CPU
    (bsc#1012628).
  - workqueue: Improve scalability of workqueue watchdog touch
    (bsc#1012628).
  - path: add cleanup helper (bsc#1012628).
  - fs: simplify error handling (bsc#1012628).
  - fs: relax permissions for listmount() (bsc#1012628).
  - ACPI: processor: Return an error if acpi_processor_get_info()
    fails in processor_add() (bsc#1012628).
  - ACPI: processor: Fix memory leaks in error paths of
    processor_add() (bsc#1012628).
  - arm64: acpi: Move get_cpu_for_acpi_id() to a header
    (bsc#1012628).
  - arm64: acpi: Harden get_cpu_for_acpi_id() against missing CPU
    entry (bsc#1012628).
  - can: mcp251xfd: mcp251xfd_handle_rxif_ring_uinc(): factor out
    in separate function (bsc#1012628).
  - can: mcp251xfd: rx: prepare to workaround broken RX FIFO head
    index erratum (bsc#1012628).
  - can: mcp251xfd: clarify the meaning of timestamp (bsc#1012628).
  - can: mcp251xfd: rx: add workaround for erratum DS80000789E 6
    of mcp2518fd (bsc#1012628).
  - drm/amd: Add gfx12 swizzle mode defs (bsc#1012628).
  - drm/amdgpu: handle gfx12 in amdgpu_display_verify_sizes
    (bsc#1012628).
  - ata: libata-scsi: Remove redundant sense_buffer memsets
    (bsc#1012628).
  - ata: libata-scsi: Check ATA_QCFLAG_RTF_FILLED before using
    result_tf (bsc#1012628).
  - crypto: starfive - Align rsa input data to 32-bit (bsc#1012628).
  - crypto: starfive - Fix nent assignment in rsa dec (bsc#1012628).
  - hid: bpf: add BPF_JIT dependency (bsc#1012628).
  - net/mlx5e: SHAMPO, Use KSMs instead of KLMs (bsc#1012628).
  - net/mlx5e: SHAMPO, Fix page leak (bsc#1012628).
  - drm/xe/xe2: Add workaround 14021402888 (bsc#1012628).
  - drm/xe/xe2lpg: Extend workaround 14021402888 (bsc#1012628).
  - clk: qcom: gcc-x1e80100: Fix USB 0 and 1 PHY GDSC pwrsts flags
    (bsc#1012628).
  - clk: qcom: ipq9574: Update the alpha PLL type for GPLLs
    (bsc#1012628).
  - powerpc/64e: remove unused IBM HTW code (bsc#1012628).
  - powerpc/64e: split out nohash Book3E 64-bit code (bsc#1012628).
  - powerpc/64e: Define mmu_pte_psize static (bsc#1012628).
  - powerpc/vdso: Don't discard rela sections (bsc#1012628).
  - ASoC: tegra: Fix CBB error during probe() (bsc#1012628).
  - nvmet-tcp: fix kernel crash if commands allocation fails
    (bsc#1012628).
  - nvme-pci: allocate tagset on reset if necessary (bsc#1012628).
  - clk: qcom: gcc-x1e80100: Don't use parking clk_ops for QUPs
    (bsc#1012628).
  - ASoc: SOF: topology: Clear SOF link platform name upon unload
    (bsc#1012628).
  - riscv: selftests: Remove mmap hint address checks (bsc#1012628).
  - riscv: mm: Do not restrict mmap address based on hint
    (bsc#1012628).
  - ASoC: sunxi: sun4i-i2s: fix LRCLK polarity in i2s mode
    (bsc#1012628).
  - clk: qcom: gcc-sm8550: Don't use parking clk_ops for QUPs
    (bsc#1012628).
  - clk: qcom: gcc-sm8550: Don't park the USB RCG at registration
    time (bsc#1012628).
  - nouveau: fix the fwsec sb verification register (bsc#1012628).
  - drm/i915/fence: Mark debug_fence_init_onstack() with
    __maybe_unused (bsc#1012628).
  - drm/i915/fence: Mark debug_fence_free() with __maybe_unused
    (bsc#1012628).
  - gpio: rockchip: fix OF node leak in probe() (bsc#1012628).
  - gpio: modepin: Enable module autoloading (bsc#1012628).
  - smb: client: fix double put of @cfile in smb2_rename_path()
    (bsc#1012628).
  - riscv: Fix toolchain vector detection (bsc#1012628).
  - riscv: Do not restrict memory size because of linear mapping
    on nommu (bsc#1012628).
  - riscv: Add tracepoints for SBI calls and returns (bsc#1012628).
  - riscv: Improve sbi_ecall() code generation by reordering
    arguments (bsc#1012628).
  - riscv: Fix RISCV_ALTERNATIVE_EARLY (bsc#1012628).
  - cifs: Fix zero_point init on inode initialisation (bsc#1012628).
  - cifs: Fix SMB1 readv/writev callback in the same way as SMB2/3
    (bsc#1012628).
  - nvme: rename nvme_sc_to_pr_err to nvme_status_to_pr_err
    (bsc#1012628).
  - nvme: fix status magic numbers (bsc#1012628).
  - nvme: rename CDR/MORE/DNR to NVME_STATUS_* (bsc#1012628).
  - nvmet: Identify-Active Namespace ID List command should reject
    invalid nsid (bsc#1012628).
  - ublk_drv: fix NULL pointer dereference in
    ublk_ctrl_start_recovery() (bsc#1012628).
  - x86/mm: Fix PTI for i386 some more (bsc#1012628).
  - drm/i915/display: Add mechanism to use sink model when applying
    quirk (bsc#1012628).
  - drm/i915/display: Increase Fast Wake Sync length as a quirk
    (bsc#1012628).
  - btrfs: fix race between direct IO write and fsync when using
    same fd (bsc#1012628).
  - spi: spi-fsl-lpspi: Fix off-by-one in prescale max
    (bsc#1012628).
  - LoongArch: Use accessors to page table entries instead of
    direct dereference (bsc#1012628).
  - Rename to
    patches.kernel.org/6.10.10-087-drm-amdgpu-always-allocate-cleared-VRAM-for-G.patch.
  - commit 0ffd3ab
* Fri Sep 13 2024 jslaby@suse.cz
  - Refresh
    patches.suse/drm-amdgpu-always-allocate-cleared-VRAM-for-GEM-allo.patch.
    Update upstream status.
  - commit 800d194
* Tue Sep 10 2024 tiwai@suse.de
  - soundwire: stream: Revert "soundwire: stream: fix programming
    slave ports for non-continous port maps" (bsc#1230350).
  - commit e9c5fe9
* Mon Sep 09 2024 mkubecek@suse.cz
  - update to 6.11-rc7
  - refresh configs
  - commit 5661bfe
* Sun Sep 08 2024 jslaby@suse.cz
  - Linux 6.10.9 (bsc#1012628).
  - i2c: Use IS_REACHABLE() for substituting empty ACPI functions
    (bsc#1012628).
  - drm/amdgpu: remove redundant semicolons in RAS_EVENT_LOG
    (bsc#1012628).
  - mm: Fix filemap_invalidate_inode() to use
    invalidate_inode_pages2_range() (bsc#1012628).
  - pinctrl: core: reset gpio_device in loop in pinctrl_pins_show()
    (bsc#1012628).
  - media: uvcvideo: Enforce alignment of frame and interval
    (bsc#1012628).
  - crypto: ecc - Fix off-by-one missing to clear most significant
    digit (bsc#1012628).
  - drm/amd/display: Skip wbscl_set_scaler_filter if filter is null
    (bsc#1012628).
  - drm/amd/display: Check BIOS images before it is used
    (bsc#1012628).
  - drm/amdkfd: use mode1 reset for RAS poison consumption
    (bsc#1012628).
  - drm/amdgpu: set RAS fed status for more cases (bsc#1012628).
  - drm/amd/display: Avoid overflow from uint32_t to uint8_t
    (bsc#1012628).
  - drm/amd/display: Disable DMCUB timeout for DCN35 (bsc#1012628).
  - drm/amd/display: use preferred link settings for dp signal only
    (bsc#1012628).
  - drm/amd/display: Remove register from DCN35 DMCUB diagnostic
    collection (bsc#1012628).
  - drm/amd/display: Correct the defined value for
    AMDGPU_DMUB_NOTIFICATION_MAX (bsc#1012628).
  - drm/amd/display: added NULL check at start of dc_validate_stream
    (bsc#1012628).
  - drm/amd/display: Don't use fsleep for PSR exit waits on dmub
    replay (bsc#1012628).
  - drm/amdgpu: fix overflowed constant warning in
    mmhub_set_clockgating() (bsc#1012628).
  - drm/amdgpu: add lock in kfd_process_dequeue_from_device
    (bsc#1012628).
  - drm/amdgpu: add lock in amdgpu_gart_invalidate_tlb
    (bsc#1012628).
  - drm/amdgpu: add skip_hw_access checks for sriov (bsc#1012628).
  - block: remove the blk_flush_integrity call in
    blk_integrity_unregister (bsc#1012628).
  - driver: iio: add missing checks on iio_info's callback access
    (bsc#1012628).
  - drm/xe: Add GuC state asserts to deregister_exec_queue
    (bsc#1012628).
  - f2fs: fix to do sanity check on blocks for inline_data inode
    (bsc#1012628).
  - wifi: cfg80211: make hash table duplicates more survivable
    (bsc#1012628).
  - hwmon: (k10temp) Check return value of amd_smn_read()
    (bsc#1012628).
  - thermal: trip: Use READ_ONCE() for lockless access to trip
    properties (bsc#1012628).
  - dmaengine: altera-msgdma: properly free descriptor in
    msgdma_free_descriptor (bsc#1012628).
  - dmaengine: altera-msgdma: use irq variant of spin_lock/unlock
    while invoking callbacks (bsc#1012628).
  - pinctrl: renesas: rzg2l: Validate power registers for SD and
    ETH (bsc#1012628).
  - drm/xe: Check valid domain is passed in xe_force_wake_ref
    (bsc#1012628).
  - drm/xe: Ensure caller uses sole domain for
    xe_force_wake_assert_held (bsc#1012628).
  - drm/bridge: tc358767: Check if fully initialized before
    signalling HPD event via IRQ (bsc#1012628).
  - net: tcp/dccp: prepare for tw_timer un-pinning (bsc#1012628).
  - RAS/AMD/ATL: Validate address map when information is gathered
    (bsc#1012628).
  - gfs2: Revert "Add quota_change type" (bsc#1012628).
  - crypto: stm32/cryp - call finalize with bh disabled
    (bsc#1012628).
  - drm/meson: plane: Add error handling (bsc#1012628).
  - net/mlx5e: SHAMPO, Fix incorrect page release (bsc#1012628).
  - platform/chrome: cros_ec_lpc: MEC access can use an AML mutex
    (bsc#1012628).
  - smack: tcp: ipv4, fix incorrect labeling (bsc#1012628).
  - regmap: spi: Fix potential off-by-one when calculating reserved
    size (bsc#1012628).
  - drm/xe: Don't overmap identity VRAM mapping (bsc#1012628).
  - drm/amdgu: fix Unintentional integer overflow for mall size
    (bsc#1012628).
  - tcp: annotate data-races around tw->tw_ts_recent and
    tw->tw_ts_recent_stamp (bsc#1012628).
  - net: remove NULL-pointer net parameter in ip_metrics_convert
    (bsc#1012628).
  - fsnotify: clear PARENT_WATCHED flags lazily (bsc#1012628).
  - drm/xe: Use missing lock in relay_needs_worker (bsc#1012628).
  - usb: typec: ucsi: Fix null pointer dereference in trace
    (bsc#1012628).
  - usbip: Don't submit special requests twice (bsc#1012628).
  - media: v4l2-cci: Always assign *val (bsc#1012628).
  - rcu/nocb: Remove buggy bypass lock contention mitigation
    (bsc#1012628).
  - pwm: xilinx: Fix u32 overflow issue in 32-bit width PWM mode
    (bsc#1012628).
  - ionic: fix potential irq name truncation (bsc#1012628).
  - RDMA/efa: Properly handle unexpected AQ completions
    (bsc#1012628).
  - remoteproc: qcom_q6v5_pas: Add hwspinlock bust on stop
    (bsc#1012628).
  - soc: qcom: smem: Add qcom_smem_bust_hwspin_lock_by_host()
    (bsc#1012628).
  - hwspinlock: Introduce hwspin_lock_bust() (bsc#1012628).
  - wifi: mac80211: check ieee80211_bss_info_change_notify()
    against MLD (bsc#1012628).
  - wifi: iwlwifi: mvm: use only beacon BSS load for active links
    (bsc#1012628).
  - remoteproc: mediatek: Zero out only remaining bytes of IPI
    buffer (bsc#1012628).
  - PCI: al: Check IORESOURCE_BUS existence during probe
    (bsc#1012628).
  - cpufreq: scmi: Avoid overflow of target_freq in fast switch
    (bsc#1012628).
  - wifi: cfg80211: restrict operation during radar detection
    (bsc#1012628).
  - wifi: iwlwifi: remove fw_running op (bsc#1012628).
  - drm/amdgpu: update type of buf size to u32 for eeprom functions
    (bsc#1012628).
  - drm/amdgpu: Queue KFD reset workitem in VF FED (bsc#1012628).
  - drm/amd/display: Fix pipe addition logic in
    calc_blocks_to_ungate DCN35 (bsc#1012628).
  - drm/amdgpu: fix compiler 'side-effect' check issue for
    RAS_EVENT_LOG() (bsc#1012628).
  - drm/kfd: Correct pinned buffer handling at kfd restore and
    validate process (bsc#1012628).
  - drm/xe: Fix the warning conditions (bsc#1012628).
  - wifi: rtw89: ser: avoid multiple deinit on same CAM
    (bsc#1012628).
  - drm/amd/pm: check negtive return for table entries
    (bsc#1012628).
  - drm/amdgpu: fix the warning bad bit shift operation for
    aca_error_type type (bsc#1012628).
  - drm/amdgpu: the warning dereferencing obj for nbio_v7_4
    (bsc#1012628).
  - drm/amd/pm: check specific index for smu13 (bsc#1012628).
  - drm/amd/pm: check specific index for aldebaran (bsc#1012628).
  - drm/amdgpu: fix the waring dereferencing hive (bsc#1012628).
  - drm/amdgpu: fix dereference after null check (bsc#1012628).
  - drm/amdgpu: Fix the warning division or modulo by zero
    (bsc#1012628).
  - drm/amdgpu/vcn: remove irq disabling in vcn 5 suspend
    (bsc#1012628).
  - drm/amdgpu/pm: Check input value for power profile setting on
    smu11, smu13 and smu14 (bsc#1012628).
  - drm/amdgpu/pm: Check input value for CUSTOM profile mode
    setting on legacy SOCs (bsc#1012628).
  - wifi: ath12k: initialize 'ret' in
    ath12k_dp_rxdma_ring_sel_config_wcn7850() (bsc#1012628).
  - wifi: ath11k: initialize 'ret' in
    ath11k_qmi_load_file_target_mem() (bsc#1012628).
  - wifi: ath12k: initialize 'ret' in
    ath12k_qmi_load_file_target_mem() (bsc#1012628).
  - apparmor: fix possible NULL pointer dereference (bsc#1012628).
  - drm/xe/hwmon: Remove unwanted write permission for currN_label
    (bsc#1012628).
  - drm/amdkfd: Reconcile the definition and use of oem_id in
    struct kfd_topology_device (bsc#1012628).
  - drm/amdgpu: fix mc_data out-of-bounds read warning
    (bsc#1012628).
  - drm/amdgpu: fix ucode out-of-bounds read warning (bsc#1012628).
  - drm/amdgpu: Fix uninitialized variable warning in
    amdgpu_info_ioctl (bsc#1012628).
  - drm/amdgpu: Fix out-of-bounds read of df_v1_7_channel_number
    (bsc#1012628).
  - drm/amdgpu: fix uninitialized variable warning for jpeg_v4
    (bsc#1012628).
  - drm/amdgpu: fix uninitialized variable warning for amdgpu_xgmi
    (bsc#1012628).
  - drm/amdkfd: Check debug trap enable before write dbg_ev_file
    (bsc#1012628).
  - drm/amdgpu: Fix out-of-bounds write warning (bsc#1012628).
  - drm/amdgpu: Fix the uninitialized variable warning
    (bsc#1012628).
  - drm/amdgpu/pm: Fix uninitialized variable agc_btc_response
    (bsc#1012628).
  - drm/amdgpu/pm: Fix uninitialized variable warning for smu10
    (bsc#1012628).
  - drm/amd/pm: fix uninitialized variable warnings for vangogh_ppt
    (bsc#1012628).
  - drm/drm-bridge: Drop conditionals around of_node pointers
    (bsc#1012628).
  - drm/xe: Demote CCS_MODE info to debug only (bsc#1012628).
  - drm/amd/amdgpu: Check tbo resource pointer (bsc#1012628).
  - drm/amd/display: Fix index may exceed array range within
    fpu_update_bw_bounding_box (bsc#1012628).
  - drm/amd/display: Fix writeback job lock evasion within
    dm_crtc_high_irq (bsc#1012628).
  - drm/amd/display: Skip inactive planes within
    ModeSupportAndSystemConfiguration (bsc#1012628).
  - drm/amd/display: Ensure index calculation will not overflow
    (bsc#1012628).
  - drm/amd/display: Fix Coverity INTEGER_OVERFLOW within
    decide_fallback_link_setting_max_bw_policy (bsc#1012628).
  - drm/amd/display: Spinlock before reading event (bsc#1012628).
  - drm/amd/display: Release clck_src memory if clk_src_construct
    fails (bsc#1012628).
  - drm/amd/display: Fix Coverity INTEGER_OVERFLOW within
    dal_gpio_service_create (bsc#1012628).
  - drm/amd/display: Fix Coverity INTERGER_OVERFLOW within
    construct_integrated_info (bsc#1012628).
  - drm/amd/display: Add otg_master NULL check within
    resource_log_pipe_topology_update (bsc#1012628).
  - drm/amd/display: Check link_index before accessing dc->links[]
    (bsc#1012628).
  - drm/amd/display: Release state memory if
    amdgpu_dm_create_color_properties fail (bsc#1012628).
  - drm/amd/display: Check msg_id before processing transcation
    (bsc#1012628).
  - drm/amd/display: Check num_valid_sets before accessing
    reader_wm_sets[] (bsc#1012628).
  - drm/amd/display: Add array index check for hdcp ddc access
    (bsc#1012628).
  - drm/amd/display: Add missing NULL pointer check within
    dpcd_extend_address_range (bsc#1012628).
  - drm/amd/display: Check index for aux_rd_interval before using
    (bsc#1012628).
  - drm/amd/display: Fix incorrect size calculation for loop
    (bsc#1012628).
  - drm/amd/display: Stop amdgpu_dm initialize when link nums
    greater than max_links (bsc#1012628).
  - drm/amd/display: Stop amdgpu_dm initialize when stream nums
    greater than 6 (bsc#1012628).
  - drm/amd/display: Check gpio_id before used as array index
    (bsc#1012628).
  - drm/amd/display: Ensure array index tg_inst won't be -1
    (bsc#1012628).
  - drm/amdgpu: avoid reading vf2pf info size from FB (bsc#1012628).
  - drm/xe/gt: Fix assert in L3 bank mask generation (bsc#1012628).
  - drm/amd/pm: fix uninitialized variable warnings for vega10_hwmgr
    (bsc#1012628).
  - drm/amdgpu: fix uninitialized scalar variable warning
    (bsc#1012628).
  - drm/amd/pm: fix the Out-of-bounds read warning (bsc#1012628).
  - drm/amd/pm: fix uninitialized variable warning for smu_v13
    (bsc#1012628).
  - drm/amd/pm: Fix negative array index read (bsc#1012628).
  - drm/amd/pm: fix warning using uninitialized value of
    max_vid_step (bsc#1012628).
  - drm/amd/pm: fix uninitialized variable warning for smu8_hwmgr
    (bsc#1012628).
  - drm/amd/pm: fix uninitialized variable warning (bsc#1012628).
  - drm/amdgpu/pm: Check the return value of smum_send_msg_to_smc
    (bsc#1012628).
  - drm/amdgpu: fix overflowed array index read warning
    (bsc#1012628).
  - drm/amdgpu: Handle sg size limit for contiguous allocation
    (bsc#1012628).
  - drm/amd/display: Assign linear_pitch_alignment even for VM
    (bsc#1012628).
  - drm/amd/display: Handle the case which quad_part is equal 0
    (bsc#1012628).
  - Revert "drm/amd/display: Fix incorrect pointer assignment"
    (bsc#1012628).
  - drm/amdgpu: Fix uninitialized variable warning in
    amdgpu_afmt_acr (bsc#1012628).
  - selftests: mptcp: join: test for flush/re-add endpoints
    (bsc#1012628).
  - selftests: mptcp: join: check re-re-adding ID 0 signal
    (bsc#1012628).
  - selftests: mptcp: join: validate event numbers (bsc#1012628).
  - selftests: mptcp: join: check re-adding init endp with != id
    (bsc#1012628).
  - selftests: mptcp: join: check re-using ID of unused ADD_ADDR
    (bsc#1012628).
  - selftests: mptcp: add explicit test case for remove/readd
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100-qcp: fix missing PCIe4 gpios
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100-qcp: fix up PCIe6a pinctrl node
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100-crd: fix missing PCIe4 gpios
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100-crd: fix up PCIe6a pinctrl node
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100: Describe the PCIe 6a resources
    (bsc#1012628).
  - ASoC: codecs: ES8326: button detect issue (bsc#1012628).
  - ASoC: amd: yc: Support mic on Lenovo Thinkpad E14 Gen 6
    (bsc#1012628).
  - net: usb: qmi_wwan: add MeiG Smart SRM825L (bsc#1012628).
  - dma-debug: avoid deadlock between dma debug vs printk and
    netconsole (bsc#1012628).
  - virtio-net: check feature before configuring the vq coalescing
    command (bsc#1012628).
  - i2c: Fix conditional for substituting empty ACPI functions
    (bsc#1012628).
  - btrfs: fix qgroup reserve leaks in cow_file_range (bsc#1012628).
  - btrfs: scrub: update last_physical after scrubbing one stripe
    (bsc#1012628).
  - btrfs: factor out stripe length calculation into a helper
    (bsc#1012628).
  - platform/x86/amd/pmf: Add new ACPI ID AMDI0107 (bsc#1012628).
  - platform/x86/amd: pmf: Add quirk for ROG Ally X (bsc#1012628).
  - spi: hisi-kunpeng: Add validation for the minimum value of
    speed_hz (bsc#1012628).
  - ASoC: amd: yc: Support mic on HP 14-em0002la (bsc#1012628).
  - smb: client: fix FSCTL_GET_REPARSE_POINT against NetApp
    (bsc#1012628).
  - net/mlx5: DR, Fix 'stack guard page was hit' error in dr_rule
    (bsc#1012628).
  - ALSA: seq: ump: Explicitly reset RPN with Null RPN
    (bsc#1012628).
  - ALSA: seq: ump: Transmit RPN/NRPN message at each MSB/LSB data
    reception (bsc#1012628).
  - ALSA: seq: ump: Use the common RPN/bank conversion context
    (bsc#1012628).
  - ALSA: ump: Explicitly reset RPN with Null RPN (bsc#1012628).
  - ALSA: ump: Transmit RPN/NRPN message at each MSB/LSB data
    reception (bsc#1012628).
  - x86/CPU/AMD: Add models 0x60-0x6f to the Zen5 range
    (bsc#1012628).
  - ALSA: hda/conexant: Mute speakers at suspend / shutdown
    (bsc#1012628).
  - ALSA: hda/generic: Add a helper to mute speakers at
    suspend/shutdown (bsc#1012628).
  - btrfs: tree-checker: validate dref root and objectid
    (bsc#1012628).
  - scsi: ufs: core: Bypass quick recovery if force reset is needed
    (bsc#1012628).
  - scsi: ufs: core: Check LSDBS cap when !mcq (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirk for OrangePi Neo
    (bsc#1012628).
  - drm/fb-helper: Don't schedule_work() to flush frame buffer
    during panic() (bsc#1012628).
  - commit 6bc4b4e
* Sat Sep 07 2024 tiwai@suse.de
  - ALSA: hda: Enhance pm_blacklist option (bsc#1226449
    bsc#1230132).
  - ALSA: hda: Keep PM disablement for deny-listed instance
    (bsc#1226449 bsc#1230132).
  - ALSA: hda: Enhance pm_blacklist option (bsc#1226449
    bsc#1230132).
  - ALSA: hda: Keep PM disablement for deny-listed instance
    (bsc#1226449 bsc#1230132).
  - commit e30ed12
* Fri Sep 06 2024 jslaby@suse.cz
  - scsi: ufs: qcom: Add UFSHCD_QUIRK_BROKEN_LSDBS_CAP for SM8550
    SoC (git-fixes).
  - commit 4a4931d
* Thu Sep 05 2024 jslaby@suse.cz
  - Linux 6.10.8 (bsc#1012628).
  - drm/amdgpu/mes: fix mes ring buffer overflow (bsc#1012628).
  - erofs: fix out-of-bound access when z_erofs_gbuf_growsize()
    partially fails (bsc#1012628).
  - ALSA: seq: Skip event type filtering for UMP events
    (bsc#1012628).
  - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Laptop
    14-ey0xxx (bsc#1012628).
  - ALSA: hda/realtek: support HP Pavilion Aero 13-bg0xxx Mute LED
    (bsc#1012628).
  - LoongArch: Remove the unused dma-direct.h (bsc#1012628).
  - LoongArch: Add ifdefs to fix LSX and LASX related warnings
    (bsc#1012628).
  - tpm: ibmvtpm: Call tpm2_sessions_init() to initialize session
    support (bsc#1012628).
  - btrfs: fix a use-after-free when hitting errors inside
    btrfs_submit_chunk() (bsc#1012628).
  - btrfs: run delayed iputs when flushing delalloc (bsc#1012628).
  - smb/client: avoid dereferencing rdata=NULL in
    smb2_new_read_req() (bsc#1012628).
  - pinctrl: rockchip: correct RK3328 iomux width flag for GPIO2-B
    pins (bsc#1012628).
  - pinctrl: single: fix potential NULL dereference in
    pcs_get_function() (bsc#1012628).
  - netfs, ceph: Partially revert "netfs: Replace PG_fscache by
    setting folio->private and marking dirty" (bsc#1012628).
  - wifi: wfx: repair open network AP mode (bsc#1012628).
  - wifi: mwifiex: duplicate static structs used in driver instances
    (bsc#1012628).
  - net: mana: Fix race of mana_hwc_post_rx_wqe and new hwc response
    (bsc#1012628).
  - mptcp: close subflow when receiving TCP+FIN (bsc#1012628).
  - mptcp: sched: check both backup in retrans (bsc#1012628).
  - mptcp: pr_debug: add missing \n at the end (bsc#1012628).
  - mptcp: pm: reuse ID 0 after delete and re-add (bsc#1012628).
  - mptcp: pm: skip connecting to already established sf
    (bsc#1012628).
  - mptcp: pm: reset MPC endp ID when re-added (bsc#1012628).
  - mptcp: pm: send ACK on an active subflow (bsc#1012628).
  - mptcp: pm: fix RM_ADDR ID for the initial subflow (bsc#1012628).
  - mptcp: pm: do not remove already closed subflows (bsc#1012628).
  - mptcp: pm: fix ID 0 endp usage after multiple re-creations
    (bsc#1012628).
  - mptcp: avoid duplicated SUB_CLOSED events (bsc#1012628).
  - mptcp: pm: ADD_ADDR 0 is not a new address (bsc#1012628).
  - selftests: mptcp: join: cannot rm sf if closed (bsc#1012628).
  - selftests: mptcp: join: check removing ID 0 endpoint
    (bsc#1012628).
  - selftests: mptcp: join: no extra msg if no counter
    (bsc#1012628).
  - selftests: mptcp: join: check re-re-adding ID 0 endp
    (bsc#1012628).
  - binfmt_elf_fdpic: fix AUXV size calculation when ELF_HWCAP2
    is defined (bsc#1012628).
  - drm/v3d: Disable preemption while updating GPU stats
    (bsc#1012628).
  - drm/i915/dsi: Make Lenovo Yoga Tab 3 X90F DMI match less strict
    (bsc#1012628).
  - drm/i915/dp_mst: Fix MST state after a sink reset (bsc#1012628).
  - drm/amdgpu: align pp_power_profile_mode with kernel docs
    (bsc#1012628).
  - drm/amdgpu/swsmu: always force a state reprogram on init
    (bsc#1012628).
  - drm/vmwgfx: Prevent unmapping active read buffers (bsc#1012628).
  - drm/vmwgfx: Fix prime with external buffers (bsc#1012628).
  - video/aperture: optionally match the device in sysfb_disable()
    (bsc#1012628).
  - drm/xe: Prepare display for D3Cold (bsc#1012628).
  - drm/xe/display: Make display suspend/resume work on discrete
    (bsc#1012628).
  - drm/xe/vm: Simplify if condition (bsc#1012628).
  - drm/xe/exec_queue: Rename xe_exec_queue::compute to
    xe_exec_queue::lr (bsc#1012628).
  - drm/xe: prevent UAF around preempt fence (bsc#1012628).
  - drm/amdgpu: Do not wait for MP0_C2PMSG_33 IFWI init in SRIOV
    (bsc#1012628).
  - drm/amdgpu: fix eGPU hotplug regression (bsc#1012628).
  - pinctrl: qcom: x1e80100: Update PDC hwirq map (bsc#1012628).
  - ASoC: SOF: amd: move iram-dram fence register programming
    sequence (bsc#1012628).
  - ASoC: SOF: amd: Fix for incorrect acp error register offsets
    (bsc#1012628).
  - ASoC: amd: acp: fix module autoloading (bsc#1012628).
  - ASoC: SOF: amd: Fix for acp init sequence (bsc#1012628).
  - ALSA: hda: cs35l56: Don't use the device index as a calibration
    index (bsc#1012628).
  - ASoC: cs-amp-lib-test: Force test calibration blob entries to
    be valid (bsc#1012628).
  - ASoC: cs-amp-lib: Ignore empty UEFI calibration entries
    (bsc#1012628).
  - backing-file: convert to using fops->splice_write (bsc#1012628).
  - pinctrl: mediatek: common-v2: Fix broken bias-disable for
    PULL_PU_PD_RSEL_TYPE (bsc#1012628).
  - pinctrl: qcom: x1e80100: Fix special pin offsets (bsc#1012628).
  - pinctrl: starfive: jh7110: Correct the level trigger
    configuration of iev register (bsc#1012628).
  - nfsd: prevent panic for nfsv4.0 closed files in nfs4_show_open
    (bsc#1012628).
  - mm: Fix missing folio invalidation calls during truncation
    (bsc#1012628).
  - afs: Fix post-setattr file edit to do truncation correctly
    (bsc#1012628).
  - netfs: Fix netfs_release_folio() to say no if folio dirty
    (bsc#1012628).
  - netfs: Fix trimming of streaming-write folios in
    netfs_inval_folio() (bsc#1012628).
  - netfs: Fix missing iterator reset on retry of short read
    (bsc#1012628).
  - netfs: Fix interaction of streaming writes with zero-point
    tracker (bsc#1012628).
  - smb/client: remove unused rq_iter_size from struct smb_rqst
    (bsc#1012628).
  - cifs: Fix FALLOC_FL_PUNCH_HOLE support (bsc#1012628).
  - nfsd: hold reference to delegation when updating it for
    cb_getattr (bsc#1012628).
  - nfsd: fix potential UAF in nfsd4_cb_getattr_release
    (bsc#1012628).
  - fs/nfsd: fix update of inode attrs in CB_GETATTR (bsc#1012628).
  - selinux,smack: don't bypass permissions check in inode_setsecctx
    hook (bsc#1012628).
  - cpufreq/amd-pstate: Use topology_logical_package_id() instead
    of logical_die_id() (bsc#1012628).
  - iommufd: Do not allow creating areas without READ or WRITE
    (bsc#1012628).
  - phy: fsl-imx8mq-usb: fix tuning parameter name (bsc#1012628).
  - soundwire: stream: fix programming slave ports for non-continous
    port maps (bsc#1012628).
  - dmaengine: dw-edma: Fix unmasking STOP and ABORT interrupts
    for HDMA (bsc#1012628).
  - dmaengine: dw-edma: Do not enable watermark interrupts for HDMA
    (bsc#1012628).
  - phy: qcom: qmp-pcie: Fix X1E80100 PCIe Gen4 PHY initialisation
    (bsc#1012628).
  - phy: xilinx: phy-zynqmp: Fix SGMII linkup failure on resume
    (bsc#1012628).
  - dmaengine: dw: Add peripheral bus width verification
    (bsc#1012628).
  - dmaengine: dw: Add memory bus width verification (bsc#1012628).
  - dmaengine: ti: omap-dma: Initialize sglen after allocation
    (bsc#1012628).
  - pktgen: use cpus_read_lock() in pg_net_init() (bsc#1012628).
  - cpufreq/amd-pstate-ut: Don't check for highest perf matching
    on prefcore (bsc#1012628).
  - Bluetooth: btnxpuart: Handle FW Download Abort scenario
    (bsc#1012628).
  - Bluetooth: btnxpuart: Fix random crash seen while removing
    driver (bsc#1012628).
  - Bluetooth: hci_core: Fix not handling hibernation actions
    (bsc#1012628).
  - iommu: Do not return 0 from map_pages if it doesn't do anything
    (bsc#1012628).
  - netfilter: nf_tables: restore IP sanity checks for netdev/egress
    (bsc#1012628).
  - wifi: iwlwifi: mvm: take the mutex before running link selection
    (bsc#1012628).
  - wifi: iwlwifi: fw: fix wgds rev 3 exact size (bsc#1012628).
  - wifi: iwlwifi: mvm: allow 6 GHz channels in MLO scan
    (bsc#1012628).
  - ethtool: check device is present when getting link settings
    (bsc#1012628).
  - hwmon: (pt5161l) Fix invalid temperature reading (bsc#1012628).
  - net_sched: sch_fq: fix incorrect behavior for small weights
    (bsc#1012628).
  - netfilter: nf_tables_ipv6: consider network offset in
    netdev/egress validation (bsc#1012628).
  - selftests: forwarding: no_forwarding: Down ports on cleanup
    (bsc#1012628).
  - selftests: forwarding: local_termination: Down ports on cleanup
    (bsc#1012628).
  - bonding: implement xdo_dev_state_free and call it after deletion
    (bsc#1012628).
  - bonding: extract the use of real_device into local variable
    (bsc#1012628).
  - bonding: change ipsec_lock from spin lock to mutex
    (bsc#1012628).
  - gtp: fix a potential NULL pointer dereference (bsc#1012628).
  - tcp: fix forever orphan socket caused by tcp_abort
    (bsc#1012628).
  - sctp: fix association labeling in the duplicate COOKIE-ECHO case
    (bsc#1012628).
  - drm/amd/display: avoid using null object of framebuffer
    (bsc#1012628).
  - net: busy-poll: use ktime_get_ns() instead of local_clock()
    (bsc#1012628).
  - nfc: pn533: Add poll mod list filling check (bsc#1012628).
  - drm/xe/hwmon: Fix WRITE_I1 param from u32 to u16 (bsc#1012628).
  - io_uring/kbuf: return correct iovec count from classic buffer
    peek (bsc#1012628).
  - soc: qcom: cmd-db: Map shared memory as WC, not WB
    (bsc#1012628).
  - soc: qcom: pmic_glink: Actually communicate when remote goes
    down (bsc#1012628).
  - soc: qcom: pmic_glink: Fix race during initialization
    (bsc#1012628).
  - cdc-acm: Add DISABLE_ECHO quirk for GE HealthCare UI Controller
    (bsc#1012628).
  - usb: typec: fsa4480: Relax CHIP_ID check (bsc#1012628).
  - firmware: qcom: scm: Mark get_wq_ctx() as atomic call
    (bsc#1012628).
  - scsi: sd: Ignore command SYNCHRONIZE CACHE error if format in
    progress (bsc#1012628).
  - dt-bindings: usb: microchip,usb2514: Fix reference USB device
    schema (bsc#1012628).
  - USB: serial: option: add MeiG Smart SRM825L (bsc#1012628).
  - usb: gadget: uvc: queue pump work in uvcg_video_enable()
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100-crd: fix PCIe4 PHY supply
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100-qcp: fix PCIe4 PHY supply
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100: add missing PCIe minimum OPP
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100: fix PCIe domain numbers
    (bsc#1012628).
  - ARM: dts: imx6dl-yapp43: Increase LED current to match the
    yapp4 HW design (bsc#1012628).
  - usb: dwc3: ep0: Don't reset resource alloc flag (including ep0)
    (bsc#1012628).
  - usb: dwc3: xilinx: add missing depopulate in probe error path
    (bsc#1012628).
  - usb: dwc3: omap: add missing depopulate in probe error path
    (bsc#1012628).
  - usb: dwc3: core: Prevent USB core invalid event buffer address
    access (bsc#1012628).
  - usb: dwc3: st: fix probed platform device ref count on probe
    error path (bsc#1012628).
  - usb: dwc3: st: add missing depopulate in probe error path
    (bsc#1012628).
  - usb: core: sysfs: Unmerge @usb3_hardware_lpm_attr_group in
    remove_power_attributes() (bsc#1012628).
  - usb: cdnsp: fix incorrect index in cdnsp_get_hw_deq function
    (bsc#1012628).
  - usb: cdnsp: fix for Link TRB with TC (bsc#1012628).
  - usb: typec: ucsi: Move unregister out of atomic section
    (bsc#1012628).
  - arm64: dts: qcom: ipq5332: Fix interrupt trigger type for usb
    (bsc#1012628).
  - ARM: dts: omap3-n900: correct the accelerometer orientation
    (bsc#1012628).
  - arm64: dts: imx8mp-beacon-kit: Fix Stereo Audio on WM8962
    (bsc#1012628).
  - arm64: dts: imx93: update default value for snps,clk-csr
    (bsc#1012628).
  - arm64: dts: freescale: imx93-tqma9352: fix CMA alloc-ranges
    (bsc#1012628).
  - arm64: dts: freescale: imx93-tqma9352-mba93xxla: fix typo
    (bsc#1012628).
  - firmware: microchip: fix incorrect error report of
    programming:timeout on success (bsc#1012628).
  - scsi: aacraid: Fix double-free on probe failure (bsc#1012628).
  - apparmor: fix policy_unpack_test on big endian systems
    (bsc#1012628).
  - nfsd: fix nfsd4_deleg_getattr_conflict in presence of third
    party lease (bsc#1012628).
  - Rename to
    patches.kernel.org/6.10.8-042-drm-vmwgfx-Disable-coherent-dumb-buffers-witho.patch.
  - Rename to
    patches.kernel.org/6.10.8-059-nfsd-ensure-that-nfsd4_fattr_args.context-is-z.patch.
  - commit b795c32
* Mon Sep 02 2024 msuchanek@suse.de
  - Update config files (jsc#PED-10537).
    ppc64le: NR_CPUS=8192
    This alings with x86.
  - commit 20a31e9
* Mon Sep 02 2024 tiwai@suse.de
  - drm/amd/display: Avoid race between dcn35_set_drr() and
    dc_state_destruct() (bsc#1225147).
  - drm/amd/display: Avoid race between dcn10_set_drr() and
    dc_state_destruct() (bsc#1225147).
  - commit 868eb38
* Mon Sep 02 2024 tiwai@suse.de
  - Delete patches.suse/fix-amdgpu-freezes.patch (bsc#1225147)
    This temporary fix is replaced with better ones:
    a7aeb03888b92304e2fc7d4d1c242f54a312561b
    e835d5144f5ef78e4f8828c63e2f0d61144f283a
  - Delete patches.suse/fix-amdgpu-freezes.patch (bsc#1225147)
    This temporary fix is replaced with better ones
  - commit 361ed7d
* Mon Sep 02 2024 tiwai@suse.de
  - drm/amdgpu: always allocate cleared VRAM for GEM allocations
    (bsc#1229123).
  - commit d1eae3c
* Mon Sep 02 2024 jslaby@suse.cz
  - Refresh
    patches.suse/drm-vmwgfx-Disable-coherent-dumb-buffers-without-3d.patch.
  - drm/amdgpu/display: Fix a mistake in revert commit (bsc#1228093
    [#3495]).
  - Refresh
    patches.suse/nfsd-ensure-that-nfsd4_fattr_args.context-is-zeroed-.patch.
    Update upstream status.
  - commit 64dc967
* Sun Sep 01 2024 mkubecek@suse.cz
  - update to 6.11-rc6
  - refresh configs
  - commit f1c4491
* Fri Aug 30 2024 jslaby@suse.cz
  - Update config files. Disable BTF on 32bit architectures (bsc#1229450)
  - commit 82ae1ec
* Fri Aug 30 2024 jslaby@suse.cz
  - Linux 6.10.7 (bsc#1012628).
  - tty: vt: conmakehash: remove non-portable code printing comment
    header (bsc#1012628).
  - tty: serial: fsl_lpuart: mark last busy before uart_add_one_port
    (bsc#1012628).
  - tty: atmel_serial: use the correct RTS flag (bsc#1012628).
  - Revert "ACPI: EC: Evaluate orphan _REG under EC device"
    (bsc#1012628).
  - Revert "misc: fastrpc: Restrict untrusted app to attach to
    privileged PD" (bsc#1012628).
  - Revert "serial: 8250_omap: Set the console genpd always on if
    no console suspend" (bsc#1012628).
  - Revert "usb: typec: tcpm: clear pd_event queue in PORT_RESET"
    (bsc#1012628).
  - selinux: revert our use of vma_is_initial_heap() (bsc#1012628).
  - netfs, ceph: Revert "netfs: Remove deprecated use of
    PG_private_2 as a second writeback flag" (bsc#1012628).
  - fuse: Initialize beyond-EOF page contents before setting
    uptodate (bsc#1012628).
  - char: xillybus: Don't destroy workqueue from work item running
    on it (bsc#1012628).
  - char: xillybus: Refine workqueue handling (bsc#1012628).
  - char: xillybus: Check USB endpoints when probing device
    (bsc#1012628).
  - ALSA: usb-audio: Add delay quirk for VIVO USB-C-XE710 HEADSET
    (bsc#1012628).
  - ALSA: usb-audio: Support Yamaha P-125 quirk entry (bsc#1012628).
  - usb: misc: ljca: Add Lunar Lake ljca GPIO HID to
    ljca_gpio_hids[] (bsc#1012628).
  - usb: xhci: Check for xhci->interrupters being allocated in
    xhci_mem_clearup() (bsc#1012628).
  - xhci: Fix Panther point NULL pointer deref at full-speed
    re-enumeration (bsc#1012628).
  - thunderbolt: Mark XDomain as unplugged when router is removed
    (bsc#1012628).
  - ALSA: hda/tas2781: fix wrong calibrated data order
    (bsc#1012628).
  - ALSA: timer: Relax start tick time check for slave timer
    elements (bsc#1012628).
  - s390/dasd: fix error recovery leading to data corruption on
    ESE devices (bsc#1012628).
  - KVM: s390: fix validity interception issue when gisa is switched
    off (bsc#1012628).
  - thermal: gov_bang_bang: Call __thermal_cdev_update() directly
    (bsc#1012628).
  - KEYS: trusted: fix DCP blob payload length assignment
    (bsc#1012628).
  - KEYS: trusted: dcp: fix leak of blob encryption key
    (bsc#1012628).
  - riscv: change XIP's kernel_map.size to be size of the entire
    kernel (bsc#1012628).
  - riscv: entry: always initialize regs->a0 to -ENOSYS
    (bsc#1012628).
  - smb3: fix lock breakage for cached writes (bsc#1012628).
  - i2c: tegra: Do not mark ACPI devices as irq safe (bsc#1012628).
  - ACPICA: Add a depth argument to acpi_execute_reg_methods()
    (bsc#1012628).
  - ACPI: EC: Evaluate _REG outside the EC scope more carefully
    (bsc#1012628).
  - arm64: ACPI: NUMA: initialize all values of acpi_early_node_map
    to NUMA_NO_NODE (bsc#1012628).
  - dm resume: don't return EINVAL when signalled (bsc#1012628).
  - dm persistent data: fix memory allocation failure (bsc#1012628).
  - vfs: Don't evict inode under the inode lru traversing context
    (bsc#1012628).
  - fix bitmap corruption on close_range() with CLOSE_RANGE_UNSHARE
    (bsc#1012628).
  - i2c: qcom-geni: Add missing geni_icc_disable in
    geni_i2c_runtime_resume (bsc#1012628).
  - tracing: Return from tracing_buffers_read() if the file has
    been closed (bsc#1012628).
  - perf/bpf: Don't call bpf_overflow_handler() for tracing events
    (bsc#1012628).
  - mseal: fix is_madv_discard() (bsc#1012628).
  - rtla/osnoise: Prevent NULL dereference in error handling
    (bsc#1012628).
  - mm: fix endless reclaim on machines with unaccepted memory
    (bsc#1012628).
  - mm/hugetlb: fix hugetlb vs. core-mm PT locking (bsc#1012628).
  - md/raid1: Fix data corruption for degraded array with slow disk
    (bsc#1012628).
  - net: mana: Fix RX buf alloc_size alignment and atomic op panic
    (bsc#1012628).
  - media: atomisp: Fix streaming no longer working on BYT /
    ISP2400 devices (bsc#1012628).
  - net: mana: Fix doorbell out of order violation and avoid
    unnecessary doorbell rings (bsc#1012628).
  - wifi: brcmfmac: cfg80211: Handle SSID based pmksa deletion
    (bsc#1012628).
  - fs/netfs/fscache_cookie: add missing "n_accesses" check
    (bsc#1012628).
  - selinux: fix potential counting error in
    avc_add_xperms_decision() (bsc#1012628).
  - selinux: add the processing of the failure of
    avc_add_xperms_decision() (bsc#1012628).
  - alloc_tag: mark pages reserved during CMA activation as not
    tagged (bsc#1012628).
  - mm/memory-failure: use raw_spinlock_t in struct
    memory_failure_cpu (bsc#1012628).
  - selftests: memfd_secret: don't build memfd_secret test on
    unsupported arches (bsc#1012628).
  - alloc_tag: introduce clear_page_tag_ref() helper function
    (bsc#1012628).
  - mm/numa: no task_numa_fault() call if PMD is changed
    (bsc#1012628).
  - mm/vmalloc: fix page mapping if vm_area_alloc_pages() with
    high order fallback to order 0 (bsc#1012628).
  - mm/numa: no task_numa_fault() call if PTE is changed
    (bsc#1012628).
  - btrfs: tree-checker: reject BTRFS_FT_UNKNOWN dir type
    (bsc#1012628).
  - btrfs: send: allow cloning non-aligned extent if it ends at
    i_size (bsc#1012628).
  - btrfs: check delayed refs when we're checking if a ref exists
    (bsc#1012628).
  - btrfs: only run the extent map shrinker from kswapd tasks
    (bsc#1012628).
  - btrfs: zoned: properly take lock to read/update block group's
    zoned variables (bsc#1012628).
  - btrfs: tree-checker: add dev extent item checks (bsc#1012628).
  - btrfs: only enable extent map shrinker for DEBUG builds
    (bsc#1012628).
  - drm/amdgpu: Actually check flags for all context ops
    (bsc#1012628).
  - memcg_write_event_control(): fix a user-triggerable oops
    (bsc#1012628).
  - drm/amd/display: Adjust cursor position (bsc#1012628).
  - drm/amd/display: fix s2idle entry for DCN3.5+ (bsc#1012628).
  - drm/amd/display: Enable otg synchronization logic for DCN321
    (bsc#1012628).
  - drm/amd/display: fix cursor offset on rotation 180
    (bsc#1012628).
  - drm/amdgpu/jpeg2: properly set atomics vmid field (bsc#1012628).
  - drm/amdgpu/jpeg4: properly set atomics vmid field (bsc#1012628).
  - drm/amd/amdgpu: command submission parser for JPEG
    (bsc#1012628).
  - pidfd: prevent creation of pidfds for kthreads (bsc#1012628).
  - s390/uv: Panic for set and remove shared access UVC errors
    (bsc#1012628).
  - netfs: Fault in smaller chunks for non-large folio mappings
    (bsc#1012628).
  - filelock: fix name of file_lease slab cache (bsc#1012628).
  - libfs: fix infinite directory reads for offset dir
    (bsc#1012628).
  - bpf: Fix updating attached freplace prog in prog_array map
    (bsc#1012628).
  - bpf: Fix a kernel verifier crash in stacksafe() (bsc#1012628).
  - igc: Fix packet still tx after gate close by reducing i226
    MAC retry buffer (bsc#1012628).
  - igc: Fix qbv_config_change_errors logics (bsc#1012628).
  - igc: Fix reset adapter logics when tx mode change (bsc#1012628).
  - igc: Fix qbv tx latency by setting gtxoffset (bsc#1012628).
  - gtp: pull network headers in gtp_dev_xmit() (bsc#1012628).
  - net/mlx5: SD, Do not query MPIR register if no sd_group
    (bsc#1012628).
  - net/mlx5e: Take state lock during tx timeout reporter
    (bsc#1012628).
  - net/mlx5e: Correctly report errors for ethtool rx flows
    (bsc#1012628).
  - atm: idt77252: prevent use after free in dequeue_rx()
    (bsc#1012628).
  - net: axienet: Fix register defines comment description
    (bsc#1012628).
  - net: dsa: vsc73xx: fix port MAC configuration in full duplex
    mode (bsc#1012628).
  - net: dsa: vsc73xx: pass value in phy_write operation
    (bsc#1012628).
  - net: dsa: vsc73xx: check busy flag in MDIO operations
    (bsc#1012628).
  - net: ethernet: mtk_wed: fix use-after-free panic in
    mtk_wed_setup_tc_block_cb() (bsc#1012628).
  - mlxbf_gige: disable RX filters until RX path initialized
    (bsc#1012628).
  - mptcp: correct MPTCP_SUBFLOW_ATTR_SSN_OFFSET reserved size
    (bsc#1012628).
  - tcp: Update window clamping condition (bsc#1012628).
  - netfilter: allow ipv6 fragments to arrive on different devices
    (bsc#1012628).
  - netfilter: flowtable: initialise extack before use
    (bsc#1012628).
  - netfilter: nf_queue: drop packets with cloned unconfirmed
    conntracks (bsc#1012628).
  - netfilter: nf_tables: Audit log dump reset after the fact
    (bsc#1012628).
  - netfilter: nf_tables: Introduce nf_tables_getobj_single
    (bsc#1012628).
  - netfilter: nf_tables: Add locking for NFT_MSG_GETOBJ_RESET
    requests (bsc#1012628).
  - selftest: af_unix: Fix kselftest compilation warnings
    (bsc#1012628).
  - vsock: fix recursive ->recvmsg calls (bsc#1012628).
  - selftests: net: lib: ignore possible errors (bsc#1012628).
  - selftests: net: lib: kill PIDs before del netns (bsc#1012628).
  - net: hns3: fix wrong use of semaphore up (bsc#1012628).
  - net: hns3: use the user's cfg after reset (bsc#1012628).
  - net: hns3: fix a deadlock problem when config TC during
    resetting (bsc#1012628).
  - kbuild: refactor variables in scripts/link-vmlinux.sh
    (bsc#1012628).
  - kbuild: remove PROVIDE() for kallsyms symbols (bsc#1012628).
  - kallsyms: get rid of code for absolute kallsyms (bsc#1012628).
  - kallsyms: Do not cleanup .llvm.<hash> suffix before sorting
    symbols (bsc#1012628).
  - kallsyms: Match symbols exactly with CONFIG_LTO_CLANG
    (bsc#1012628).
  - iommu: Restore lost return in iommu_report_device_fault()
    (bsc#1012628).
  - gpio: mlxbf3: Support shutdown() function (bsc#1012628).
  - ALSA: hda/realtek: Fix noise from speakers on Lenovo IdeaPad
    3 15IAU7 (bsc#1012628).
  - drm/v3d: Fix out-of-bounds read in `v3d_csd_job_run()`
    (bsc#1012628).
  - rust: work around `bindgen` 0.69.0 issue (bsc#1012628).
  - rust: suppress error messages from
    CONFIG_{RUSTC,BINDGEN}_VERSION_TEXT (bsc#1012628).
  - rust: fix the default format for
    CONFIG_{RUSTC,BINDGEN}_VERSION_TEXT (bsc#1012628).
  - s390/dasd: Remove DMA alignment (bsc#1012628).
  - io_uring/napi: Remove unnecessary s64 cast (bsc#1012628).
  - io_uring/napi: use ktime in busy polling (bsc#1012628).
  - io_uring/napi: check napi_enabled in io_napi_add() before
    proceeding (bsc#1012628).
  - cpu/SMT: Enable SMT only if a core is online (bsc#1012628).
  - powerpc/topology: Check if a core is online (bsc#1012628).
  - printk/panic: Allow cpu backtraces to be written into ringbuffer
    during panic (bsc#1012628).
  - arm64: Fix KASAN random tag seed initialization (bsc#1012628).
  - block: Fix lockdep warning in blk_mq_mark_tag_wait
    (bsc#1012628).
  - drm/amd/display: Don't register panel_power_savings on OLED
    panels (bsc#1012628).
  - thermal: gov_bang_bang: Drop unnecessary cooling device target
    state checks (bsc#1012628).
  - thermal: gov_bang_bang: Split bang_bang_control() (bsc#1012628).
  - thermal: gov_bang_bang: Add .manage() callback (bsc#1012628).
  - thermal: gov_bang_bang: Use governor_data to reduce overhead
    (bsc#1012628).
  - cifs: Add a tracepoint to track credits involved in R/W requests
    (bsc#1012628).
  - smb/client: avoid possible NULL dereference in
    cifs_free_subrequest() (bsc#1012628).
  - dm suspend: return -ERESTARTSYS instead of -EINTR (bsc#1012628).
  - wifi: ath12k: use 128 bytes aligned iova in transmit path for
    WCN7850 (bsc#1012628).
  - platform/surface: aggregator: Fix warning when controller is
    destroyed in probe (bsc#1012628).
  - ALSA: hda/tas2781: Use correct endian conversion (bsc#1012628).
  - Makefile: add $(srctree) to dependency of compile_commands.json
    target (bsc#1012628).
  - kbuild: merge temporary vmlinux for BTF and kallsyms
    (bsc#1012628).
  - kbuild: avoid scripts/kallsyms parsing /dev/null (bsc#1012628).
  - Bluetooth: HCI: Invert LE State quirk to be opt-out rather
    then opt-in (bsc#1012628).
  - Bluetooth: hci_core: Fix LE quote calculation (bsc#1012628).
  - Bluetooth: SMP: Fix assumption of Central always being Initiator
    (bsc#1012628).
  - net: mscc: ocelot: use ocelot_xmit_get_vlan_info() also for
    FDMA and register injection (bsc#1012628).
  - net: mscc: ocelot: fix QoS class for injected packets with
    "ocelot-8021q" (bsc#1012628).
  - net: mscc: ocelot: serialize access to the injection/extraction
    groups (bsc#1012628).
  - net/mlx5e: XPS, Fix oversight of Multi-PF Netdev changes
    (bsc#1012628).
  - net/mlx5: Fix IPsec RoCE MPV trace call (bsc#1012628).
  - tc-testing: don't access non-existent variable on exception
    (bsc#1012628).
  - selftests: udpgro: report error when receive failed
    (bsc#1012628).
  - selftests: udpgro: no need to load xdp for gro (bsc#1012628).
  - tcp: prevent concurrent execution of tcp_sk_exit_batch
    (bsc#1012628).
  - net: mctp: test: Use correct skb for route input check
    (bsc#1012628).
  - kcm: Serialise kcm_sendmsg() for the same socket (bsc#1012628).
  - netfilter: nft_counter: Disable BH in
    nft_counter_offload_stats() (bsc#1012628).
  - netfilter: nft_counter: Synchronize nft_counter_reset()
    against reader (bsc#1012628).
  - ip6_tunnel: Fix broken GRO (bsc#1012628).
  - bonding: fix bond_ipsec_offload_ok return type (bsc#1012628).
  - bonding: fix null pointer deref in bond_ipsec_offload_ok
    (bsc#1012628).
  - bonding: fix xfrm real_dev null pointer dereference
    (bsc#1012628).
  - bonding: fix xfrm state handling when clearing active slave
    (bsc#1012628).
  - ice: fix page reuse when PAGE_SIZE is over 8k (bsc#1012628).
  - ice: fix ICE_LAST_OFFSET formula (bsc#1012628).
  - ice: fix truesize operations for PAGE_SIZE >= 8192
    (bsc#1012628).
  - ice: use internal pf id instead of function number
    (bsc#1012628).
  - dpaa2-switch: Fix error checking in dpaa2_switch_seed_bp()
    (bsc#1012628).
  - igb: cope with large MAX_SKB_FRAGS (bsc#1012628).
  - net: dsa: mv88e6xxx: Fix out-of-bound access (bsc#1012628).
  - netem: fix return value if duplicate enqueue fails
    (bsc#1012628).
  - udp: fix receiving fraglist GSO packets (bsc#1012628).
  - selftests: mlxsw: ethtool_lanes: Source ethtool lib from
    correct path (bsc#1012628).
  - ipv6: prevent UAF in ip6_send_skb() (bsc#1012628).
  - ipv6: fix possible UAF in ip6_finish_output2() (bsc#1012628).
  - ipv6: prevent possible UAF in ip6_xmit() (bsc#1012628).
  - bnxt_en: Fix double DMA unmapping for XDP_REDIRECT
    (bsc#1012628).
  - netfilter: flowtable: validate vlan header (bsc#1012628).
  - octeontx2-af: Fix CPT AF register offset calculation
    (bsc#1012628).
  - net: xilinx: axienet: Always disable promiscuous mode
    (bsc#1012628).
  - net: xilinx: axienet: Fix dangling multicast addresses
    (bsc#1012628).
  - net: ovs: fix ovs_drop_reasons error (bsc#1012628).
  - drm/msm/dpu: don't play tricks with debug macros (bsc#1012628).
  - drm/msm/dp: fix the max supported bpp logic (bsc#1012628).
  - drm/msm/dpu: move dpu_encoder's connector assignment to
    atomic_enable() (bsc#1012628).
  - drm/msm/dp: reset the link phy params before link training
    (bsc#1012628).
  - drm/msm/dpu: cleanup FB if dpu_format_populate_layout fails
    (bsc#1012628).
  - drm/msm/dpu: limit QCM2290 to RGB formats only (bsc#1012628).
  - drm/msm/dpu: relax YUV requirements (bsc#1012628).
  - drm/msm/dpu: take plane rotation into account for wide planes
    (bsc#1012628).
  - workqueue: Fix UBSAN 'subtraction overflow' error in
    shift_and_mask() (bsc#1012628).
  - workqueue: Fix spruious data race in __flush_work()
    (bsc#1012628).
  - drm/msm: fix the highest_bank_bit for sc7180 (bsc#1012628).
  - spi: spi-cadence-quadspi: Fix OSPI NOR failures during system
    resume (bsc#1012628).
  - drm/i915/hdcp: Use correct cp_irq_count (bsc#1012628).
  - drm/xe/display: stop calling domains_driver_remove twice
    (bsc#1012628).
  - drm/xe: Fix opregion leak (bsc#1012628).
  - drm/xe/mmio: move mmio_fini over to devm (bsc#1012628).
  - drm/xe: reset mmio mappings with devm (bsc#1012628).
  - drm/xe: Fix tile fini sequence (bsc#1012628).
  - mmc: mmc_test: Fix NULL dereference on allocation failure
    (bsc#1012628).
  - io_uring/kbuf: sanitize peek buffer setup (bsc#1012628).
  - drm/xe: Fix missing workqueue destroy in xe_gt_pagefault
    (bsc#1012628).
  - drm/xe: Relax runtime pm protection during execution
    (bsc#1012628).
  - drm/xe: Decouple job seqno and lrc seqno (bsc#1012628).
  - drm/xe: Split lrc seqno fence creation up (bsc#1012628).
  - drm/xe: Don't initialize fences at xe_sched_job_create()
    (bsc#1012628).
  - drm/xe: Free job before xe_exec_queue_put (bsc#1012628).
  - thermal/debugfs: Fix the NULL vs IS_ERR() confusion in
    debugfs_create_dir() (bsc#1012628).
  - s390/boot: Avoid possible physmem_info segment corruption
    (bsc#1012628).
  - s390/boot: Fix KASLR base offset off by __START_KERNEL bytes
    (bsc#1012628).
  - smb: client: ignore unhandled reparse tags (bsc#1012628).
  - nvme: move stopping keep-alive into nvme_uninit_ctrl()
    (bsc#1012628).
  - Bluetooth: MGMT: Add error handling to pair_device()
    (bsc#1012628).
  - scsi: core: Fix the return value of scsi_logical_block_count()
    (bsc#1012628).
  - ksmbd: the buffer of smb2 query dir response has at least 1 byte
    (bsc#1012628).
  - drm/amdgpu: Validate TA binary size (bsc#1012628).
  - drm/amdgpu/sdma5.2: limit wptr workaround to sdma 5.2.1
    (bsc#1012628).
  - ACPI: video: Add Dell UART backlight controller detection
    (bsc#1012628).
  - ACPI: video: Add backlight=native quirk for Dell OptiPlex 7760
    AIO (bsc#1012628).
  - platform/x86: dell-uart-backlight: Use
    acpi_video_get_backlight_type() (bsc#1012628).
  - platform/x86: ISST: Fix return value on last invalid resource
    (bsc#1012628).
  - s390/ap: Refine AP bus bindings complete processing
    (bsc#1012628).
  - net: ngbe: Fix phy mode set to external phy (bsc#1012628).
  - net: dsa: microchip: fix PTP config failure when using multiple
    ports (bsc#1012628).
  - MIPS: Loongson64: Set timer mode in cpu-probe (bsc#1012628).
  - HID: wacom: Defer calculation of resolution until
    resolution_code is known (bsc#1012628).
  - iommufd/device: Fix hwpt at err_unresv in
    iommufd_device_do_replace() (bsc#1012628).
  - Input: i8042 - add forcenorestore quirk to leave controller
    untouched even on s3 (bsc#1012628).
  - Input: i8042 - use new forcenorestore quirk to replace old
    buggy quirk combination (bsc#1012628).
  - ata: pata_macio: Fix DMA table overflow (bsc#1012628).
  - cxgb4: add forgotten u64 ivlan cast before shift (bsc#1012628).
  - KVM: arm64: vgic-debug: Don't put unmarked LPIs (bsc#1012628).
  - KVM: arm64: Make ICC_*SGI*_EL1 undef in the absence of a vGICv3
    (bsc#1012628).
  - cgroup/cpuset: fix panic caused by partcmd_update (bsc#1012628).
  - cgroup/cpuset: Clear effective_xcpus on cpus_allowed clearing
    only if cpus.exclusive not set (bsc#1012628).
  - mmc: mtk-sd: receive cmd8 data when hs400 tuning fail
    (bsc#1012628).
  - mmc: dw_mmc: allow biu and ciu clocks to defer (bsc#1012628).
  - smb3: fix broken cached reads when posix locks (bsc#1012628).
  - pmdomain: imx: scu-pd: Remove duplicated clocks (bsc#1012628).
  - pmdomain: imx: wait SSAR when i.MX93 power domain on
    (bsc#1012628).
  - nouveau/firmware: use dma non-coherent allocator (bsc#1012628).
  - thermal: of: Fix OF node leak in thermal_of_trips_init()
    error path (bsc#1012628).
  - thermal: of: Fix OF node leak in thermal_of_zone_register()
    (bsc#1012628).
  - thermal: of: Fix OF node leak in of_thermal_zone_find() error
    paths (bsc#1012628).
  - mptcp: pm: re-using ID of unused removed ADD_ADDR (bsc#1012628).
  - mptcp: pm: re-using ID of unused removed subflows (bsc#1012628).
  - mptcp: pm: re-using ID of unused flushed subflows (bsc#1012628).
  - mptcp: pm: remove mptcp_pm_remove_subflow() (bsc#1012628).
  - mptcp: pm: only mark 'subflow' endp as available (bsc#1012628).
  - mptcp: pm: only decrement add_addr_accepted for MPJ req
    (bsc#1012628).
  - mptcp: pm: check add_addr_accept_max before accepting new
    ADD_ADDR (bsc#1012628).
  - mptcp: pm: only in-kernel cannot have entries with ID 0
    (bsc#1012628).
  - mptcp: pm: fullmesh: select the right ID later (bsc#1012628).
  - mptcp: pm: avoid possible UaF when selecting endp (bsc#1012628).
  - selftests: mptcp: join: validate fullmesh endp on 1st sf
    (bsc#1012628).
  - selftests: mptcp: join: check re-using ID of closed subflow
    (bsc#1012628).
  - drm/xe: Do not dereference NULL job->fence in trace points
    (bsc#1012628).
  - Revert "pidfd: prevent creation of pidfds for kthreads"
    (bsc#1012628).
  - drm/amdgpu/vcn: identify unified queue in sw init (bsc#1012628).
  - drm/amdgpu/vcn: not pause dpg for unified queue (bsc#1012628).
  - selftests/bpf: Add a test to verify previous stacksafe() fix
    (bsc#1012628).
  - ksmbd: fix race condition between destroy_previous_session()
    and smb2 operations() (bsc#1012628).
  - Input: MT - limit max slots (bsc#1012628).
  - Rename to
    patches.kernel.org/6.10.7-083-btrfs-fix-invalid-mapping-of-extent-xarray-sta.patch.
  - Rename to
    patches.kernel.org/6.10.7-102-netfilter-nfnetlink-Initialise-extack-before-u.patch.
  - Rename to
    patches.kernel.org/6.10.7-190-s390-iucv-Fix-vargs-handling-in-iucv_alloc_dev.patch.
  - Update config files.
  - commit 54e6893
* Mon Aug 26 2024 msuchanek@suse.de
  - rpm/check-for-config-changes: Exclude ARCH_USING_PATCHABLE_FUNCTION_ENTRY
    gcc version dependent, at least on ppc
  - commit 16da158
* Mon Aug 26 2024 neilb@suse.de
  - nfsd: ensure that nfsd4_fattr_args.context is zeroed out
    (bsc#1229714).
  - commit 5a1bf66
* Sun Aug 25 2024 mkubecek@suse.cz
  - update to 6.11-rc5
  - update configs
    - RANDOMIZE_IDENTITY_BASE=n (s390x only)
  - commit 74d649b
* Fri Aug 23 2024 mfranc@suse.cz
  - Refresh
    patches.suse/0001-s390-iucv-Fix-vargs-handling-in-iucv_alloc_device.patch.
  - commit 5f80c14
* Fri Aug 23 2024 tiwai@suse.de
  - drm/vmwgfx: Disable coherent dumb buffers without 3d
    (bsc#1229627).
  - commit 5d62ffb
* Fri Aug 23 2024 jslaby@suse.cz
  - drm/amd/display: Fix Synaptics Cascaded DSC Determination
    (bsc#1228093 #3495).
  - commit 295e3d0
* Mon Aug 19 2024 mpdesouza@suse.com
  - livepatch: Add -fdump-ipa-clones to build (jsc#SLE-17360
    bsc#1190003 bsc#1229042).
  - commit 945534b
* Mon Aug 19 2024 jslaby@suse.cz
  - drm/amd/display: Fix a typo in revert commit (bsc#1228093
  - commit 5ad0c94
* Mon Aug 19 2024 jslaby@suse.cz
  - drm/amd/display: Fix a typo in revert commit (bsc#1228093
    [#3495]).
  - Rename to
    patches.suse/drm-amd-display-Fix-MST-BW-calculation-Regression.patch.
    Update to upstream patch.
  - commit 4440585
* Mon Aug 19 2024 jslaby@suse.cz
  - Linux 6.10.6 (bsc#1012628).
  - exec: Fix ToCToU between perm check and set-uid/gid usage
    (bsc#1012628).
  - drm/amd/display: Defer handling mst up request in resume
    (bsc#1012628).
  - drm/amd/display: Separate setting and programming of cursor
    (bsc#1012628).
  - drm/amd/display: Prevent IPX From Link Detect and Set Mode
    (bsc#1012628).
  - LoongArch: Define __ARCH_WANT_NEW_STAT in unistd.h
    (bsc#1012628).
  - nvme/pci: Add APST quirk for Lenovo N60z laptop (bsc#1012628).
  - ASoC: cs35l56: Patch CS35L56_IRQ1_MASK_18 to the default value
    (bsc#1012628).
  - bpf, net: Use DEV_STAT_INC() (bsc#1012628).
  - f2fs: fix to do sanity check on F2FS_INLINE_DATA flag in inode
    during GC (bsc#1012628).
  - f2fs: fix to cover read extent cache access with lock
    (bsc#1012628).
  - fou: remove warn in gue_gro_receive on unsupported protocol
    (bsc#1012628).
  - jfs: fix null ptr deref in dtInsertEntry (bsc#1012628).
  - jfs: Fix shift-out-of-bounds in dbDiscardAG (bsc#1012628).
  - fs/ntfs3: Do copy_to_user out of run_lock (bsc#1012628).
  - ALSA: usb: Fix UBSAN warning in parse_audio_unit()
    (bsc#1012628).
  - platform/x86/amd/pmf: Fix to Update HPD Data When ALS is
    Disabled (bsc#1012628).
  - platform/x86: ideapad-laptop: introduce a generic notification
    chain (bsc#1012628).
  - platform/x86: ideapad-laptop: move ymc_trigger_ec from
    lenovo-ymc (bsc#1012628).
  - platform/x86: ideapad-laptop: add a mutex to synchronize VPC
    commands (bsc#1012628).
  - binfmt_flat: Fix corruption when not offsetting data start
    (bsc#1012628).
  - drm/amd/display: Solve mst monitors blank out problem after
    resume (bsc#1012628).
  - drm/amdgpu/display: Fix null pointer dereference in
    dc_stream_program_cursor_position (bsc#1012628).
  - media: Revert "media: dvb-usb: Fix unexpected infinite loop
    in dvb_usb_read_remote_control()" (bsc#1012628).
  - Revert "drm/amd/display: Refactor function
    dm_dp_mst_is_port_support_mode()" (bsc#1012628).
  - Rename to
    patches.kernel.org/6.10.6-024-Revert-ata-libata-scsi-Honor-the-D_SENSE-bit-f.patch.
  - Refresh
    patches.suse/Revert-drm-amd-display-FEC-overhead-should-be-checke.patch.
  - commit d546de5
* Mon Aug 19 2024 mkubecek@suse.cz
  - update to 6.11-rc4
  - drop 1 mainline patch
    - patches.suse/drm-amd-display-Take-Synaptics-Cascaded-Topology-int.patch (338567d17627)
  - update configs
    - NETFS_DEBUG=n (=y in */debug)
  - commit 7b0cb95
* Fri Aug 16 2024 jslaby@suse.cz
  - Refresh
    patches.suse/Revert-ata-libata-scsi-Honor-the-D_SENSE-bit-for-CK_.patch.
    Update upstream status.
  - commit b7789d6
* Fri Aug 16 2024 jslaby@suse.cz
  - netfilter: nfnetlink: Initialise extack before use in ACKs (netlink-crash).
    See:
    https://github.com/systemd/systemd/actions/runs/10282472628/job/28454253577?pr=33958#step:12:30
  - commit da1090b
* Thu Aug 15 2024 jslaby@suse.cz
  - btrfs: fix invalid mapping of extent xarray state (git-fixes).
  - commit b18d7b9
* Thu Aug 15 2024 mhocko@suse.com
  - disable CONFIG_MEMCG_V1 (jsc#PED-10113)
    cgroup v1 is deprecated for a long time
  - commit 81d83d0
* Thu Aug 15 2024 jslaby@suse.cz
  - Linux 6.10.5 (bsc#1012628).
  - drm/amd/display: Refactor function
    dm_dp_mst_is_port_support_mode() (bsc#1012628).
  - locking/pvqspinlock: Correct the type of "old" variable in
    pv_kick_node() (bsc#1012628).
  - perf/x86/intel/cstate: Add Arrowlake support (bsc#1012628).
  - perf/x86/intel/cstate: Add Lunarlake support (bsc#1012628).
  - perf/x86/intel/cstate: Add pkg C2 residency counter for Sierra
    Forest (bsc#1012628).
  - platform/x86: intel-vbtn: Protect ACPI notify handler against
    recursion (bsc#1012628).
  - irqchip/mbigen: Fix mbigen node address layout (bsc#1012628).
  - platform/x86/intel/ifs: Initialize union ifs_status to zero
    (bsc#1012628).
  - jump_label: Fix the fix, brown paper bags galore (bsc#1012628).
  - perf/x86/amd: Use try_cmpxchg() in events/amd/{un,}core.c
    (bsc#1012628).
  - perf/x86/intel: Support the PEBS event mask (bsc#1012628).
  - perf/x86: Support counter mask (bsc#1012628).
  - perf/x86: Fix smp_processor_id()-in-preemptible warnings
    (bsc#1012628).
  - selftests: ksft: Fix finished() helper exit code on skipped
    tests (bsc#1012628).
  - x86/mm: Fix pti_clone_pgtable() alignment assumption
    (bsc#1012628).
  - x86/mm: Fix pti_clone_entry_text() for i386 (bsc#1012628).
  - smb: client: handle lack of FSCTL_GET_REPARSE_POINT support
    (bsc#1012628).
  - power: supply: rt5033: Bring back i2c_set_clientdata
    (bsc#1012628).
  - sctp: Fix null-ptr-deref in reuseport_add_sock() (bsc#1012628).
  - net: pse-pd: tps23881: Fix the device ID check (bsc#1012628).
  - gve: Fix use of netif_carrier_ok() (bsc#1012628).
  - virtio-net: unbreak vq resizing when coalescing is not
    negotiated (bsc#1012628).
  - net: usb: qmi_wwan: fix memory leak for not ip packets
    (bsc#1012628).
  - net: bridge: mcast: wait for previous gc cycles when removing
    port (bsc#1012628).
  - net: linkwatch: use system_unbound_wq (bsc#1012628).
  - net: dsa: microchip: Fix Wake-on-LAN check to not return an
    error (bsc#1012628).
  - ice: Fix reset handler (bsc#1012628).
  - Bluetooth: l2cap: always unlock channel in
    l2cap_conless_channel() (bsc#1012628).
  - Bluetooth: hci_sync: avoid dup filtering when passive scanning
    with adv monitor (bsc#1012628).
  - net/smc: add the max value of fallback reason count
    (bsc#1012628).
  - net: dsa: bcm_sf2: Fix a possible memory leak in
    bcm_sf2_mdio_register() (bsc#1012628).
  - idpf: fix memory leaks and crashes while performing a soft reset
    (bsc#1012628).
  - idpf: fix UAFs when destroying the queues (bsc#1012628).
  - l2tp: fix lockdep splat (bsc#1012628).
  - net: bcmgenet: Properly overlay PHY and MAC Wake-on-LAN
    capabilities (bsc#1012628).
  - net: fec: Stop PPS on driver remove (bsc#1012628).
  - net: pse-pd: tps23881: include missing bitfield.h header
    (bsc#1012628).
  - net: dsa: microchip: disable EEE for
    KSZ8567/KSZ9567/KSZ9896/KSZ9897 (bsc#1012628).
  - regmap: kunit: Fix memory leaks in gen_regmap() and
    gen_raw_regmap() (bsc#1012628).
  - gpio: prevent potential speculation leaks in
    gpio_device_get_desc() (bsc#1012628).
  - hwmon: corsair-psu: add USB id of HX1200i Series 2023 psu
    (bsc#1012628).
  - Revert "rcu-tasks: Fix synchronize_rcu_tasks() VS
    zap_pid_ns_processes()" (bsc#1012628).
  - platform/chrome: cros_ec_lpc: Add a new quirk for ACPI id
    (bsc#1012628).
  - rcutorture: Fix rcu_torture_fwd_cb_cr() data race (bsc#1012628).
  - md: do not delete safemode_timer in mddev_suspend (bsc#1012628).
  - md: change the return value type of md_write_start to void
    (bsc#1012628).
  - md/raid5: avoid BUG_ON() while continue reshape after
    reassembling (bsc#1012628).
  - debugobjects: Annotate racy debug variables (bsc#1012628).
  - nvme: apple: fix device reference counting (bsc#1012628).
  - block: change rq_integrity_vec to respect the iterator
    (bsc#1012628).
  - rcu: Fix rcu_barrier() VS post CPUHP_TEARDOWN_CPU invocation
    (bsc#1012628).
  - clocksource/drivers/sh_cmt: Address race condition for clock
    events (bsc#1012628).
  - ACPI: battery: create alarm sysfs attribute atomically
    (bsc#1012628).
  - ACPI: SBS: manage alarm sysfs attribute through psy core
    (bsc#1012628).
  - cpufreq: amd-pstate: Allow users to write 'default' EPP string
    (bsc#1012628).
  - cpufreq: amd-pstate: auto-load pstate driver by default
    (bsc#1012628).
  - soc: qcom: icc-bwmon: Allow for interrupts to be shared across
    instances (bsc#1012628).
  - xen: privcmd: Switch from mutex to spinlock for irqfds
    (bsc#1012628).
  - ACPI: resource: Skip IRQ override on Asus Vivobook Pro N6506MU
    (bsc#1012628).
  - ACPI: resource: Skip IRQ override on Asus Vivobook Pro N6506MJ
    (bsc#1012628).
  - thermal: intel: hfi: Give HFI instances package scope
    (bsc#1012628).
  - wifi: nl80211: disallow setting special AP channel widths
    (bsc#1012628).
  - wifi: ath12k: fix race due to setting
    ATH12K_FLAG_EXT_IRQ_ENABLED too early (bsc#1012628).
  - wifi: rtlwifi: handle return value of usb init TX/RX
    (bsc#1012628).
  - wifi: ath12k: fix memory leak in ath12k_dp_rx_peer_frag_setup()
    (bsc#1012628).
  - net/mlx5e: SHAMPO, Fix invalid WQ linked list unlink
    (bsc#1012628).
  - selftests/bpf: Fix send_signal test with nested CONFIG_PARAVIRT
    (bsc#1012628).
  - wifi: rtw89: pci: fix RX tag race condition resulting in wrong
    RX length (bsc#1012628).
  - af_unix: Don't retry after unix_state_lock_nested() in
    unix_stream_connect() (bsc#1012628).
  - PCI: Add Edimax Vendor ID to pci_ids.h (bsc#1012628).
  - wifi: mac80211: fix NULL dereference at band check in starting
    tx ba session (bsc#1012628).
  - udf: prevent integer overflow in udf_bitmap_free_blocks()
    (bsc#1012628).
  - bpf: add missing check_func_arg_reg_off() to prevent
    out-of-bounds memory accesses (bsc#1012628).
  - wifi: nl80211: don't give key data to userspace (bsc#1012628).
  - can: mcp251xfd: tef: prepare to workaround broken TEF FIFO
    tail index erratum (bsc#1012628).
  - can: mcp251xfd: tef: update workaround for erratum DS80000789E
    6 of mcp2518fd (bsc#1012628).
  - net: stmmac: qcom-ethqos: enable SGMII loopback during DMA
    reset on sa8775p-ride-r3 (bsc#1012628).
  - mlxsw: pci: Lock configuration space of upstream bridge during
    reset (bsc#1012628).
  - btrfs: do not clear page dirty inside
    extent_write_locked_range() (bsc#1012628).
  - btrfs: do not BUG_ON() when freeing tree block after error
    (bsc#1012628).
  - btrfs: reduce nesting for extent processing at
    btrfs_lookup_extent_info() (bsc#1012628).
  - btrfs: fix data race when accessing the last_trans field of
    a root (bsc#1012628).
  - btrfs: fix bitmap leak when loading free space cache on
    duplicate entry (bsc#1012628).
  - Bluetooth: btnxpuart: Shutdown timer and prevent rearming when
    driver unloading (bsc#1012628).
  - drm/xe/preempt_fence: enlarge the fence critical section
    (bsc#1012628).
  - drm/amd/display: Handle HPD_IRQ for internal link (bsc#1012628).
  - drm/amd/display: Add delay to improve LTTPR UHBR interop
    (bsc#1012628).
  - drm/amdgpu: fix potential resource leak warning (bsc#1012628).
  - drm/amdgpu/pm: Fix the param type of set_power_profile_mode
    (bsc#1012628).
  - drm/amd/amdkfd: Fix a resource leak in
    svm_range_validate_and_map() (bsc#1012628).
  - drm/xe/xe_guc_submit: Fix exec queue stop race condition
    (bsc#1012628).
  - drm/amdgpu/pm: Fix the null pointer dereference for smu7
    (bsc#1012628).
  - drm/amdgpu: Fix the null pointer dereference to ras_manager
    (bsc#1012628).
  - drm/amdgpu/pm: Fix the null pointer dereference in
    apply_state_adjust_rules (bsc#1012628).
  - drm/admgpu: fix dereferencing null pointer context
    (bsc#1012628).
  - drm/amdgpu: Add lock around VF RLCG interface (bsc#1012628).
  - drm/amd/pm: Fix the null pointer dereference for vega10_hwmgr
    (bsc#1012628).
  - drm/amd/display: Add null checks for 'stream' and 'plane'
    before dereferencing (bsc#1012628).
  - media: amphion: Remove lock in s_ctrl callback (bsc#1012628).
  - drm/amd/display: Add NULL check for 'afb' before dereferencing
    in amdgpu_dm_plane_handle_cursor_update (bsc#1012628).
  - drm/amd/display: Wake DMCUB before sending a command for replay
    feature (bsc#1012628).
  - drm/amd/display: reduce ODM slice count to initial new dc
    state only when needed (bsc#1012628).
  - drm/amd/display: Don't refer to dc_sink in
    is_dsc_need_re_compute (bsc#1012628).
  - drm/amd/display: remove dpp pipes on failure to update pipe
    params (bsc#1012628).
  - drm/amd/display: Add null checker before passing variables
    (bsc#1012628).
  - media: i2c: ov5647: replacing of_node_put with
    __free(device_node) (bsc#1012628).
  - media: uvcvideo: Ignore empty TS packets (bsc#1012628).
  - media: uvcvideo: Fix the bandwdith quirk on USB 3.x
    (bsc#1012628).
  - drm/amd/display: Fix NULL pointer dereference for DTN log in
    DCN401 (bsc#1012628).
  - media: xc2028: avoid use-after-free in load_firmware_cb()
    (bsc#1012628).
  - ext4: fix uninitialized variable in ext4_inlinedir_to_tree
    (bsc#1012628).
  - jbd2: avoid memleak in jbd2_journal_write_metadata_buffer
    (bsc#1012628).
  - drm/amd/display: Fix null pointer deref in dcn20_resource.c
    (bsc#1012628).
  - s390/sclp: Prevent release of buffer in I/O (bsc#1012628).
  - ext4: sanity check for NULL pointer after ext4_force_shutdown
    (bsc#1012628).
  - SUNRPC: Fix a race to wake a sync task (bsc#1012628).
  - mm, slub: do not call do_slab_free for kfence object
    (bsc#1012628).
  - profiling: remove profile=sleep support (bsc#1012628).
  - clocksource: Fix brown-bag boolean thinko in cs_watchdog_read()
    (bsc#1012628).
  - scsi: Revert "scsi: sd: Do not repeat the starting disk message"
    (bsc#1012628).
  - scsi: mpt3sas: Avoid IOMMU page faults on REPORT ZONES
    (bsc#1012628).
  - media: ipu-bridge: fix ipu6 Kconfig dependencies (bsc#1012628).
  - media: intel/ipu6: select AUXILIARY_BUS in Kconfig
    (bsc#1012628).
  - irqchip/meson-gpio: Convert meson_gpio_irq_controller::lock to
    'raw_spinlock_t' (bsc#1012628).
  - irqchip/loongarch-cpu: Fix return value of lpic_gsi_to_irq()
    (bsc#1012628).
  - sched/cputime: Fix mul_u64_u64_div_u64() precision for cputime
    (bsc#1012628).
  - arm64: cputype: Add Cortex-X3 definitions (bsc#1012628).
  - arm64: cputype: Add Cortex-A720 definitions (bsc#1012628).
  - arm64: cputype: Add Cortex-X925 definitions (bsc#1012628).
  - arm64: errata: Unify speculative SSBS errata logic
    (bsc#1012628).
  - arm64: errata: Expand speculative SSBS workaround (bsc#1012628).
  - arm64: cputype: Add Cortex-X1C definitions (bsc#1012628).
  - arm64: cputype: Add Cortex-A725 definitions (bsc#1012628).
  - arm64: errata: Expand speculative SSBS workaround (again)
    (bsc#1012628).
  - nfsd: don't set SVC_SOCK_ANONYMOUS when creating nfsd sockets
    (bsc#1012628).
  - i2c: smbus: Improve handling of stuck alerts (bsc#1012628).
  - ASoC: codecs: wcd938x-sdw: Correct Soundwire ports mask
    (bsc#1012628).
  - ASoC: codecs: wcd939x-sdw: Correct Soundwire ports mask
    (bsc#1012628).
  - ASoC: codecs: wsa881x: Correct Soundwire ports mask
    (bsc#1012628).
  - ASoC: codecs: wsa883x: parse port-mapping information
    (bsc#1012628).
  - ASoC: codecs: wsa883x: Correct Soundwire ports mask
    (bsc#1012628).
  - ASoC: codecs: wsa884x: parse port-mapping information
    (bsc#1012628).
  - ASoC: codecs: wsa884x: Correct Soundwire ports mask
    (bsc#1012628).
  - ASoC: sti: add missing probe entry for player and reader
    (bsc#1012628).
  - spi: spidev: Add missing spi_device_id for bh2228fv
    (bsc#1012628).
  - ASoC: SOF: Remove libraries from topology lookups (bsc#1012628).
  - i2c: smbus: Send alert notifications to all devices if source
    not found (bsc#1012628).
  - bpf: kprobe: remove unused declaring of bpf_kprobe_override
    (bsc#1012628).
  - kprobes: Fix to check symbol prefixes correctly (bsc#1012628).
  - ASoC: cs-amp-lib: Fix NULL pointer crash if efi.get_variable
    is NULL (bsc#1012628).
  - i2c: qcom-geni: Add missing clk_disable_unprepare in
    geni_i2c_runtime_resume (bsc#1012628).
  - ASoC: cs35l56: Revert support for dual-ownership of ASP
    registers (bsc#1012628).
  - ASoC: cs35l56: Handle OTP read latency over SoundWire
    (bsc#1012628).
  - drm/atomic: allow no-op FB_ID updates for async flips
    (bsc#1012628).
  - i2c: qcom-geni: Add missing geni_icc_disable in
    geni_i2c_runtime_resume (bsc#1012628).
  - drm/i915: Allow evicting to use the requested placement
    (bsc#1012628).
  - drm/i915: Attempt to get pages without eviction first
    (bsc#1012628).
  - drm/amd/display: Replace dm_execute_dmub_cmd with
    dc_wake_and_execute_dmub_cmd (bsc#1012628).
  - spi: spi-fsl-lpspi: Fix scldiv calculation (bsc#1012628).
  - ALSA: usb-audio: Re-add ScratchAmp quirk entries (bsc#1012628).
  - drm/xe/rtp: Fix off-by-one when processing rules (bsc#1012628).
  - drm/xe: Use dma_fence_chain_free in chain fence unused as a sync
    (bsc#1012628).
  - drm/xe/hwmon: Fix PL1 disable flow in xe_hwmon_power_max_write
    (bsc#1012628).
  - drm/xe: Minor cleanup in LRC handling (bsc#1012628).
  - drm/xe: Take ref to VM in delayed snapshot (bsc#1012628).
  - ASoC: meson: axg-fifo: fix irq scheduling issue with PREEMPT_RT
    (bsc#1012628).
  - cifs: cifs_inval_name_dfs_link_error: correct the check for
    fullpath (bsc#1012628).
  - module: warn about excessively long module waits (bsc#1012628).
  - module: make waiting for a concurrent module loader
    interruptible (bsc#1012628).
  - drm/i915/gem: Fix Virtual Memory mapping boundaries calculation
    (bsc#1012628).
  - drm/amd/display: Skip Recompute DSC Params if no Stream on Link
    (bsc#1012628).
  - drm/amdgpu: Forward soft recovery errors to userspace
    (bsc#1012628).
  - drm/i915/gem: Adjust vma offset for framebuffer mmap offset
    (bsc#1012628).
  - drm/client: fix null pointer dereference in
    drm_client_modeset_probe (bsc#1012628).
  - drm/i915/display: correct dual pps handling for MTL_PCH+
    (bsc#1012628).
  - drm/test: fix the gem shmem test to map the sg table
    (bsc#1012628).
  - io_uring/net: ensure expanded bundle recv gets marked for
    cleanup (bsc#1012628).
  - io_uring/net: ensure expanded bundle send gets marked for
    cleanup (bsc#1012628).
  - io_uring/net: don't pick multiple buffers for non-bundle send
    (bsc#1012628).
  - ALSA: line6: Fix racy access to midibuf (bsc#1012628).
  - ALSA: hda: Add HP MP9 G4 Retail System AMS to force connect list
    (bsc#1012628).
  - ALSA: hda/realtek: Add Framework Laptop 13 (Intel Core Ultra)
    to quirks (bsc#1012628).
  - ALSA: hda/hdmi: Yet more pin fix for HP EliteDesk 800 G4
    (bsc#1012628).
  - usb: vhci-hcd: Do not drop references before new references
    are gained (bsc#1012628).
  - USB: serial: debug: do not echo input by default (bsc#1012628).
  - usb: typec: fsa4480: Check if the chip is really there
    (bsc#1012628).
  - usb: gadget: core: Check for unset descriptor (bsc#1012628).
  - usb: gadget: midi2: Fix the response for FB info with block 0xff
    (bsc#1012628).
  - usb: gadget: u_serial: Set start_delayed during suspend
    (bsc#1012628).
  - usb: gadget: f_fs: restore ffs_func_disable() functionality
    (bsc#1012628).
  - usb: gadget: u_audio: Check return codes from usb_ep_enable
    and config_ep_by_speed (bsc#1012628).
  - scsi: mpi3mr: Avoid IOMMU page faults on REPORT ZONES
    (bsc#1012628).
  - scsi: ufs: core: Fix deadlock during RTC update (bsc#1012628).
  - scsi: ufs: core: Do not set link to OFF state while waking up
    from hibernation (bsc#1012628).
  - scsi: ufs: core: Fix hba->last_dme_cmd_tstamp timestamp updating
    logic (bsc#1012628).
  - tick/broadcast: Move per CPU pointer access into the atomic
    section (bsc#1012628).
  - media: v4l: Fix missing tabular column hint for Y14P format
    (bsc#1012628).
  - vhost-vdpa: switch to use vmf_insert_pfn() in the fault handler
    (bsc#1012628).
  - spmi: pmic-arb: add missing newline in dev_err format strings
    (bsc#1012628).
  - ntp: Clamp maxerror and esterror to operating range
    (bsc#1012628).
  - driver core: Fix uevent_show() vs driver detach race
    (bsc#1012628).
  - arm64: dts: ti: k3-am62-verdin-dahlia: Keep CTRL_SLEEP_MOCI#
    regulator on (bsc#1012628).
  - tracefs: Fix inode allocation (bsc#1012628).
  - tracefs: Use generic inode RCU for synchronizing freeing
    (bsc#1012628).
  - ntp: Safeguard against time_constant overflow (bsc#1012628).
  - timekeeping: Fix bogus clock_was_set() invocation in
    do_adjtimex() (bsc#1012628).
  - serial: core: check uartclk for zero to avoid divide by zero
    (bsc#1012628).
  - serial: sc16is7xx: fix TX fifo corruption (bsc#1012628).
  - serial: sc16is7xx: fix invalid FIFO access with special register
    set (bsc#1012628).
  - tty: vt: conmakehash: cope with abs_srctree no longer in env
    (bsc#1012628).
  - memcg: protect concurrent access to mem_cgroup_idr
    (bsc#1012628).
  - parisc: fix unaligned accesses in BPF (bsc#1012628).
  - parisc: fix a possible DMA corruption (bsc#1012628).
  - ASoC: amd: yc: Add quirk entry for OMEN by HP Gaming Laptop
    16-n0xxx (bsc#1012628).
  - spmi: pmic-arb: Pass the correct of_node to irq_domain_add_tree
    (bsc#1012628).
  - kcov: properly check for softirq context (bsc#1012628).
  - irqchip/xilinx: Fix shift out of bounds (bsc#1012628).
  - irqchip/riscv-aplic: Retrigger MSI interrupt on source
    configuration (bsc#1012628).
  - genirq/irqdesc: Honor caller provided affinity in alloc_desc()
    (bsc#1012628).
  - LoongArch: Enable general EFI poweroff method (bsc#1012628).
  - power: supply: qcom_battmgr: return EAGAIN when firmware
    service is not up (bsc#1012628).
  - power: supply: axp288_charger: Fix constant_charge_voltage
    writes (bsc#1012628).
  - power: supply: axp288_charger: Round constant_charge_voltage
    writes down (bsc#1012628).
  - tracing: Have format file honor EVENT_FILE_FL_FREED
    (bsc#1012628).
  - tracing: Fix overflow in get_free_elt() (bsc#1012628).
  - padata: Fix possible divide-by-0 panic in padata_mt_helper()
    (bsc#1012628).
  - smb3: fix setting SecurityFlags when encryption is required
    (bsc#1012628).
  - eventfs: Don't return NULL in eventfs_create_dir()
    (bsc#1012628).
  - eventfs: Use SRCU for freeing eventfs_inodes (bsc#1012628).
  - selftests: mm: add s390 to ARCH check (bsc#1012628).
  - mm: list_lru: fix UAF for memory cgroup (bsc#1012628).
  - net/tcp: Disable TCP-AO static key after RCU grace period
    (bsc#1012628).
  - btrfs: avoid using fixed char array size for tree names
    (bsc#1012628).
  - x86/paravirt: Fix incorrect virt spinlock setting on bare metal
    (bsc#1012628).
  - x86/mtrr: Check if fixed MTRRs exist before saving them
    (bsc#1012628).
  - sched/smt: Introduce sched_smt_present_inc/dec() helper
    (bsc#1012628).
  - sched/smt: Fix unbalance sched_smt_present dec/inc
    (bsc#1012628).
  - sched/core: Introduce sched_set_rq_on/offline() helper
    (bsc#1012628).
  - sched/core: Fix unbalance set_rq_online/offline() in
    sched_cpu_deactivate() (bsc#1012628).
  - drm/bridge: analogix_dp: properly handle zero sized AUX
    transactions (bsc#1012628).
  - drm/dp_mst: Skip CSN if topology probing is not done yet
    (bsc#1012628).
  - drm/lima: Mark simple_ondemand governor as softdep
    (bsc#1012628).
  - drm/mgag200: Set DDC timeout in milliseconds (bsc#1012628).
  - drm/mgag200: Bind I2C lifetime to DRM device (bsc#1012628).
  - drm/radeon: Remove __counted_by from StateArray.states[]
    (bsc#1012628).
  - mptcp: fully established after ADD_ADDR echo on MPJ
    (bsc#1012628).
  - mptcp: pm: deny endp with signal + subflow + port (bsc#1012628).
  - block: use the right type for stub rq_integrity_vec()
    (bsc#1012628).
  - Revert "drm/amd/display: Handle HPD_IRQ for internal link"
    (bsc#1012628).
  - Revert "drm/amd/display: Add NULL check for 'afb' before
    dereferencing in amdgpu_dm_plane_handle_cursor_update"
    (bsc#1012628).
  - btrfs: fix corruption after buffer fault in during direct IO
    append write (bsc#1012628).
  - idpf: fix memleak in vport interrupt configuration
    (bsc#1012628).
  - drm/amd/display: Add null check in
    resource_log_pipe_topology_update (bsc#1012628).
  - drm/amd/display: Change ASSR disable sequence (bsc#1012628).
  - mptcp: pm: reduce indentation blocks (bsc#1012628).
  - mptcp: pm: don't try to create sf if alloc failed (bsc#1012628).
  - mptcp: pm: do not ignore 'subflow' if 'signal' flag is also set
    (bsc#1012628).
  - selftests: mptcp: join: ability to invert ADD_ADDR check
    (bsc#1012628).
  - selftests: mptcp: join: test both signal & subflow
    (bsc#1012628).
  - btrfs: fix double inode unlock for direct IO sync writes
    (bsc#1012628).
  - Refresh
    patches.suse/Revert-drm-amd-display-FEC-overhead-should-be-checke.patch.
  - Rename to
    patches.kernel.org/6.10.5-032-bnxt_en-Fix-memory-out-of-bounds-in-bnxt_fill_.patch.
  - Rename to
    patches.kernel.org/6.10.5-128-net-drop-bad-gso-csum_start-and-offset-in-virt.patch.
  - Update config files.
  - commit 7b97446
* Wed Aug 14 2024 tiwai@suse.de
  - Revert "ata: libata-scsi: Honor the D_SENSE bit for CK_COND=1
    and no error" (bsc#1229054).
  - commit 28c6ded
* Mon Aug 12 2024 jslaby@suse.cz
  - rpm/kernel-binary.spec.in: fix klp_symbols macro
    The commit below removed openSUSE filter from %ifs of the klp_symbols
    definition. But it removed -c of grep too and that causes:
    error: syntax error in expression:  01 && (  || 1 )
    error:                                        ^
    error: unmatched (:  01 && (  || 1 )
    error:                     ^
    error: kernel-default.spec:137: bad %if condition:  01 && (  || 1 )
    So reintroduce -c to the PTF's grep.
    Fixes: fd0b293bebaf (kernel-binary.spec.in: Enable klp_symbols on openSUSE Tumbleweed (boo#1229042).)
  - commit 4a36fe3
* Mon Aug 12 2024 jslaby@suse.cz
  - bnxt_en : Fix memory out-of-bounds in bnxt_fill_hw_rss_tbl()
    (git-fixes).
  - commit 27a3e23
* Mon Aug 12 2024 mkubecek@suse.cz
  - update to 6.11-rc3
  - commit b7fed99
* Sun Aug 11 2024 jslaby@suse.cz
  - Linux 6.10.4 (bsc#1012628).
  - selftests: mptcp: join: check backup support in signal endp
    (bsc#1012628).
  - selftests: mptcp: join: validate backup in MPJ (bsc#1012628).
  - selftests: mptcp: always close input's FD if opened
    (bsc#1012628).
  - selftests: mptcp: fix error path (bsc#1012628).
  - mptcp: fix duplicate data handling (bsc#1012628).
  - mptcp: pm: only set request_bkup flag when sending MP_PRIO
    (bsc#1012628).
  - mptcp: pm: fix backup support in signal endpoints (bsc#1012628).
  - mptcp: fix bad RCVPRUNED mib accounting (bsc#1012628).
  - mptcp: mib: count MPJ with backup flag (bsc#1012628).
  - mptcp: fix NL PM announced address accounting (bsc#1012628).
  - mptcp: distinguish rcv vs sent backup flag in requests
    (bsc#1012628).
  - mptcp: fix user-space PM announced address accounting
    (bsc#1012628).
  - r8169: don't increment tx_dropped in case of NETDEV_TX_BUSY
    (bsc#1012628).
  - net: wan: fsl_qmc_hdlc: Discard received CRC (bsc#1012628).
  - net: wan: fsl_qmc_hdlc: Convert carrier_lock spinlock to a mutex
    (bsc#1012628).
  - net: usb: sr9700: fix uninitialized variable use in sr_mdio_read
    (bsc#1012628).
  - io_uring: keep multishot request NAPI timeout current
    (bsc#1012628).
  - wifi: mac80211: use monitor sdata with driver only if desired
    (bsc#1012628).
  - wifi: ath12k: fix soft lockup on suspend (bsc#1012628).
  - nouveau: set placement to original placement on uvmm validate
    (bsc#1012628).
  - Bluetooth: hci_event: Fix setting DISCOVERY_FINDING for passive
    scanning (bsc#1012628).
  - drm/v3d: Validate passed in drm syncobj handles in the
    performance extension (bsc#1012628).
  - drm/v3d: Validate passed in drm syncobj handles in the timestamp
    extension (bsc#1012628).
  - drm/v3d: Fix potential memory leak in the performance extension
    (bsc#1012628).
  - drm/v3d: Fix potential memory leak in the timestamp extension
    (bsc#1012628).
  - drm/v3d: Prevent out of bounds access in performance query
    extensions (bsc#1012628).
  - drm/i915: Fix possible int overflow in skl_ddi_calculate_wrpll()
    (bsc#1012628).
  - drm/virtio: Fix type of dma-fence context variable
    (bsc#1012628).
  - drm/amdgpu: fix contiguous handling for IB parsing v2
    (bsc#1012628).
  - drm/ast: Fix black screen after resume (bsc#1012628).
  - drm/ast: astdp: Wake up during connector status detection
    (bsc#1012628).
  - drm/vmwgfx: Fix handling of dumb buffers (bsc#1012628).
  - drm/vmwgfx: Fix a deadlock in dma buf fence polling
    (bsc#1012628).
  - PCI: pciehp: Retain Power Indicator bits for userspace
    indicators (bsc#1012628).
  - Revert "ALSA: firewire-lib: operate for period elapse event
    in process context" (bsc#1012628).
  - Revert "ALSA: firewire-lib: obsolete workqueue for period
    update" (bsc#1012628).
  - ALSA: seq: ump: Optimize conversions from SysEx to UMP
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Acer Aspire E5-574G
    (bsc#1012628).
  - ALSA: usb-audio: Correct surround channels in UAC1 channel map
    (bsc#1012628).
  - mptcp: sched: check both directions for backup (bsc#1012628).
  - protect the fetch of ->fd[fd] in do_dup2() from mispredictions
    (bsc#1012628).
  - btrfs: make cow_file_range_inline() honor locked_page on error
    (bsc#1012628).
  - btrfs: do not subtract delalloc from avail bytes (bsc#1012628).
  - btrfs: zoned: fix zone_unusable accounting on making block
    group read-write again (bsc#1012628).
  - HID: wacom: Modify pen IDs (bsc#1012628).
  - platform/chrome: cros_ec_proto: Lock device when updating MKBP
    version (bsc#1012628).
  - s390/fpu: Re-add exception handling in load_fpu_state()
    (bsc#1012628).
  - ceph: force sending a cap update msg back to MDS for revoke op
    (bsc#1012628).
  - rust: SHADOW_CALL_STACK is incompatible with Rust (bsc#1012628).
  - arm64: jump_label: Ensure patched jump_labels are visible to
    all CPUs (bsc#1012628).
  - riscv: Fix linear mapping checks for non-contiguous memory
    regions (bsc#1012628).
  - RISC-V: Enable the IPI before workqueue_online_cpu()
    (bsc#1012628).
  - riscv/mm: Add handling for VM_FAULT_SIGSEGV in mm_fault_error()
    (bsc#1012628).
  - perf: riscv: Fix selecting counters in legacy mode
    (bsc#1012628).
  - perf arch events: Fix duplicate RISC-V SBI firmware event name
    (bsc#1012628).
  - riscv/purgatory: align riscv_kernel_entry (bsc#1012628).
  - ipv6: fix ndisc_is_useropt() handling for PIO (bsc#1012628).
  - igc: Fix double reset adapter triggered from a single taprio
    cmd (bsc#1012628).
  - net/mlx5e: Add a check for the return value from
    mlx5_port_set_eth_ptys (bsc#1012628).
  - net/mlx5e: Fix CT entry update leaks of modify header context
    (bsc#1012628).
  - net/mlx5e: Require mlx5 tc classifier action support for IPsec
    prio capability (bsc#1012628).
  - net/mlx5: Fix missing lock on sync reset reload (bsc#1012628).
  - net/mlx5: Lag, don't use the hardcoded value of the first port
    (bsc#1012628).
  - net/mlx5: Fix error handling in irq_pool_request_irq
    (bsc#1012628).
  - net/mlx5: Always drain health in shutdown callback
    (bsc#1012628).
  - netfilter: iptables: Fix potential null-ptr-deref in
    ip6table_nat_table_init() (bsc#1012628).
  - netfilter: iptables: Fix null-ptr-deref in
    iptable_nat_table_init() (bsc#1012628).
  - drm/atomic: Allow userspace to use damage clips with async flips
    (bsc#1012628).
  - drm/atomic: Allow userspace to use explicit sync with atomic
    async flips (bsc#1012628).
  - ALSA: hda: Conditionally use snooping for AMD HDMI
    (bsc#1012628).
  - s390/mm/ptdump: Fix handling of identity mapping area
    (bsc#1012628).
  - net: phy: micrel: Fix the KSZ9131 MDI-X status issue
    (bsc#1012628).
  - net: mvpp2: Don't re-use loop iterator (bsc#1012628).
  - drm/i915/hdcp: Fix HDCP2_STREAM_STATUS macro (bsc#1012628).
  - net/iucv: fix use after free in iucv_sock_close() (bsc#1012628).
  - ice: xsk: fix txq interrupt mapping (bsc#1012628).
  - ice: add missing WRITE_ONCE when clearing ice_rx_ring::xdp_prog
    (bsc#1012628).
  - ice: improve updating ice_{t,r}x_ring::xsk_pool (bsc#1012628).
  - ice: toggle netif_carrier when setting up XSK pool
    (bsc#1012628).
  - ice: modify error handling when setting XSK pool in ndo_bpf
    (bsc#1012628).
  - ice: replace synchronize_rcu with synchronize_net (bsc#1012628).
  - ice: don't busy wait for Rx queue disable in ice_qp_dis()
    (bsc#1012628).
  - ice: respect netif readiness in AF_XDP ZC related ndo's
    (bsc#1012628).
  - i915/perf: Remove code to update PWR_CLK_STATE for gen12
    (bsc#1012628).
  - rtnetlink: Don't ignore IFLA_TARGET_NETNSID when ifname is
    specified in rtnl_dellink() (bsc#1012628).
  - net: axienet: start napi before enabling Rx/Tx (bsc#1012628).
  - tcp: Adjust clamping window for applications specifying
    SO_RCVBUF (bsc#1012628).
  - ethtool: fix setting key and resetting indir at once
    (bsc#1012628).
  - drm/client: Fix error code in drm_client_buffer_vmap_local()
    (bsc#1012628).
  - Bluetooth: hci_sync: Fix suspending with wrong filter policy
    (bsc#1012628).
  - Bluetooth: btintel: Fail setup on error (bsc#1012628).
  - ALSA: hda: conexant: Fix headset auto detect fail in the
    polling mode (bsc#1012628).
  - net: phy: realtek: add support for RTL8366S Gigabit PHY
    (bsc#1012628).
  - wifi: cfg80211: correct S1G beacon length calculation
    (bsc#1012628).
  - wifi: cfg80211: fix reporting failed MLO links status with
    cfg80211_connect_done (bsc#1012628).
  - sched: act_ct: take care of padding in struct zones_ht_key
    (bsc#1012628).
  - drm/vmwgfx: Trigger a modeset when the screen moves
    (bsc#1012628).
  - ethtool: rss: echo the context number back (bsc#1012628).
  - netlink: specs: correct the spec of ethtool (bsc#1012628).
  - bnxt_en: Fix RSS logic in __bnxt_reserve_rings() (bsc#1012628).
  - drm/vmwgfx: Fix overlay when using Screen Targets (bsc#1012628).
  - drm/vmwgfx: Make sure the screen surface is ref counted
    (bsc#1012628).
  - drm/nouveau: prime: fix refcount underflow (bsc#1012628).
  - drm/gpuvm: fix missing dependency to DRM_EXEC (bsc#1012628).
  - perf tool: fix dereferencing NULL al->maps (bsc#1012628).
  - HID: amd_sfh: Move sensor discovery before HID device
    initialization (bsc#1012628).
  - ARM: 9408/1: mm: CFI: Fix some erroneous reset prototypes
    (bsc#1012628).
  - ARM: 9406/1: Fix callchain_trace() return value (bsc#1012628).
  - MIPS: dts: loongson: Fix ls2k1000-rtc interrupt (bsc#1012628).
  - MIPS: dts: loongson: Fix liointc IRQ polarity (bsc#1012628).
  - MIPS: Loongson64: DTS: Fix PCIe port nodes for ls7a
    (bsc#1012628).
  - perf/x86/intel: Add a distinct name for Granite Rapids
    (bsc#1012628).
  - perf/x86/intel: Switch to new Intel CPU model defines
    (bsc#1012628).
  - perf: imx_perf: fix counter start and config sequence
    (bsc#1012628).
  - f2fs: assign CURSEG_ALL_DATA_ATGC if blkaddr is valid
    (bsc#1012628).
  - f2fs: fix to avoid use SSR allocate when do defragment
    (bsc#1012628).
  - ext4: check the extent status again before inserting delalloc
    block (bsc#1012628).
  - ext4: factor out a common helper to query extent map
    (bsc#1012628).
  - mm/migrate: putback split folios when numa hint migration fails
    (bsc#1012628).
  - mm/migrate: move NUMA hinting fault folio isolation + checks
    under PTL (bsc#1012628).
  - mm/migrate: make migrate_misplaced_folio() return 0 on success
    (bsc#1012628).
  - mm: fix khugepaged activation policy (bsc#1012628).
  - mm/huge_memory: mark racy access onhuge_anon_orders_always
    (bsc#1012628).
  - commit f753e8f
* Sat Aug 10 2024 tiwai@suse.de
  - rpm/kernel-binary.spec.in: Fix build regression
    The previous fix forgot to take over grep -c option that broke the
    conditional expression
  - commit d29edf2
* Fri Aug 09 2024 mpdesouza@suse.com
  - kernel-binary.spec.in: Enable klp_symbols on openSUSE Tumbleweed (boo#1229042).
    After the Jump project the kernel used by SLE and openSUSE Leap are the
    same. As consequence the klp_symbols variable is set, enabling
    kernel-default-livepatch-devel on both SLE and openSUSE.
    The current rules to avoid enabling the package exclude openSUSE
    Tumbleweed alone, which doesn't makes sense for now. Enabling
    kernel-default-livepatch-devel on TW makes it easier to test the
    creation of kernel livepatches of the next SLE versions.
  - commit fd0b293
* Thu Aug 08 2024 mvetter@suse.com
  - kernel-binary: generate and install compile_commands.json (bsc#1228971)
    This file contains the command line options used to compile every C file.
    It's useful for the livepatching team.
  - commit c063746
* Wed Aug 07 2024 mkoutny@suse.com
  - packaging: Add case-sensitive perl option parsing
    A recent change in Getopt::Long [1]:
    Changes in version 2.55
    - ----------------------
    * Fix long standing bug that duplicate options were not detected
    when the options differ in case while ignore_case is in effect.
    This will now yield a warning and become a fatal error in a future
    release.
    perl defaults to ignore_case by default, switch it off to avoid
    accidental misparsing of options.
    This was suggested after similar change in scripts/.
  - commit e978477
* Mon Aug 05 2024 mkubecek@suse.cz
  - check-for-config-changes: ignore also GCC_ASM_GOTO_OUTPUT_BROKEN
    Mainline commit f2f6a8e88717 ("init/Kconfig: remove
    CONFIG_GCC_ASM_GOTO_OUTPUT_WORKAROUND") replaced
    GCC_ASM_GOTO_OUTPUT_WORKAROUND with GCC_ASM_GOTO_OUTPUT_BROKEN. Ignore both
    when checking config changes.
  - commit b60be3e
* Mon Aug 05 2024 mkubecek@suse.cz
  - update to 6.11-rc2
  - update configs
    - FBNIC=m (x86_64 only)
  - commit 5b048b7
* Sun Aug 04 2024 jslaby@suse.cz
  - net: drop bad gso csum_start and offset in virtio_net_hdr
    (git-fixes).
  - commit ba01e0e
* Sat Aug 03 2024 jslaby@suse.cz
  - Linux 6.10.3 (bsc#1012628).
  - spi: spi-microchip-core: Fix the number of chip selects
    supported (bsc#1012628).
  - spi: atmel-quadspi: Add missing check for clk_prepare
    (bsc#1012628).
  - EDAC, i10nm: make skx_common.o a separate module (bsc#1012628).
  - rcu/tasks: Fix stale task snaphot for Tasks Trace (bsc#1012628).
  - md: fix deadlock between mddev_suspend and flush bio
    (bsc#1012628).
  - md/raid0: don't free conf on raid0_run failure (bsc#1012628).
  - md/raid1: don't free conf on raid0_run failure (bsc#1012628).
  - platform/chrome: cros_ec_debugfs: fix wrong EC message version
    (bsc#1012628).
  - ubd: refactor the interrupt handler (bsc#1012628).
  - ubd: untagle discard vs write zeroes not support handling
    (bsc#1012628).
  - block: initialize integrity buffer to zero before writing it
    to media (bsc#1012628).
  - dm: Call dm_revalidate_zones() after setting the queue limits
    (bsc#1012628).
  - io_uring: Fix probe of disabled operations (bsc#1012628).
  - cgroup/cpuset: Optimize isolated partition only
    generate_sched_domains() calls (bsc#1012628).
  - cgroup/cpuset: Fix remote root partition creation problem
    (bsc#1012628).
  - x86/kconfig: Add as-instr64 macro to properly evaluate AS_WRUSS
    (bsc#1012628).
  - hfsplus: fix to avoid false alarm of circular locking
    (bsc#1012628).
  - x86/of: Return consistent error type from
    x86_of_pci_irq_enable() (bsc#1012628).
  - x86/pci/intel_mid_pci: Fix PCIBIOS_* return code handling
    (bsc#1012628).
  - x86/pci/xen: Fix PCIBIOS_* return code handling (bsc#1012628).
  - x86/platform/iosf_mbi: Convert PCIBIOS_* return codes to errnos
    (bsc#1012628).
  - x86/syscall: Mark exit[_group] syscall handlers __noreturn
    (bsc#1012628).
  - cgroup/cpuset: Prevent UAF in proc_cpuset_show() (bsc#1012628).
  - hwmon: (adt7475) Fix default duty on fan is disabled
    (bsc#1012628).
  - block: Call .limit_depth() after .hctx has been set
    (bsc#1012628).
  - block/mq-deadline: Fix the tag reservation code (bsc#1012628).
  - xen-blkfront: fix sector_size propagation to the block layer
    (bsc#1012628).
  - perf: arm_pmuv3: Avoid assigning fixed cycle counter with
    threshold (bsc#1012628).
  - md: Don't wait for MD_RECOVERY_NEEDED for HOT_REMOVE_DISK ioctl
    (bsc#1012628).
  - md/raid5: recheck if reshape has finished with device_lock held
    (bsc#1012628).
  - hwmon: (ltc2991) re-order conditions to fix off by one bug
    (bsc#1012628).
  - pwm: stm32: Always do lazy disabling (bsc#1012628).
  - drm/bridge: adv7511: Fix Intermittent EDID failures
    (bsc#1012628).
  - arm64: smp: Fix missing IPI statistics (bsc#1012628).
  - nvmet-auth: fix nvmet_auth hash error handling (bsc#1012628).
  - drm/meson: fix canvas release in bind function (bsc#1012628).
  - pwm: atmel-tcb: Fix race condition and convert to guards
    (bsc#1012628).
  - drm/xe/display/xe_hdcp_gsc: Free arbiter on driver removal
    (bsc#1012628).
  - hwmon: (max6697) Fix underflow when writing limit attributes
    (bsc#1012628).
  - hwmon: (max6697) Fix swapped temp{1,8} critical alarms
    (bsc#1012628).
  - soc: qcom: socinfo: Update X1E PMICs (bsc#1012628).
  - arm64: dts: qcom: sc8180x: Correct PCIe slave ports
    (bsc#1012628).
  - arm64: dts: qcom: sc7280: Remove CTS/RTS configuration
    (bsc#1012628).
  - ARM: dts: qcom: msm8226-microsoft-common: Enable smbb explicitly
    (bsc#1012628).
  - arm64: dts: qcom: sc7180: drop extra UFS PHY compat
    (bsc#1012628).
  - arm64: dts: qcom: sc8180x: add power-domain to UFS PHY
    (bsc#1012628).
  - arm64: dts: qcom: sdm845: add power-domain to UFS PHY
    (bsc#1012628).
  - arm64: dts: qcom: sm6115: add power-domain to UFS PHY
    (bsc#1012628).
  - arm64: dts: qcom: sm6350: add power-domain to UFS PHY
    (bsc#1012628).
  - arm64: dts: qcom: sm8250: add power-domain to UFS PHY
    (bsc#1012628).
  - arm64: dts: qcom: sm8350: add power-domain to UFS PHY
    (bsc#1012628).
  - arm64: dts: qcom: sm8450: add power-domain to UFS PHY
    (bsc#1012628).
  - arm64: dts: qcom: msm8996-xiaomi-common: drop excton from the
    USB PHY (bsc#1012628).
  - arm64: dts: qcom: sdm850-lenovo-yoga-c630: fix IPA firmware path
    (bsc#1012628).
  - arm64: dts: qcom: msm8998: enable adreno_smmu by default
    (bsc#1012628).
  - soc: qcom: pmic_glink: Handle the return value of
    pmic_glink_init (bsc#1012628).
  - soc: qcom: rpmh-rsc: Ensure irqs aren't disabled by
    rpmh_rsc_send_data() callers (bsc#1012628).
  - arm64: dts: rockchip: Add sdmmc related properties on
    rk3308-rock-pi-s (bsc#1012628).
  - arm64: dts: rockchip: Add pinctrl for UART0 to rk3308-rock-pi-s
    (bsc#1012628).
  - arm64: dts: rockchip: Add mdio and ethernet-phy nodes to
    rk3308-rock-pi-s (bsc#1012628).
  - arm64: dts: rockchip: Update WIFi/BT related nodes on
    rk3308-rock-pi-s (bsc#1012628).
  - cpufreq: sun50i: fix memory leak in dt_has_supported_hw()
    (bsc#1012628).
  - OPP: Fix missing cleanup on error in _opp_attach_genpd()
    (bsc#1012628).
  - arm64: dts: qcom: msm8996: specify UFS core_clk frequencies
    (bsc#1012628).
  - arm64: dts: qcom: sa8775p: mark ethernet devices as DMA-coherent
    (bsc#1012628).
  - soc: xilinx: rename cpu_number1 to dummy_cpu_number
    (bsc#1012628).
  - ARM: dts: sunxi: remove duplicated entries in makefile
    (bsc#1012628).
  - ARM: dts: stm32: Add arm,no-tick-in-suspend to STM32MP15xx
    STGEN timer (bsc#1012628).
  - arm64: dts: qcom: qrb4210-rb2: make L9A always-on (bsc#1012628).
  - cpufreq: ti-cpufreq: Handle deferred probe with dev_err_probe()
    (bsc#1012628).
  - arm64: dts: qcom: sc8280xp-*: Remove thermal zone polling delays
    (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: Throttle the GPU when overheating
    (bsc#1012628).
  - OPP: ti: Fix ti_opp_supply_probe wrong return values
    (bsc#1012628).
  - memory: fsl_ifc: Make FSL_IFC config visible and selectable
    (bsc#1012628).
  - arm64: dts: ti: k3-am62-main: Fix the reg-range for main_pktdma
    (bsc#1012628).
  - arm64: dts: ti: k3-am62a-main: Fix the reg-range for main_pktdma
    (bsc#1012628).
  - arm64: dts: ti: k3-am62p-main: Fix the reg-range for main_pktdma
    (bsc#1012628).
  - arm64: dts: ti: k3-am642-hummingboard-t: correct rs485 rts
    polarity (bsc#1012628).
  - arm64: dts: ti: k3-j722s: Fix main domain GPIO count
    (bsc#1012628).
  - arm64: dts: ti: k3-am62x: Drop McASP AFIFOs (bsc#1012628).
  - arm64: dts: ti: k3-am62a7: Drop McASP AFIFOs (bsc#1012628).
  - arm64: dts: ti: k3-am62p5: Drop McASP AFIFOs (bsc#1012628).
  - arm64: dts: ti: k3-am625-beagleplay: Drop McASP AFIFOs
    (bsc#1012628).
  - arm64: dts: ti: k3-am62-verdin: Drop McASP AFIFOs (bsc#1012628).
  - arm64: dts: ti: k3-am625-phyboard-lyra-rdk: Drop McASP AFIFOs
    (bsc#1012628).
  - arm64: dts: ti: k3-am62p5-sk: Fix pinmux for McASP1 TX
    (bsc#1012628).
  - arm64: dts: qcom: sc7180-trogdor: Disable pwmleds node where
    unused (bsc#1012628).
  - arm64: dts: qcom: qdu1000: Add secure qfprom node (bsc#1012628).
  - soc: qcom: icc-bwmon: Fix refcount imbalance seen during
    bwmon_remove (bsc#1012628).
  - soc: qcom: pdr: protect locator_addr with the main mutex
    (bsc#1012628).
  - soc: qcom: pdr: fix parsing of domains lists (bsc#1012628).
  - arm64: dts: rockchip: Increase VOP clk rate on RK3328
    (bsc#1012628).
  - arm64: dts: amlogic: sm1: fix spdif compatibles (bsc#1012628).
  - ARM: dts: imx6qdl-kontron-samx6i: fix phy-mode (bsc#1012628).
  - ARM: dts: imx6qdl-kontron-samx6i: fix PHY reset (bsc#1012628).
  - ARM: dts: imx6qdl-kontron-samx6i: fix board reset (bsc#1012628).
  - ARM: dts: imx6qdl-kontron-samx6i: fix SPI0 chip selects
    (bsc#1012628).
  - ARM: dts: imx6qdl-kontron-samx6i: fix PCIe reset polarity
    (bsc#1012628).
  - arm64: dts: mediatek: mt8195: Fix GPU thermal zone name for SVS
    (bsc#1012628).
  - arm64: dts: mediatek: mt8192: Fix GPU thermal zone name for SVS
    (bsc#1012628).
  - arm64: dts: medaitek: mt8395-nio-12l: Set i2c6 pins to
    bias-disable (bsc#1012628).
  - arm64: dts: mediatek: mt8183-kukui: Drop bogus output-enable
    property (bsc#1012628).
  - arm64: dts: mediatek: mt8192-asurada: Add off-on-delay-us for
    pp3300_mipibrdg (bsc#1012628).
  - arm64: dts: mediatek: mt7981: fix code alignment for PWM clocks
    (bsc#1012628).
  - arm64: dts: mediatek: mt7622: fix "emmc" pinctrl mux
    (bsc#1012628).
  - arm64: dts: mediatek: mt8183-kukui: Fix the value of
    `dlg,jack-det-rate` mismatch (bsc#1012628).
  - arm64: dts: mediatek: mt8183-pico6: Fix wake-on-X event node
    names (bsc#1012628).
  - arm64: dts: mediatek: mt8183-kukui-jacuzzi: Add ports node
    for anx7625 (bsc#1012628).
  - soc: mediatek: mtk-mutex: Add MDP_TCC0 mod to MT8188 mutex table
    (bsc#1012628).
  - arm64: dts: amlogic: gx: correct hdmi clocks (bsc#1012628).
  - arm64: dts: amlogic: add power domain to hdmitx (bsc#1012628).
  - arm64: dts: amlogic: setup hdmi system clock (bsc#1012628).
  - arm64: dts: rockchip: Drop invalid mic-in-differential on
    rk3568-rock-3a (bsc#1012628).
  - arm64: dts: rockchip: Fix mic-in-differential usage on
    rk3566-roc-pc (bsc#1012628).
  - arm64: dts: rockchip: Fix mic-in-differential usage on
    rk3568-evb1-v10 (bsc#1012628).
  - arm64: dts: renesas: r8a779h0: Drop "opp-shared" from
    opp-table-0 (bsc#1012628).
  - arm64: dts: renesas: r8a779a0: Add missing hypervisor virtual
    timer IRQ (bsc#1012628).
  - arm64: dts: renesas: r8a779f0: Add missing hypervisor virtual
    timer IRQ (bsc#1012628).
  - arm64: dts: renesas: r8a779g0: Add missing hypervisor virtual
    timer IRQ (bsc#1012628).
  - arm64: dts: renesas: r9a07g043u: Add missing hypervisor virtual
    timer IRQ (bsc#1012628).
  - arm64: dts: renesas: r9a07g044: Add missing hypervisor virtual
    timer IRQ (bsc#1012628).
  - arm64: dts: renesas: r9a07g054: Add missing hypervisor virtual
    timer IRQ (bsc#1012628).
  - arm64: dts: renesas: r9a08g045: Add missing hypervisor virtual
    timer IRQ (bsc#1012628).
  - m68k: atari: Fix TT bootup freeze / unexpected (SCU) interrupt
    messages (bsc#1012628).
  - arm64: dts: imx8mp: Fix pgc_mlmix location (bsc#1012628).
  - arm64: dts: imx8mp: Fix pgc vpu locations (bsc#1012628).
  - arm64: dts: qcom: x1e80100: Fix USB HS PHY 0.8V supply
    (bsc#1012628).
  - x86/xen: Convert comma to semicolon (bsc#1012628).
  - arm64: dts: qcom: qrb4210-rb2: Correct max current draw for VBUS
    (bsc#1012628).
  - arm64: dts: rockchip: Add missing power-domains for rk356x
    vop_mmu (bsc#1012628).
  - arm64: dts: rockchip: fix regulator name for Lunzn Fastrhino
    R6xS (bsc#1012628).
  - arm64: dts: rockchip: fix usb regulator for Lunzn Fastrhino R6xS
    (bsc#1012628).
  - arm64: dts: rockchip: fix pmu_io supply for Lunzn Fastrhino R6xS
    (bsc#1012628).
  - arm64: dts: rockchip: remove unused usb2 nodes for Lunzn
    Fastrhino R6xS (bsc#1012628).
  - arm64: dts: rockchip: disable display subsystem for Lunzn
    Fastrhino R6xS (bsc#1012628).
  - arm64: dts: rockchip: fixes PHY reset for Lunzn Fastrhino R68S
    (bsc#1012628).
  - arm64: dts: qcom: sm6350: Add missing qcom,non-secure-domain
    property (bsc#1012628).
  - cpufreq/amd-pstate-ut: Convert nominal_freq to khz during
    comparisons (bsc#1012628).
  - cpufreq/amd-pstate: Fix the scaling_max_freq setting on shared
    memory CPPC systems (bsc#1012628).
  - m68k: cmpxchg: Fix return value for default case in
    __arch_xchg() (bsc#1012628).
  - ARM: spitz: fix GPIO assignment for backlight (bsc#1012628).
  - ARM: Remove address checking for MMUless devices (bsc#1012628).
  - x86/sev: Do RMP memory coverage check after max_pfn has been
    set (bsc#1012628).
  - vmlinux.lds.h: catch .bss..L* sections into BSS") (bsc#1012628).
  - firmware: turris-mox-rwtm: Do not complete if there are no
    waiters (bsc#1012628).
  - firmware: turris-mox-rwtm: Fix checking return value of
    wait_for_completion_timeout() (bsc#1012628).
  - firmware: turris-mox-rwtm: Initialize completion before mailbox
    (bsc#1012628).
  - wifi: ath12k: Don't drop tx_status in failure case
    (bsc#1012628).
  - wifi: ath12k: drop failed transmitted frames from metric
    calculation (bsc#1012628).
  - wifi: ath12k: avoid duplicated vdev stop (bsc#1012628).
  - wifi: brcmsmac: LCN PHY code is used for BCM4313 2G-only device
    (bsc#1012628).
  - wifi: ath12k: Correct 6 GHz frequency value in rx status
    (bsc#1012628).
  - wifi: ath12k: Fix tx completion ring (WBM2SW) setup failure
    (bsc#1012628).
  - wifi: ath12k: fix Smatch warnings on ath12k_core_suspend()
    (bsc#1012628).
  - bpftool: Un-const bpf_func_info to fix it for llvm 17 and newer
    (bsc#1012628).
  - selftests/bpf: Fix prog numbers in test_sockmap (bsc#1012628).
  - wifi: rtw89: 8852b: restore setting for RFE type 5 after device
    resume (bsc#1012628).
  - net: esp: cleanup esp_output_tail_tcp() in case of unsupported
    ESPINTCP (bsc#1012628).
  - wifi: iwlwifi: mvm: don't skip link selection (bsc#1012628).
  - wifi: mac80211: fix TTLM teardown work (bsc#1012628).
  - wifi: mac80211: cancel multi-link reconf work on disconnect
    (bsc#1012628).
  - wifi: mac80211: cancel TTLM teardown work earlier (bsc#1012628).
  - wifi: mac80211: reset negotiated TTLM on disconnect
    (bsc#1012628).
  - wifi: ath11k: refactor setting country code logic (bsc#1012628).
  - wifi: ath11k: restore country code during resume (bsc#1012628).
  - wifi: ath12k: change DMA direction while mapping reinjected
    packets (bsc#1012628).
  - wifi: ath12k: fix invalid memory access while processing
    fragmented packets (bsc#1012628).
  - wifi: ath12k: fix firmware crash during reo reinject
    (bsc#1012628).
  - wifi: rtw89: 8852c: correct logic and restore PCI PHY EQ after
    device resume (bsc#1012628).
  - wifi: ath11k: fix wrong definition of CE ring's base address
    (bsc#1012628).
  - wifi: ath12k: fix wrong definition of CE ring's base address
    (bsc#1012628).
  - net: ethernet: cortina: Restore TSO support (bsc#1012628).
  - tcp: add tcp_done_with_error() helper (bsc#1012628).
  - tcp: fix race in tcp_write_err() (bsc#1012628).
  - tcp: fix races in tcp_abort() (bsc#1012628).
  - tcp: fix races in tcp_v[46]_err() (bsc#1012628).
  - hns3: avoid linking objects into multiple modules (bsc#1012628).
  - libbpf: keep FD_CLOEXEC flag when dup()'ing FD (bsc#1012628).
  - net/smc: set rmb's SG_MAX_SINGLE_ALLOC limitation only when
    CONFIG_ARCH_NO_SG_CHAIN is defined (bsc#1012628).
  - selftests/bpf: Check length of recv in test_sockmap
    (bsc#1012628).
  - udf: Fix lock ordering in udf_evict_inode() (bsc#1012628).
  - sched/core: Move preempt_model_*() helpers from sched.h to
    preempt.h (bsc#1012628).
  - sched/core: Drop spinlocks on contention iff kernel is
    preemptible (bsc#1012628).
  - lib: objagg: Fix general protection fault (bsc#1012628).
  - mlxsw: spectrum_acl_erp: Fix object nesting warning
    (bsc#1012628).
  - mlxsw: spectrum_acl: Fix ACL scale regression and firmware
    errors (bsc#1012628).
  - perf/x86: Serialize set_attr_rdpmc() (bsc#1012628).
  - jump_label: Fix concurrency issues in static_key_slow_dec()
    (bsc#1012628).
  - wifi: ath12k: fix ACPI warning when resume (bsc#1012628).
  - wifi: ath11k: fix wrong handling of CCMP256 and GCMP ciphers
    (bsc#1012628).
  - wifi: ath12k: fix per pdev debugfs registration (bsc#1012628).
  - wifi: cfg80211: fix typo in cfg80211_calculate_bitrate_he()
    (bsc#1012628).
  - wifi: cfg80211: handle 2x996 RU allocation in
    cfg80211_calculate_bitrate_he() (bsc#1012628).
  - wifi: nl80211: expose can-monitor channel property
    (bsc#1012628).
  - wifi: iwlwifi: mvm: fix re-enabling EMLSR (bsc#1012628).
  - wifi: iwlwifi: mvm: separate non-BSS/ROC EMLSR blocking
    (bsc#1012628).
  - bpf: Make bpf_session_cookie() kfunc return long *
    (bsc#1012628).
  - xfrm: Fix input error path memory access (bsc#1012628).
  - xfrm: Log input direction mismatch error in one place
    (bsc#1012628).
  - udf: Fix bogus checksum computation in udf_rename()
    (bsc#1012628).
  - net: fec: Fix FEC_ECR_EN1588 being cleared on link-down
    (bsc#1012628).
  - net: dsa: ksz_common: Allow only up to two HSR HW offloaded
    ports for KSZ9477 (bsc#1012628).
  - bpf: Change bpf_session_cookie return value to __u64 *
    (bsc#1012628).
  - libbpf: Checking the btf_type kind when fixing variable offsets
    (bsc#1012628).
  - libbpf: Skip base btf sanity checks (bsc#1012628).
  - xfrm: Fix unregister netdevice hang on hardware offload
    (bsc#1012628).
  - ipvs: Avoid unnecessary calls to skb_is_gso_sctp (bsc#1012628).
  - netfilter: nf_tables: rise cap on SELinux secmark context
    (bsc#1012628).
  - wifi: mac80211: add ieee80211_tdls_sta_link_id() (bsc#1012628).
  - wifi: mac80211: correcty limit wider BW TDLS STAs (bsc#1012628).
  - wifi: iwlwifi: fix iwl_mvm_get_valid_rx_ant() (bsc#1012628).
  - wifi: iwlwifi: mvm: always unblock EMLSR on ROC end
    (bsc#1012628).
  - wifi: ath12k: advertise driver capabilities for MBSSID and EMA
    (bsc#1012628).
  - wifi: ath12k: fix peer metadata parsing (bsc#1012628).
  - wifi: rtw89: wow: fix GTK offload H2C skbuff issue
    (bsc#1012628).
  - wifi: rtw89: 8852b: fix definition of KIP register number
    (bsc#1012628).
  - wifi: rtl8xxxu: 8188f: Limit TX power index (bsc#1012628).
  - xfrm: Export symbol xfrm_dev_state_delete (bsc#1012628).
  - riscv, bpf: Fix out-of-bounds issue when preparing trampoline
    image (bsc#1012628).
  - bpftool: Mount bpffs when pinmaps path not under the bpffs
    (bsc#1012628).
  - bpf: Fix atomic probe zero-extension (bsc#1012628).
  - perf/x86/intel/pt: Fix pt_topa_entry_for_page() address
    calculation (bsc#1012628).
  - perf: Fix perf_aux_size() for greater-than 32-bit size
    (bsc#1012628).
  - perf: Prevent passing zero nr_pages to rb_alloc_aux()
    (bsc#1012628).
  - perf: Fix default aux_watermark calculation (bsc#1012628).
  - perf/x86/intel/cstate: Fix Alderlake/Raptorlake/Meteorlake
    (bsc#1012628).
  - perf/x86/amd/uncore: Avoid PMU registration if counters are
    unavailable (bsc#1012628).
  - perf/x86/amd/uncore: Fix DF and UMC domain identification
    (bsc#1012628).
  - wifi: rtw89: Fix array index mistake in
    rtw89_sta_info_get_iter() (bsc#1012628).
  - xfrm: fix netdev reference count imbalance (bsc#1012628).
  - xfrm: call xfrm_dev_policy_delete when kill policy
    (bsc#1012628).
  - wifi: virt_wifi: avoid reporting connection success with wrong
    SSID (bsc#1012628).
  - bpf: helpers: fix bpf_wq_set_callback_impl signature
    (bsc#1012628).
  - NFSD: Fix nfsdcld warning (bsc#1012628).
  - gss_krb5: Fix the error handling path for
    crypto_sync_skcipher_setkey (bsc#1012628).
  - net: page_pool: fix warning code (bsc#1012628).
  - wifi: virt_wifi: don't use strlen() in const context
    (bsc#1012628).
  - locking/rwsem: Add __always_inline annotation to
    __down_write_common() and inlined callers (bsc#1012628).
  - selftests/bpf: Close fd in error path in drop_on_reuseport
    (bsc#1012628).
  - selftests/bpf: Null checks for links in bpf_tcp_ca
    (bsc#1012628).
  - selftests/bpf: Close obj in error path in xdp_adjust_tail
    (bsc#1012628).
  - bpf, arm64: Fix trampoline for BPF_TRAMP_F_CALL_ORIG
    (bsc#1012628).
  - selftests/resctrl: Fix closing IMC fds on error and open-code
    R+W instead of loops (bsc#1012628).
  - bpf: annotate BTF show functions with __printf (bsc#1012628).
  - bna: adjust 'name' buf size of bna_tcb and bna_ccb structures
    (bsc#1012628).
  - bpf: Eliminate remaining "make W=1" warnings in kernel/bpf/btf.o
    (bsc#1012628).
  - bpf: fix overflow check in adjust_jmp_off() (bsc#1012628).
  - nfsd: nfsd_file_lease_notifier_call gets a file_lease as an
    argument (bsc#1012628).
  - bpf: Fix null pointer dereference in resolve_prog_type()
    for BPF_PROG_TYPE_EXT (bsc#1012628).
  - net: pse-pd: Do not return EOPNOSUPP if config is null
    (bsc#1012628).
  - net: ethtool: pse-pd: Fix possible null-deref (bsc#1012628).
  - selftests: forwarding: devlink_lib: Wait for udev events after
    reloading (bsc#1012628).
  - Bluetooth: hci_bcm4377: Use correct unit for timeouts
    (bsc#1012628).
  - Bluetooth: btintel: Refactor btintel_set_ppag() (bsc#1012628).
  - Bluetooth: btintel_pcie: Fix irq leak (bsc#1012628).
  - Bluetooth: btnxpuart: Add handling for boot-signature timeout
    errors (bsc#1012628).
  - Bluetooth: hci_event: Set QoS encryption from BIGInfo report
    (bsc#1012628).
  - Bluetooth: hci_core, hci_sync: cleanup struct discovery_state
    (bsc#1012628).
  - virtio_net: Fix napi_skb_cache_put warning (bsc#1012628).
  - xdp: fix invalid wait context of page_pool_destroy()
    (bsc#1012628).
  - net: bridge: mst: Check vlan state for egress decision
    (bsc#1012628).
  - Bluetooth: Fix usage of __hci_cmd_sync_status (bsc#1012628).
  - tcp: Don't access uninit tcp_rsk(req)->ao_keyid in
    tcp_create_openreq_child() (bsc#1012628).
  - drm/rockchip: vop2: Fix the port mux of VP2 (bsc#1012628).
  - drm/arm/komeda: Fix komeda probe failing if there are no links
    in the secondary pipeline (bsc#1012628).
  - drm/amdkfd: Fix CU Masking for GFX 9.4.3 (bsc#1012628).
  - drm/i915/psr: Rename has_psr2 as has_sel_update (bsc#1012628).
  - drm/i915/display: Do not print "psr: enabled" for on Panel
    Replay (bsc#1012628).
  - drm/mipi-dsi: Fix theoretical int overflow in
    mipi_dsi_dcs_write_seq() (bsc#1012628).
  - drm/mipi-dsi: Fix theoretical int overflow in
    mipi_dsi_generic_write_seq() (bsc#1012628).
  - drm/panel: lg-sw43408: add missing error handling (bsc#1012628).
  - drm/amd/pm: Fix aldebaran pcie speed reporting (bsc#1012628).
  - drm/amdgpu: Fix memory range calculation (bsc#1012628).
  - drm/amdgpu: Check if NBIO funcs are NULL in
    amdgpu_device_baco_exit (bsc#1012628).
  - drm/amdgpu: Remove GC HW IP 9.3.0 from noretry=1 (bsc#1012628).
  - drm/panel: himax-hx8394: Handle errors from
    mipi_dsi_dcs_set_display_on() better (bsc#1012628).
  - drm/panel: boe-tv101wum-nl6: If prepare fails, disable GPIO
    before regulators (bsc#1012628).
  - drm/panel: boe-tv101wum-nl6: Check for errors on the NOP in
    prepare() (bsc#1012628).
  - drm/panel: ilitek-ili9882t: If prepare fails, disable GPIO
    before regulators (bsc#1012628).
  - drm/panel: ilitek-ili9882t: Check for errors on the NOP in
    prepare() (bsc#1012628).
  - drm/bridge: it6505: fix hibernate to resume no display issue
    (bsc#1012628).
  - drm/amdgpu: Fix snprintf usage in amdgpu_gfx_kiq_init_ring
    (bsc#1012628).
  - drm/amd/display: dynamically allocate dml2_configuration_options
    structures (bsc#1012628).
  - drm/amd/display: fix graphics_object_id size (bsc#1012628).
  - drm/amd/display: Move 'struct scaler_data' off stack
    (bsc#1012628).
  - media: pci: ivtv: Add check for DMA map result (bsc#1012628).
  - media: dvb-usb: Fix unexpected infinite loop in
    dvb_usb_read_remote_control() (bsc#1012628).
  - media: imon: Fix race getting ictx->lock (bsc#1012628).
  - drm/i915/psr: Use enable boolean from intel_crtc_state for
    Early Transport (bsc#1012628).
  - media: i2c: Fix imx412 exposure control (bsc#1012628).
  - media: i2c: hi846: Fix V4L2_SUBDEV_FORMAT_TRY get_selection()
    (bsc#1012628).
  - media: v4l: async: Fix NULL pointer dereference in adding
    ancillary links (bsc#1012628).
  - drm/amdgpu: Fix type mismatch in amdgpu_gfx_kiq_init_ring
    (bsc#1012628).
  - s390/uv: Don't call folio_wait_writeback() without a folio
    reference (bsc#1012628).
  - drm/msm/dpu: fix encoder irq wait skip (bsc#1012628).
  - Revert "drm/msm/dpu: drop dpu_encoder_phys_ops.atomic_mode_set"
    (bsc#1012628).
  - drm/msm/dpu: drop duplicate drm formats from wb2_formats arrays
    (bsc#1012628).
  - drm/msm/dp: fix runtime_pm handling in dp_wait_hpd_asserted
    (bsc#1012628).
  - perf maps: Fix use after free in
    __maps__fixup_overlap_and_insert (bsc#1012628).
  - media: mediatek: vcodec: Handle invalid decoder vsi
    (bsc#1012628).
  - media: mediatek: vcodec: Fix unreasonable data conversion
    (bsc#1012628).
  - drm/bridge: samsung-dsim: Set P divider based on min/max of
    fin pll (bsc#1012628).
  - drm/i915/display: Skip Panel Replay on pipe comparison if no
    active planes (bsc#1012628).
  - drm/i915/psr: Print Panel Replay status instead of frame lock
    status (bsc#1012628).
  - x86/shstk: Make return uprobe work with shadow stack
    (bsc#1012628).
  - ipmi: ssif_bmc: prevent integer overflow on 32bit systems
    (bsc#1012628).
  - drm/amd/display: use pre-allocated temp structure for bounding
    box (bsc#1012628).
  - saa7134: Unchecked i2c_transfer function result fixed
    (bsc#1012628).
  - media: c8sectpfe: Add missing parameter names (bsc#1012628).
  - media: i2c: imx219: fix msr access command sequence
    (bsc#1012628).
  - media: uvcvideo: Quirk for invalid dev_sof in Logitech C922
    (bsc#1012628).
  - media: uvcvideo: Add quirk for invalid dev_sof in Logitech C920
    (bsc#1012628).
  - media: uvcvideo: Override default flags (bsc#1012628).
  - drm: zynqmp_dpsub: Fix an error handling path in
    zynqmp_dpsub_probe() (bsc#1012628).
  - drm: zynqmp_kms: Fix AUX bus not getting unregistered
    (bsc#1012628).
  - drm/i915/psr: Set SU area width as pipe src width (bsc#1012628).
  - media: rcar-vin: Fix YUYV8_1X16 handling for CSI-2
    (bsc#1012628).
  - media: rcar-csi2: Disable runtime_pm in probe error
    (bsc#1012628).
  - media: rcar-csi2: Cleanup subdevice in remove() (bsc#1012628).
  - media: renesas: vsp1: Fix _irqsave and _irq mix (bsc#1012628).
  - media: renesas: vsp1: Store RPF partition configuration per
    RPF instance (bsc#1012628).
  - drm/ttm/tests: Let ttm_bo_test consider different ww_mutex
    implementation (bsc#1012628).
  - drm/mediatek: Add missing plane settings when async update
    (bsc#1012628).
  - drm/mediatek: Use 8-bit alpha in ETHDR (bsc#1012628).
  - drm/mediatek: Fix XRGB setting error in OVL (bsc#1012628).
  - drm/mediatek: Fix XRGB setting error in Mixer (bsc#1012628).
  - drm/mediatek: Fix destination alpha error in OVL (bsc#1012628).
  - drm/mediatek: Turn off the layers with zero width or height
    (bsc#1012628).
  - drm/mediatek: Add OVL compatible name for MT8195 (bsc#1012628).
  - drm/mediatek: Add DRM_MODE_ROTATE_0 to rotation property
    (bsc#1012628).
  - drm/mediatek: Set DRM mode configs accordingly (bsc#1012628).
  - drm/msm/a6xx: use __unused__ to fix compiler warnings for gen7_*
    includes (bsc#1012628).
  - drm/msm/a6xx: Fix A702 UBWC mode (bsc#1012628).
  - media: imx-jpeg: Drop initial source change event if capture
    has been setup (bsc#1012628).
  - leds: trigger: Unregister sysfs attributes before calling
    deactivate() (bsc#1012628).
  - drm/msm/dsi: set video mode widebus enable bit when widebus
    is enabled (bsc#1012628).
  - drm/msm/dsi: set VIDEO_COMPRESSION_MODE_CTRL_WC (bsc#1012628).
  - drm/msm/dpu: drop validity checks for clear_pending_flush()
    ctl op (bsc#1012628).
  - drm/ttm/tests: Fix a warning in ttm_bo_unreserve_bulk
    (bsc#1012628).
  - drm/panic: only draw the foreground color in drm_panic_blit()
    (bsc#1012628).
  - drm/panic: Fix off-by-one logo size checks (bsc#1012628).
  - platform/arm64: build drivers even on non-ARM64 platforms
    (bsc#1012628).
  - perf test: Make test_arm_callgraph_fp.sh more robust
    (bsc#1012628).
  - tools/perf: Fix the string match for "/tmp/perf-$PID.map"
    files in dso__load (bsc#1012628).
  - perf pmus: Fixes always false when compare duplicates aliases
    (bsc#1012628).
  - perf report: Fix condition in sort__sym_cmp() (bsc#1012628).
  - drm/etnaviv: fix DMA direction handling for cached RW buffers
    (bsc#1012628).
  - drm/qxl: Add check for drm_cvt_mode (bsc#1012628).
  - leds: rgb: leds-qcom-lpg: Add PPG check for setting/clearing
    PBS triggers (bsc#1012628).
  - Revert "leds: led-core: Fix refcount leak in of_led_get()"
    (bsc#1012628).
  - drm/panic: depends on !VT_CONSOLE (bsc#1012628).
  - drm/panic: Do not select DRM_KMS_HELPER (bsc#1012628).
  - drm/mediatek: Remove less-than-zero comparison of an unsigned
    value (bsc#1012628).
  - ext4: fix infinite loop when replaying fast_commit
    (bsc#1012628).
  - drm/amd/display: Add null check before access structs
    (bsc#1012628).
  - drm/mediatek: dpi/dsi: Fix possible_crtcs calculation
    (bsc#1012628).
  - drm/mediatek/dp: Fix spurious kfree() (bsc#1012628).
  - perf stat: Fix a segfault with --per-cluster --metric-only
    (bsc#1012628).
  - media: venus: flush all buffers in output plane streamoff
    (bsc#1012628).
  - perf intel-pt: Fix aux_watermark calculation for 64-bit size
    (bsc#1012628).
  - perf intel-pt: Fix exclude_guest setting (bsc#1012628).
  - drm/panthor: Record devfreq busy as soon as a job is started
    (bsc#1012628).
  - mfd: rsmu: Split core code into separate module (bsc#1012628).
  - mfd: omap-usb-tll: Use struct_size to allocate tll
    (bsc#1012628).
  - xprtrdma: Fix rpcrdma_reqs_reset() (bsc#1012628).
  - SUNRPC: avoid soft lockup when transmitting UDP to reachable
    server (bsc#1012628).
  - NFSv4.1 another fix for EXCHGID4_FLAG_USE_PNFS_DS for DS server
    (bsc#1012628).
  - ext4: don't track ranges in fast_commit if inode has inlined
    data (bsc#1012628).
  - ext4: avoid writing unitialized memory to disk in EA inodes
    (bsc#1012628).
  - leds: flash: leds-qcom-flash: Test the correct variable in init
    (bsc#1012628).
  - sparc64: Fix incorrect function signature and add prototype
    for prom_cif_init (bsc#1012628).
  - perf dso: Fix address sanitizer build (bsc#1012628).
  - platform/x86: asus-wmi: fix TUF laptop RGB variant
    (bsc#1012628).
  - nfs: pass explicit offset/count to trace events (bsc#1012628).
  - SUNRPC: Fixup gss_status tracepoint error output (bsc#1012628).
  - iio: Fix the sorting functionality in
    iio_gts_build_avail_time_table (bsc#1012628).
  - PCI: endpoint: pci-epf-test: Make use of cached 'epc_features'
    in pci_epf_test_core_init() (bsc#1012628).
  - PCI: Fix resource double counting on remove & rescan
    (bsc#1012628).
  - PCI: keystone: Relocate ks_pcie_set/clear_dbi_mode()
    (bsc#1012628).
  - PCI: keystone: Don't enable BAR 0 for AM654x (bsc#1012628).
  - PCI: keystone: Fix NULL pointer dereference in case of DT
    error in ks_pcie_setup_rc_app_regs() (bsc#1012628).
  - PCI: rcar: Demote WARN() to dev_warn_ratelimited() in
    rcar_pcie_wakeup() (bsc#1012628).
  - PCI: tegra194: Set EP alignment restriction for inbound ATU
    (bsc#1012628).
  - riscv: smp: fail booting up smp if inconsistent vlen is detected
    (bsc#1012628).
  - scsi: ufs: mcq: Fix missing argument 'hba' in MCQ_OPR_OFFSET_n
    (bsc#1012628).
  - crypto: tegra - Remove an incorrect iommu_fwspec_free() call
    in tegra_se_remove() (bsc#1012628).
  - crypto: atmel-sha204a - fix negated return value (bsc#1012628).
  - clk: qcom: gcc-sc7280: Update force mem core bit for UFS ICE
    clock (bsc#1012628).
  - clk: qcom: camcc-sc7280: Add parent dependency to all camera
    GDSCs (bsc#1012628).
  - clk: meson: s4: fix fixed_pll_dco clock (bsc#1012628).
  - clk: meson: s4: fix pwm_j_div parent clock (bsc#1012628).
  - iio: adc: ad9467: use DMA safe buffer for spi (bsc#1012628).
  - iio: frequency: adrf6780: rm clk provider include (bsc#1012628).
  - iio: adc: adi-axi-adc: don't allow concurrent enable/disable
    calls (bsc#1012628).
  - iommu/arm-smmu-v3: Avoid uninitialized asid in case of error
    (bsc#1012628).
  - KVM: PPC: Book3S HV: Fix the set_one_reg for MMCR3
    (bsc#1012628).
  - KVM: PPC: Book3S HV: Fix the get_one_reg of SDAR (bsc#1012628).
  - coresight: Fix ref leak when of_coresight_parse_endpoint()
    fails (bsc#1012628).
  - RDMA/mlx5: Set mkeys for dmabuf at PAGE_SIZE (bsc#1012628).
  - powerpc/kexec_file: fix cpus node update to FDT (bsc#1012628).
  - ASoc: tas2781: Enable RCA-based playback without DSP firmware
    download (bsc#1012628).
  - ASoC: cs35l56: Accept values greater than 0 as IRQ numbers
    (bsc#1012628).
  - usb: typec-mux: ptn36502: unregister typec switch on probe
    error and remove (bsc#1012628).
  - usb: typec-mux: nb7vpq904m: unregister typec switch on probe
    error and remove (bsc#1012628).
  - ASoc: PCM6240: Return directly after a failed devm_kzalloc()
    in pcmdevice_i2c_probe() (bsc#1012628).
  - RDMA/cache: Release GID table even if leak is detected
    (bsc#1012628).
  - mtd: spi-nor: winbond: fix w25q128 regression (bsc#1012628).
  - clk: qcom: gpucc-sm8350: Park RCG's clk source at XO during
    disable (bsc#1012628).
  - clk: qcom: gcc-sa8775p: Update the GDSC wait_val fields and
    flags (bsc#1012628).
  - clk: qcom: gpucc-sa8775p: Remove the CLK_IS_CRITICAL and
    ALWAYS_ON flags (bsc#1012628).
  - clk: qcom: gpucc-sa8775p: Park RCG's clk source at XO during
    disable (bsc#1012628).
  - clk: qcom: gpucc-sa8775p: Update wait_val fields for GPU GDSC's
    (bsc#1012628).
  - interconnect: qcom: qcm2290: Fix mas_snoc_bimc RPM master ID
    (bsc#1012628).
  - Input: qt1050 - handle CHIP_ID reading error (bsc#1012628).
  - RDMA/mlx4: Fix truncated output warning in mad.c (bsc#1012628).
  - RDMA/mlx4: Fix truncated output warning in alias_GUID.c
    (bsc#1012628).
  - RDMA/mlx5: Use sq timestamp as QP timestamp when RoCE is
    disabled (bsc#1012628).
  - RDMA/rxe: Don't set BTH_ACK_MASK for UC or UD QPs (bsc#1012628).
  - ASoC: qcom: Adjust issues in case of DT error in
    asoc_qcom_lpass_cpu_platform_probe() (bsc#1012628).
  - scsi: lpfc: Fix a possible null pointer dereference
    (bsc#1012628).
  - hwrng: core - Fix wrong quality calculation at hw rng
    registration (bsc#1012628).
  - powerpc/prom: Add CPU info to hardware description string later
    (bsc#1012628).
  - ASoC: max98088: Check for clk_prepare_enable() error
    (bsc#1012628).
  - iommufd/selftest: Fix dirty bitmap tests with u8 bitmaps
    (bsc#1012628).
  - iommufd/selftest: Fix iommufd_test_dirty() to handle <u8 bitmaps
    (bsc#1012628).
  - iommufd/selftest: Add tests for <= u8 bitmap sizes
    (bsc#1012628).
  - iommufd/selftest: Fix tests to use MOCK_PAGE_SIZE based buffer
    sizes (bsc#1012628).
  - iommufd/iova_bitmap: Check iova_bitmap_done() after set ahead
    (bsc#1012628).
  - mtd: make mtd_test.c a separate module (bsc#1012628).
  - RDMA/device: Return error earlier if port in not valid
    (bsc#1012628).
  - Input: elan_i2c - do not leave interrupt disabled on suspend
    failure (bsc#1012628).
  - clk: qcom: gcc-x1e80100: Fix halt_check for all pipe clocks
    (bsc#1012628).
  - dm-raid: Fix WARN_ON_ONCE check for sync_thread in raid_resume
    (bsc#1012628).
  - kvm: s390: Reject memory region operations for ucontrol VMs
    (bsc#1012628).
  - eeprom: ee1004: Call i2c_new_scanned_device to instantiate
    thermal sensor (bsc#1012628).
  - ASoC: amd: Adjust error handling in case of absent codec device
    (bsc#1012628).
  - iommu/arm-smmu-qcom: Register the TBU driver in
    qcom_smmu_impl_init (bsc#1012628).
  - PCI: endpoint: Clean up error handling in vpci_scan_bus()
    (bsc#1012628).
  - PCI: endpoint: Fix error handling in epf_ntb_epc_cleanup()
    (bsc#1012628).
  - vhost/vsock: always initialize seqpacket_allow (bsc#1012628).
  - net: missing check virtio (bsc#1012628).
  - scsi: lpfc: Revise lpfc_prep_embed_io routine with proper
    endian macro usages (bsc#1012628).
  - nvmem: rockchip-otp: set add_legacy_fixed_of_cells config option
    (bsc#1012628).
  - crypto: qat - extend scope of lock in
    adf_cfg_add_key_value_param() (bsc#1012628).
  - clk: qcom: kpss-xcc: Return of_clk_add_hw_provider to transfer
    the error (bsc#1012628).
  - clk: qcom: gcc-x1e80100: Set parent rate for USB3 sec and tert
    PHY pipe clks (bsc#1012628).
  - clk: qcom: Park shared RCGs upon registration (bsc#1012628).
  - clk: en7523: fix rate divider for slic and spi clocks
    (bsc#1012628).
  - MIPS: Octeron: remove source file executable bit (bsc#1012628).
  - PCI: qcom-ep: Disable resources unconditionally during PERST#
    assert (bsc#1012628).
  - PCI: dwc: Fix index 0 incorrectly being interpreted as a free
    ATU slot (bsc#1012628).
  - iommu/vt-d: Limit max address mask to MAX_AGAW_PFN_WIDTH
    (bsc#1012628).
  - iommu/vt-d: Fix aligned pages in calculate_psi_aligned_address()
    (bsc#1012628).
  - powerpc/xmon: Fix disassembly CPU feature checks (bsc#1012628).
  - macintosh/therm_windtunnel: fix module unload (bsc#1012628).
  - RDMA/hns: Check atomic wr length (bsc#1012628).
  - RDMA/hns: Fix soft lockup under heavy CEQE load (bsc#1012628).
  - RDMA/hns: Fix unmatch exception handling when init eq table
    fails (bsc#1012628).
  - RDMA/hns: Fix missing pagesize and alignment check in FRMR
    (bsc#1012628).
  - RDMA/hns: Fix shift-out-bounds when max_inline_data is 0
    (bsc#1012628).
  - RDMA/hns: Fix undifined behavior caused by invalid max_sge
    (bsc#1012628).
  - RDMA/hns: Fix insufficient extend DB for VFs (bsc#1012628).
  - RDMA/hns: Fix mbx timing out before CMD execution is completed
    (bsc#1012628).
  - iommu/vt-d: Fix identity map bounds in si_domain_init()
    (bsc#1012628).
  - crypto: mxs-dcp - Ensure payload is zero when using key slot
    (bsc#1012628).
  - RDMA: Fix netdev tracker in ib_device_set_netdev (bsc#1012628).
  - bnxt_re: Fix imm_data endianness (bsc#1012628).
  - RDMA/mana_ib: set node_guid (bsc#1012628).
  - RDMA/mana_ib: Set correct device into ib (bsc#1012628).
  - MIPS: Fix fallback march for SB1 (bsc#1012628).
  - netfilter: ctnetlink: use helper function to calculate expect ID
    (bsc#1012628).
  - netfilter: nf_set_pipapo: fix initial map fill (bsc#1012628).
  - ipvs: properly dereference pe in ip_vs_add_service
    (bsc#1012628).
  - gve: Fix XDP TX completion handling when counters overflow
    (bsc#1012628).
  - net: flow_dissector: use DEBUG_NET_WARN_ON_ONCE (bsc#1012628).
  - ipv4: Fix incorrect TOS in route get reply (bsc#1012628).
  - ipv4: Fix incorrect TOS in fibmatch route get reply
    (bsc#1012628).
  - net: dsa: mv88e6xxx: Limit chip-wide frame size config to CPU
    ports (bsc#1012628).
  - net: dsa: b53: Limit chip-wide jumbo frame config to CPU ports
    (bsc#1012628).
  - fs/ntfs3: Merge synonym COMPRESSION_UNIT and NTFS_LZNT_CUNIT
    (bsc#1012628).
  - fs/ntfs3: Fix transform resident to nonresident for compressed
    files (bsc#1012628).
  - fs/ntfs3: Deny getting attr data block in compressed frame
    (bsc#1012628).
  - fs/ntfs3: Missed NI_FLAG_UPDATE_PARENT setting (bsc#1012628).
  - fs/ntfs3: Fix getting file type (bsc#1012628).
  - fs/ntfs3: Add missing .dirty_folio in address_space_operations
    (bsc#1012628).
  - pinctrl: rockchip: update rk3308 iomux routes (bsc#1012628).
  - pinctrl: core: fix possible memory leak when pinctrl_enable()
    fails (bsc#1012628).
  - pinctrl: single: fix possible memory leak when pinctrl_enable()
    fails (bsc#1012628).
  - pinctrl: ti: ti-iodelay: fix possible memory leak when
    pinctrl_enable() fails (bsc#1012628).
  - pinctrl: freescale: mxs: Fix refcount of child (bsc#1012628).
  - fs/ntfs3: Replace inode_trylock with inode_lock (bsc#1012628).
  - fs/ntfs3: Correct undo if ntfs_create_inode failed
    (bsc#1012628).
  - fs/ntfs3: Drop stray '\' (backslash) in formatting string
    (bsc#1012628).
  - fs/ntfs3: Fix field-spanning write in INDEX_HDR (bsc#1012628).
  - rtc: tps6594: Fix memleak in probe (bsc#1012628).
  - pinctrl: renesas: r8a779g0: Fix CANFD5 suffix (bsc#1012628).
  - pinctrl: renesas: r8a779g0: Fix FXR_TXEN[AB] suffixes
    (bsc#1012628).
  - pinctrl: renesas: r8a779g0: Fix (H)SCIF1 suffixes (bsc#1012628).
  - pinctrl: renesas: r8a779g0: Fix (H)SCIF3 suffixes (bsc#1012628).
  - pinctrl: renesas: r8a779g0: Fix IRQ suffixes (bsc#1012628).
  - pinctrl: renesas: r8a779g0: FIX PWM suffixes (bsc#1012628).
  - pinctrl: renesas: r8a779g0: Fix TCLK suffixes (bsc#1012628).
  - pinctrl: renesas: r8a779g0: Fix TPU suffixes (bsc#1012628).
  - fs/proc/task_mmu: indicate PM_FILE for PMD-mapped file THP
    (bsc#1012628).
  - fs/proc/task_mmu: don't indicate PM_MMAP_EXCLUSIVE without
    PM_PRESENT (bsc#1012628).
  - fs/proc/task_mmu: properly detect PM_MMAP_EXCLUSIVE per page
    of PMD-mapped THPs (bsc#1012628).
  - selftests/damon/access_memory: use user-defined region size
    (bsc#1012628).
  - nilfs2: avoid undefined behavior in nilfs_cnt32_ge macro
    (bsc#1012628).
  - rtc: interface: Add RTC offset to alarm after fix-up
    (bsc#1012628).
  - fs/ntfs3: Fix the format of the "nocase" mount option
    (bsc#1012628).
  - fs/ntfs3: Missed error return (bsc#1012628).
  - fs/ntfs3: Keep runs for $MFT::$ATTR_DATA and $MFT::$ATTR_BITMAP
    (bsc#1012628).
  - md-cluster: fix hanging issue while a new disk adding
    (bsc#1012628).
  - powerpc/8xx: fix size given to set_huge_pte_at() (bsc#1012628).
  - s390/dasd: fix error checks in dasd_copy_pair_store()
    (bsc#1012628).
  - lib: add missing newline character in the warning message
    (bsc#1012628).
  - lib: reuse page_ext_data() to obtain codetag_ref (bsc#1012628).
  - alloc_tag: fix page_ext_get/page_ext_put sequence during page
    splitting (bsc#1012628).
  - sbitmap: fix io hung due to race on sbitmap_word::cleared
    (bsc#1012628).
  - LoongArch: Check TIF_LOAD_WATCH to enable user space watchpoint
    (bsc#1012628).
  - power: supply: ab8500: Fix error handling when calling
    iio_read_channel_processed() (bsc#1012628).
  - power: supply: ingenic: Fix some error handling paths in
    ingenic_battery_get_property() (bsc#1012628).
  - remoteproc: mediatek: Don't attempt to remap l1tcm memory if
    missing (bsc#1012628).
  - remoteproc: k3-r5: Fix IPC-only mode detection (bsc#1012628).
  - mailbox: omap: Fix mailbox interrupt sharing (bsc#1012628).
  - mailbox: imx: fix TXDB_V2 channel race condition (bsc#1012628).
  - mailbox: mtk-cmdq: Move devm_mbox_controller_register() after
    devm_pm_runtime_enable() (bsc#1012628).
  - selftests/landlock: Add cred_transfer test (bsc#1012628).
  - landlock: Don't lose track of restrictions on cred_transfer
    (bsc#1012628).
  - mm: huge_memory: use !CONFIG_64BIT to relax huge page alignment
    on 32 bit machines (bsc#1012628).
  - mm/huge_memory: avoid PMD-size page cache if needed
    (bsc#1012628).
  - hugetlb: force allocating surplus hugepages on mempolicy
    allowed nodes (bsc#1012628).
  - mm/hugetlb: fix possible recursive locking detected warning
    (bsc#1012628).
  - mm/mglru: fix div-by-zero in vmpressure_calc_level()
    (bsc#1012628).
  - mm: mmap_lock: replace get_memcg_path_buf() with on-stack buffer
    (bsc#1012628).
  - mm/mglru: fix overshooting shrinker memory (bsc#1012628).
  - mm/mglru: fix ineffective protection calculation (bsc#1012628).
  - x86/efistub: Avoid returning EFI_SUCCESS on error (bsc#1012628).
  - x86/efistub: Revert to heap allocated boot_params for PE
    entrypoint (bsc#1012628).
  - Revert "firewire: Annotate struct fw_iso_packet with
    __counted_by()" (bsc#1012628).
  - exfat: fix potential deadlock on __exfat_get_dentry_set
    (bsc#1012628).
  - thermal/drivers/broadcom: Fix race between removal and clock
    disable (bsc#1012628).
  - dt-bindings: thermal: correct thermal zone node name limit
    (bsc#1012628).
  - tick/broadcast: Make takeover of broadcast hrtimer reliable
    (bsc#1012628).
  - net: netconsole: Disable target before netpoll cleanup
    (bsc#1012628).
  - af_packet: Handle outgoing VLAN packets without hardware
    offloading (bsc#1012628).
  - workqueue: Always queue work items to the newest PWQ for order
    workqueues (bsc#1012628).
  - btrfs: fix extent map use-after-free when adding pages to
    compressed bio (bsc#1012628).
  - kernel: rerun task_work while freezing in get_signal()
    (bsc#1012628).
  - ipv6: fix source address selection with route leak
    (bsc#1012628).
  - ipv4: fix source address selection with route leak
    (bsc#1012628).
  - ipv6: take care of scope when choosing the src addr
    (bsc#1012628).
  - drm/xe: Use write-back caching mode for system memory on DGFX
    (bsc#1012628).
  - NFSD: Support write delegations in LAYOUTGET (bsc#1012628).
  - wifi: mac80211: chanctx emulation set CHANGE_CHANNEL when
    in_reconfig (bsc#1012628).
  - sched/fair: set_load_weight() must also call reweight_task()
    for SCHED_IDLE tasks (bsc#1012628).
  - fuse: verify {g,u}id mount options correctly (bsc#1012628).
  - md/raid5: fix spares errors about rcu usage (bsc#1012628).
  - media: stm32: dcmipp: correct error handling in
    dcmipp_create_subdevs (bsc#1012628).
  - null_blk: Fix description of the fua parameter (bsc#1012628).
  - ata: libata-scsi: Fix offsets for the fixed format sense data
    (bsc#1012628).
  - selftests/nolibc: fix printf format mismatch in
    expect_str_buf_eq() (bsc#1012628).
  - tpm_tis_spi: add missing attpm20p SPI device ID entry
    (bsc#1012628).
  - char: tpm: Fix possible memory leak in
    tpm_bios_measurements_open() (bsc#1012628).
  - media: venus: fix use after free in vdec_close (bsc#1012628).
  - ata: libata-scsi: Do not overwrite valid sense data when
    CK_COND=1 (bsc#1012628).
  - ata: libata-scsi: Honor the D_SENSE bit for CK_COND=1 and no
    error (bsc#1012628).
  - sysctl: always initialize i_uid/i_gid (bsc#1012628).
  - hfs: fix to initialize fields of hfs_inode_info after
    hfs_alloc_inode() (bsc#1012628).
  - ext2: Verify bitmap and itable block numbers before using them
    (bsc#1012628).
  - media: i2c: Kconfig: Fix missing firmware upload config select
    (bsc#1012628).
  - lsm: fixup the inode xattr capability handling (bsc#1012628).
  - io_uring/io-wq: limit retrying worker initialisation
    (bsc#1012628).
  - drm/gma500: fix null pointer dereference in
    cdv_intel_lvds_get_modes (bsc#1012628).
  - drm/gma500: fix null pointer dereference in
    psb_intel_lvds_get_modes (bsc#1012628).
  - drm/amd/display: fix corruption with high refresh rates on
    DCN 3.0 (bsc#1012628).
  - scsi: qla2xxx: Fix optrom version displayed in FDMI
    (bsc#1012628).
  - drm/amd/display: Check for NULL pointer (bsc#1012628).
  - apparmor: use kvfree_sensitive to free data->data (bsc#1012628).
  - cifs: fix potential null pointer use in destroy_workqueue in
    init_cifs error path (bsc#1012628).
  - cifs: fix reconnect with SMB1 UNIX Extensions (bsc#1012628).
  - cifs: mount with "unix" mount option for SMB1 incorrectly
    handled (bsc#1012628).
  - task_work: s/task_work_cancel()/task_work_cancel_func()/
    (bsc#1012628).
  - task_work: Introduce task_work_cancel() again (bsc#1012628).
  - udf: Avoid using corrupted block bitmap buffer (bsc#1012628).
  - m68k: amiga: Turn off Warp1260 interrupts during boot
    (bsc#1012628).
  - block: check bio alignment in blk_mq_submit_bio (bsc#1012628).
  - ext4: check dot and dotdot of dx_root before making dir indexed
    (bsc#1012628).
  - ext4: make sure the first directory block is not a hole
    (bsc#1012628).
  - io_uring: fix lost getsockopt completions (bsc#1012628).
  - io_uring: tighten task exit cancellations (bsc#1012628).
  - io_uring: don't allow netpolling with SETUP_IOPOLL
    (bsc#1012628).
  - trace/pid_list: Change gfp flags in pid_list_fill_irq()
    (bsc#1012628).
  - genirq: Set IRQF_COND_ONESHOT in request_irq() (bsc#1012628).
  - wifi: mwifiex: Fix interface type change (bsc#1012628).
  - wifi: rtw89: fix HW scan not aborting properly (bsc#1012628).
  - wifi: rtw88: usb: Fix disconnection after beacon loss
    (bsc#1012628).
  - wifi: rtw88: usb: Further limit the TX aggregation
    (bsc#1012628).
  - cpufreq: qcom-nvmem: fix memory leaks in probe error paths
    (bsc#1012628).
  - drivers: soc: xilinx: check return status of get_api_version()
    (bsc#1012628).
  - leds: ss4200: Convert PCIBIOS_* return codes to errnos
    (bsc#1012628).
  - md/md-bitmap: fix writing non bitmap pages (bsc#1012628).
  - leds: triggers: Flush pending brightness before activating
    trigger (bsc#1012628).
  - leds: mt6360: Fix memory leak in mt6360_init_isnk_properties()
    (bsc#1012628).
  - media: ivsc: csi: add separate lock for v4l2 control handler
    (bsc#1012628).
  - media: i2c: alvium: Move V4L2_CID_GAIN to V4L2_CID_ANALOG_GAIN
    (bsc#1012628).
  - media: imx-pxp: Fix ERR_PTR dereference in pxp_probe()
    (bsc#1012628).
  - jbd2: make jbd2_journal_get_max_txn_bufs() internal
    (bsc#1012628).
  - jbd2: precompute number of transaction descriptor blocks
    (bsc#1012628).
  - jbd2: avoid infinite transaction commit loop (bsc#1012628).
  - media: uvcvideo: Fix integer overflow calculating timestamp
    (bsc#1012628).
  - media: ivsc: csi: don't count privacy on as error (bsc#1012628).
  - remoteproc: mediatek: Increase MT8188/MT8195 SCP core0 DRAM size
    (bsc#1012628).
  - KVM: PPC: Book3S HV nestedv2: Fix doorbell emulation
    (bsc#1012628).
  - KVM: PPC: Book3S HV nestedv2: Add DPDES support in helper
    library for Guest state buffer (bsc#1012628).
  - KVM: VMX: Split out the non-virtualization part of
    vmx_interrupt_blocked() (bsc#1012628).
  - KVM: nVMX: Add a helper to get highest pending from Posted
    Interrupt vector (bsc#1012628).
  - KVM: nVMX: Request immediate exit iff pending nested event
    needs injection (bsc#1012628).
  - KVM: nVMX: Check for pending posted interrupts when looking
    for nested events (bsc#1012628).
  - KVM: nVMX: Fold requested virtual interrupt check into
    has_nested_events() (bsc#1012628).
  - ALSA: hda/realtek: cs35l41: Fixup remaining asus strix models
    (bsc#1012628).
  - ALSA: firewire-lib: fix wrong value as length of header for
    CIP_NO_HEADER case (bsc#1012628).
  - ALSA: ump: Don't update FB name for static blocks (bsc#1012628).
  - ALSA: ump: Force 1 Group for MIDI1 FBs (bsc#1012628).
  - ALSA: usb-audio: Fix microphone sound on HD webcam
    (bsc#1012628).
  - ALSA: usb-audio: Move HD Webcam quirk to the right place
    (bsc#1012628).
  - ALSA: usb-audio: Add a quirk for Sonix HD USB Camera
    (bsc#1012628).
  - tools/memory-model: Fix bug in lock.cat (bsc#1012628).
  - fbdev: vesafb: Detect VGA compatibility from screen info's
    VESA attributes (bsc#1012628).
  - drm/fbdev-dma: Fix framebuffer mode for big endian devices
    (bsc#1012628).
  - hwrng: amd - Convert PCIBIOS_* return codes to errnos
    (bsc#1012628).
  - parisc: Fix warning at drivers/pci/msi/msi.h:121 (bsc#1012628).
  - PCI/DPC: Fix use-after-free on concurrent DPC and hot-removal
    (bsc#1012628).
  - PCI: hv: Return zero, not garbage, when reading
    PCI_INTERRUPT_PIN (bsc#1012628).
  - PCI: dw-rockchip: Fix initial PERST# GPIO value (bsc#1012628).
  - PCI: rockchip: Use GPIOD_OUT_LOW flag while requesting ep_gpio
    (bsc#1012628).
  - PCI: loongson: Enable MSI in LS7A Root Complex (bsc#1012628).
  - binder: fix hang of unregistered readers (bsc#1012628).
  - xhci: Apply XHCI_RESET_TO_DEFAULT quirk to TGL (bsc#1012628).
  - dev/parport: fix the array out-of-bounds risk (bsc#1012628).
  - hostfs: fix dev_t handling (bsc#1012628).
  - efi/libstub: Zero initialize heap allocated struct screen_info
    (bsc#1012628).
  - erofs: fix race in z_erofs_get_gbuf() (bsc#1012628).
  - mm/page_alloc: fix pcp->count race between drain_pages_zone()
    vs __rmqueue_pcplist() (bsc#1012628).
  - fs/ntfs3: Update log->page_{mask,bits} if log->page_size changed
    (bsc#1012628).
  - scsi: qla2xxx: Return ENOBUFS if sg_cnt is more than one for
    ELS cmds (bsc#1012628).
  - ASoC: fsl: fsl_qmc_audio: Check devm_kasprintf() returned value
    (bsc#1012628).
  - f2fs: fix to force buffered IO on inline_data inode
    (bsc#1012628).
  - f2fs: fix to don't dirty inode for readonly filesystem
    (bsc#1012628).
  - f2fs: fix return value of f2fs_convert_inline_inode()
    (bsc#1012628).
  - f2fs: use meta inode for GC of atomic file (bsc#1012628).
  - f2fs: use meta inode for GC of COW file (bsc#1012628).
  - dmaengine: fsl-edma: change the memory access from local into
    remote mode in i.MX 8QM (bsc#1012628).
  - nilfs2: handle inconsistent state in nilfs_btnode_create_block()
    (bsc#1012628).
  - clk: davinci: da8xx-cfgchip: Initialize clk_init_data before
    use (bsc#1012628).
  - ubi: eba: properly rollback inside self_check_eba (bsc#1012628).
  - clk: samsung: fix getting Exynos4 fin_pll rate from external
    clocks (bsc#1012628).
  - block: fix deadlock between sd_remove & sd_release
    (bsc#1012628).
  - mm: fix old/young bit handling in the faulting path
    (bsc#1012628).
  - decompress_bunzip2: fix rare decompression failure
    (bsc#1012628).
  - kbuild: Fix '-S -c' in x86 stack protector scripts
    (bsc#1012628).
  - alloc_tag: outline and export free_reserved_page()
    (bsc#1012628).
  - ASoC: SOF: ipc4-topology: Only handle dai_config with HW_PARAMS
    for ChainDMA (bsc#1012628).
  - ASoC: SOF: ipc4-topology: Preserve the DMA Link ID for ChainDMA
    on unprepare (bsc#1012628).
  - ASoC: amd: yc: Support mic on Lenovo Thinkpad E16 Gen 2
    (bsc#1012628).
  - arm64: mm: Fix lockless walks with static and dynamic page-table
    folding (bsc#1012628).
  - kobject_uevent: Fix OOB access within zap_modalias_env()
    (bsc#1012628).
  - gve: Fix an edge case for TSO skb validity check (bsc#1012628).
  - ice: Add a per-VF limit on number of FDIR filters (bsc#1012628).
  - dt-bindings: phy: qcom,qmp-usb: fix spelling error
    (bsc#1012628).
  - devres: Fix devm_krealloc() wasting memory (bsc#1012628).
  - devres: Fix memory leakage caused by driver API
    devm_free_percpu() (bsc#1012628).
  - irqdomain: Fixed unbalanced fwnode get and put (bsc#1012628).
  - md/raid1: set max_sectors during early return from
    choose_slow_rdev() (bsc#1012628).
  - irqchip/imx-irqsteer: Handle runtime power management correctly
    (bsc#1012628).
  - mm/numa_balancing: teach mpol_to_str about the balancing mode
    (bsc#1012628).
  - rtc: cmos: Fix return value of nvmem callbacks (bsc#1012628).
  - scsi: lpfc: Allow DEVICE_RECOVERY mode after RSCN receipt if
    in PRLI_ISSUE state (bsc#1012628).
  - scsi: qla2xxx: During vport delete send async logout explicitly
    (bsc#1012628).
  - scsi: qla2xxx: Unable to act on RSCN for port online
    (bsc#1012628).
  - scsi: qla2xxx: Fix for possible memory corruption (bsc#1012628).
  - scsi: qla2xxx: Use QP lock to search for bsg (bsc#1012628).
  - scsi: qla2xxx: Reduce fabric scan duplicate code (bsc#1012628).
  - scsi: qla2xxx: Fix flash read failure (bsc#1012628).
  - scsi: qla2xxx: Complete command early within lock (bsc#1012628).
  - scsi: qla2xxx: validate nvme_local_port correctly (bsc#1012628).
  - perf: Fix event leak upon exit (bsc#1012628).
  - perf: Fix event leak upon exec and file release (bsc#1012628).
  - perf stat: Fix the hard-coded metrics calculation on the hybrid
    (bsc#1012628).
  - perf/x86/intel/uncore: Fix the bits of the CHA extended umask
    for SPR (bsc#1012628).
  - perf/x86/intel/ds: Fix non 0 retire latency on Raptorlake
    (bsc#1012628).
  - perf/x86/intel/pt: Fix topa_entry base length (bsc#1012628).
  - perf/x86/intel/pt: Fix a topa_entry base address calculation
    (bsc#1012628).
  - drm/i915/gt: Do not consider preemption during execlists_dequeue
    for gen8 (bsc#1012628).
  - drm/amdgpu/sdma5.2: Update wptr registers as well as doorbell
    (bsc#1012628).
  - drm/udl: Remove DRM_CONNECTOR_POLL_HPD (bsc#1012628).
  - drm/dp_mst: Fix all mstb marked as not probed after
    suspend/resume (bsc#1012628).
  - drm/amdgpu: reset vm state machine after gpu reset(vram lost)
    (bsc#1012628).
  - drm/amdgpu: add missed harvest check for VCN IP v4/v5
    (bsc#1012628).
  - drm/amd/amdgpu: Fix uninitialized variable warnings
    (bsc#1012628).
  - drm/i915/dp: Reset intel_dp->link_trained before retraining
    the link (bsc#1012628).
  - drm/i915/dp: Don't switch the LTTPR mode on an active link
    (bsc#1012628).
  - rtc: isl1208: Fix return value of nvmem callbacks (bsc#1012628).
  - rtc: abx80x: Fix return value of nvmem callback on read
    (bsc#1012628).
  - watchdog/perf: properly initialize the turbo mode timestamp
    and rearm counter (bsc#1012628).
  - bus: mhi: ep: Do not allocate memory for MHI objects from DMA
    zone (bsc#1012628).
  - ASoC: codecs: wcd939x: Fix typec mux and switch leak during
    device removal (bsc#1012628).
  - ASoC: SOF: ipc4-topology: Use correct queue_id for requesting
    input pin format (bsc#1012628).
  - platform: mips: cpu_hwmon: Disable driver on unsupported
    hardware (bsc#1012628).
  - RDMA/iwcm: Fix a use-after-free related to destroying CM IDs
    (bsc#1012628).
  - crypto: ccp - Fix null pointer dereference in
    __sev_snp_shutdown_locked (bsc#1012628).
  - selftests/sigaltstack: Fix ppc64 GCC build (bsc#1012628).
  - dm-verity: fix dm_is_verity_target() when dm-verity is builtin
    (bsc#1012628).
  - rbd: don't assume rbd_is_lock_owner() for exclusive mappings
    (bsc#1012628).
  - remoteproc: stm32_rproc: Fix mailbox interrupts queuing
    (bsc#1012628).
  - remoteproc: imx_rproc: Skip over memory region when node value
    is NULL (bsc#1012628).
  - remoteproc: imx_rproc: Fix refcount mistake in
    imx_rproc_addr_init (bsc#1012628).
  - MIPS: dts: loongson: Add ISA node (bsc#1012628).
  - MIPS: ip30: ip30-console: Add missing include (bsc#1012628).
  - MIPS: dts: loongson: Fix GMAC phy node (bsc#1012628).
  - MIPS: Loongson64: env: Hook up Loongsson-2K (bsc#1012628).
  - MIPS: Loongson64: Remove memory node for builtin-dtb
    (bsc#1012628).
  - MIPS: Loongson64: reset: Prioritise firmware service
    (bsc#1012628).
  - MIPS: Loongson64: Test register availability before use
    (bsc#1012628).
  - drm/etnaviv: don't block scheduler when GPU is still active
    (bsc#1012628).
  - drm/panfrost: Mark simple_ondemand governor as softdep
    (bsc#1012628).
  - rbd: rename RBD_LOCK_STATE_RELEASING and releasing_wait
    (bsc#1012628).
  - rbd: don't assume RBD_LOCK_STATE_LOCKED for exclusive mappings
    (bsc#1012628).
  - Bluetooth: btusb: Add RTL8852BE device 0489:e125 to device
    tables (bsc#1012628).
  - Bluetooth: btusb: Add Realtek RTL8852BE support ID 0x13d3:0x3591
    (bsc#1012628).
  - minmax: scsi: fix mis-use of 'clamp()' in sr.c (bsc#1012628).
  - f2fs: fix to truncate preallocated blocks in f2fs_file_open()
    (bsc#1012628).
  - phy: qcom: qmp-pcie: restore compatibility with existing DTs
    (bsc#1012628).
  - kdb: address -Wformat-security warnings (bsc#1012628).
  - kdb: Use the passed prompt in kdb_position_cursor()
    (bsc#1012628).
  - jfs: Fix array-index-out-of-bounds in diFree (bsc#1012628).
  - dmaengine: ti: k3-udma: Fix BCHAN count with UHC and HC channels
    (bsc#1012628).
  - phy: phy-rockchip-samsung-hdptx: Select CONFIG_MFD_SYSCON
    (bsc#1012628).
  - phy: cadence-torrent: Check return value on register read
    (bsc#1012628).
  - phy: zynqmp: Enable reference clock correctly (bsc#1012628).
  - um: time-travel: fix time-travel-start option (bsc#1012628).
  - arch: um: rust: Use the generated target.json again
    (bsc#1012628).
  - um: time-travel: fix signal blocking race/hang (bsc#1012628).
  - f2fs: fix start segno of large section (bsc#1012628).
  - watchdog: rzg2l_wdt: Use pm_runtime_resume_and_get()
    (bsc#1012628).
  - watchdog: rzg2l_wdt: Check return status of pm_runtime_put()
    (bsc#1012628).
  - f2fs: fix null reference error when checking end of zone
    (bsc#1012628).
  - f2fs: fix to update user block counts in block_operations()
    (bsc#1012628).
  - kbuild: avoid build error when single DTB is turned into
    composite DTB (bsc#1012628).
  - selftests/bpf: fexit_sleep: Fix stack allocation for arm64
    (bsc#1012628).
  - libbpf: Fix no-args func prototype BTF dumping syntax
    (bsc#1012628).
  - af_unix: Disable MSG_OOB handling for sockets in
    sockmap/sockhash (bsc#1012628).
  - dma: fix call order in dmam_free_coherent (bsc#1012628).
  - bpf, events: Use prog to emit ksymbol event for main program
    (bsc#1012628).
  - tools/resolve_btfids: Fix comparison of distinct pointer types
    warning in resolve_btfids (bsc#1012628).
  - MIPS: SMP-CPS: Fix address for GCR_ACCESS register for CM3
    and later (bsc#1012628).
  - ipv4: Fix incorrect source address in Record Route option
    (bsc#1012628).
  - net: bonding: correctly annotate RCU in
    bond_should_notify_peers() (bsc#1012628).
  - ice: Fix recipe read procedure (bsc#1012628).
  - netfilter: nft_set_pipapo_avx2: disable softinterrupts
    (bsc#1012628).
  - netfs: Fix writeback that needs to go to both server and cache
    (bsc#1012628).
  - tipc: Return non-zero value from tipc_udp_addr2str() on error
    (bsc#1012628).
  - selftests: forwarding: skip if kernel not support setting
    bridge fdb learning limit (bsc#1012628).
  - net: stmmac: Correct byte order of perfect_match (bsc#1012628).
  - net: nexthop: Initialize all fields in dumped nexthops
    (bsc#1012628).
  - net: mediatek: Fix potential NULL pointer dereference in dummy
    net_device handling (bsc#1012628).
  - bpf: Fix a segment issue when downgrading gso_size
    (bsc#1012628).
  - xsk: Require XDP_UMEM_TX_METADATA_LEN to actuate tx_metadata_len
    (bsc#1012628).
  - mISDN: Fix a use after free in hfcmulti_tx() (bsc#1012628).
  - apparmor: Fix null pointer deref when receiving skb during
    sock creation (bsc#1012628).
  - powerpc: fix a file leak in kvm_vcpu_ioctl_enable_cap()
    (bsc#1012628).
  - lirc: rc_dev_get_from_fd(): fix file leak (bsc#1012628).
  - auxdisplay: ht16k33: Drop reference after LED registration
    (bsc#1012628).
  - ASoC: SOF: imx8m: Fix DSP control regmap retrieval
    (bsc#1012628).
  - spi: microchip-core: fix the issues in the isr (bsc#1012628).
  - spi: microchip-core: defer asserting chip select until just
    before write to TX FIFO (bsc#1012628).
  - spi: microchip-core: only disable SPI controller when register
    value change requires it (bsc#1012628).
  - spi: microchip-core: fix init function not setting the master
    and motorola modes (bsc#1012628).
  - spi: microchip-core: ensure TX and RX FIFOs are empty at start
    of a transfer (bsc#1012628).
  - nvme-pci: Fix the instructions for disabling power management
    (bsc#1012628).
  - ASOC: SOF: Intel: hda-loader: only wait for HDaudio IOC for
    IPC4 devices (bsc#1012628).
  - ASoC: Intel: Fix RT5650 SSP lookup (bsc#1012628).
  - ASoC: sof: amd: fix for firmware reload failure in Vangogh
    platform (bsc#1012628).
  - spi: spidev: add correct compatible for Rohm BH2228FV
    (bsc#1012628).
  - drm/xe/exec: Fix minor bug related to xe_sync_entry_cleanup
    (bsc#1012628).
  - drm/xe/pf: Limit fair VF LMEM provisioning (bsc#1012628).
  - ASoC: Intel: use soc_intel_is_byt_cr() only when IOSF_MBI is
    reachable (bsc#1012628).
  - ASoC: TAS2781: Fix tasdev_load_calibrated_data() (bsc#1012628).
  - timers/migration: Do not rely always on group->parent
    (bsc#1012628).
  - ceph: fix incorrect kmalloc size of pagevec mempool
    (bsc#1012628).
  - s390/pci: Refactor arch_setup_msi_irqs() (bsc#1012628).
  - s390/pci: Allow allocation of more than 1 MSI interrupt
    (bsc#1012628).
  - s390/setup: Fix __pa/__va for modules under non-GPL licenses
    (bsc#1012628).
  - s390/cpum_cf: Fix endless loop in CF_DIAG event stop
    (bsc#1012628).
  - iommu: sprd: Avoid NULL deref in sprd_iommu_hw_en (bsc#1012628).
  - thermal: trip: Split thermal_zone_device_set_mode()
    (bsc#1012628).
  - thermal: core: Back off when polling thermal zones on errors
    (bsc#1012628).
  - io_uring: fix io_match_task must_hold (bsc#1012628).
  - ublk: fix UBLK_CMD_DEL_DEV_ASYNC handling (bsc#1012628).
  - nvme-pci: add missing condition check for existence of mapped
    data (bsc#1012628).
  - i3c: mipi-i3c-hci: Fix number of DAT/DCT entries for HCI
    versions < 1.1 (bsc#1012628).
  - fs: don't allow non-init s_user_ns for filesystems without
    FS_USERNS_MOUNT (bsc#1012628).
  - wifi: ath12k: fix mbssid max interface advertisement
    (bsc#1012628).
  - perf dso: Fix build when libunwind is enabled (bsc#1012628).
  - powerpc/configs: Update defconfig with now user-visible
    CONFIG_FSL_IFC (bsc#1012628).
  - selinux,smack: remove the capability checks in the removexattr
    hooks (bsc#1012628).
  - selftests/bpf: DENYLIST.aarch64: Skip fexit_sleep again
    (bsc#1012628).
  - Rename to
    patches.kernel.org/6.10.3-370-drm-qxl-Pin-buffer-objects-for-internal-mappin.patch.
  - Rename to
    patches.kernel.org/6.10.3-801-apparmor-unpack-transition-table-if-dfa-is-not.patch.
  - commit 5db4d29
* Sat Aug 03 2024 mkubecek@suse.cz
  - config: disable PROC_PID_CPUSET also in arm configs
    kernel-source commit 80a84db74f07 ("Update config files (bsc#1228801)")
    disabled PROC_PID_CPUSET in all currently enabled configs. Disable this
    config options also in arm configs which are disabled at the moment and are
    going to be reenabled once updated for the 6.11 cycle.
  - commit 0d4db5c
* Fri Aug 02 2024 mkoutny@suse.com
  - Update config files (bsc#1228801)
    cpuset filesystem is a relic older than cgroup v1, keep it around (to
    have cpuset controller) but disable CONFIG_PROC_PID_CPUSET to hide
    /proc/$pid/cpuset that serves nothing nowadays.
  - commit 80a84db
* Wed Jul 31 2024 ddiss@suse.de
  - apparmor: unpack transition table if dfa is not present (bsc#1226031).
  - commit 58e734c
* Wed Jul 31 2024 mfranc@suse.cz
  - s390/iucv: Fix vargs handling in iucv_alloc_device()
    (bsc#1228425).
  - commit f32d8cb
* Wed Jul 31 2024 jslaby@suse.cz
  - Delete
    patches.suse/drm-amd-display-Take-Synaptics-Cascaded-Topology-int.patch.
  - Revert "drm/amd/display: FEC overhead should be checked once
    for mst slot nums" (bsc#1228093 #3495).
    The former causes crashes:
    https://bugzilla.suse.com/show_bug.cgi?id=1228093#c11
    Let's resort back to a revert.
  - commit f800dd5
* Tue Jul 30 2024 shung-hsi.yu@suse.com
  - rpm/guards: fix precedence issue with control flow operator
    With perl 5.40 it report the following error on rpm/guards script:
    Possible precedence issue with control flow operator (exit) at scripts/guards line 208.
    Fix the issue by adding parenthesis around ternary operator.
  - commit 07b8b4e
* Mon Jul 29 2024 jslaby@suse.cz
  - Update
    patches.suse/drm-qxl-Pin-buffer-objects-for-internal-mappings.patch
    (bsc#1228387).
    Fix the bsc #.
  - commit 65a34e2
* Mon Jul 29 2024 jslaby@suse.cz
  - drm/qxl: Pin buffer objects for internal mappings (bsc#1228388).
  - commit 691f036
* Mon Jul 29 2024 mkubecek@suse.cz
  - update to 6.11-rc1
  - drop 12 patches (all stable)
    - patches.kernel.org/*
  - refresh
    - patches.rpmify/BTF-Don-t-break-ABI-when-debuginfo-is-disabled.patch
    - patches.suse/add-suse-supported-flag.patch
    - patches.suse/drm-amd-display-Take-Synaptics-Cascaded-Topology-int.patch
    - patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch
    - patches.suse/kernel-add-release-status-to-kernel-build.patch
    - patches.suse/panic-do-not-print-uninitialized-taint_flags.patch
    - patches.suse/vfs-add-super_operations-get_inode_dev
  - disable ARM architectures (need config update)
  - new config options
    - General setup
    - CONFIG_MEMCG_V1=y
    - Memory Management options
    - CONFIG_SLAB_BUCKETS=y
    - NVME Support
    - CONFIG_NVME_TARGET_DEBUGFS=n
    - Misc devices
    - CONFIG_KEBA_CP500=m
    - Multiple devices driver support (RAID and LVM)
    - CONFIG_DM_VERITY_VERIFY_ROOTHASH_SIG_PLATFORM_KEYRING=y
    - Network device support
    - CONFIG_IDPF_SINGLEQ=n
    - CONFIG_NET_VENDOR_META=y
    - CONFIG_TEHUTI_TN40=m
    - CONFIG_RTL8192DU=m
    - GPIO Support
    - CONFIG_GPIO_SLOPPY_LOGIC_ANALYZER=n
    - CONFIG_GPIO_VIRTUSER=m
    - CONFIG_POWER_SEQUENCING=m
    - CONFIG_POWER_SEQUENCING=m
    - Power supply class support
    - CONFIG_BATTERY_MAX1720X=m
    - CONFIG_CHARGER_CROS_CONTROL=m
    - Hardware Monitoring support
    - CONFIG_SENSORS_CROS_EC=m
    - CONFIG_SENSORS_MP2891=m
    - CONFIG_SENSORS_MP2993=m
    - CONFIG_SENSORS_MP5920=m
    - CONFIG_SENSORS_MP9941=m
    - CONFIG_SENSORS_SPD5118=m
    - CONFIG_SENSORS_SPD5118_DETECT=y
    - Multifunction device drivers
    - CONFIG_MFD_CS40L50_I2C=n
    - CONFIG_MFD_CS40L50_SPI=n
    - Multimedia support
    - CONFIG_VIDEO_GC05A2=m
    - CONFIG_VIDEO_GC08A3=m
    - CONFIG_VIDEO_IMX283=m
    - Graphics support
    - CONFIG_DRM_AMD_ISP=y
    - CONFIG_DRM_I915_REPLAY_GPU_HANGS_API=n
    - CONFIG_BACKLIGHT_LM3509=m
    - Sound card support
    - CONFIG_SND_HDA_CODEC_SENARYTECH=m
    - CONFIG_SND_SOC_AK4619=n
    - CONFIG_SND_SOC_CS530X_I2C=n
    - CONFIG_SND_SOC_ES8311=n
    - CONFIG_SND_SOC_RT1320_SDW=m
    - CONFIG_SND_SOC_WCD937X_SDW=n
    - LED Support
    - CONFIG_LEDS_CROS_EC=m
    - CONFIG_LEDS_SPI_BYTE=m
    - CONFIG_LEDS_KTD202X=m
    - CONFIG_LEDS_TRIGGER_INPUT_EVENTS=m
    - vDPA drivers
    - CONFIG_OCTEONEP_VDPA=m
    - CONFIG_CZNIC_PLATFORMS=y
    - CONFIG_CZNIC_PLATFORMS=y
    - X86 Platform Specific Device Drivers
    - CONFIG_DELL_PC=m
    - CONFIG_INTEL_PLR_TPMI=m
    - Industrial I/O support
    - CONFIG_AD7380=n
    - CONFIG_TI_ADS1119=n
    - CONFIG_ENS160=n
    - CONFIG_VEML6040=n
    - Misc drivers
    - CONFIG_SPI_CH341=n
    - CONFIG_POWER_SEQUENCING_QCOM_WCN=m
    - CONFIG_QCOM_PD_MAPPER=m
    - CONFIG_PWM_GPIO=m
    - CONFIG_LAN966X_OIC=m
    - OF dependent (i386, ppc64le, riscv64)
    - MFD_88PM886_PMIC=n
    - MFD_ROHM_BD96801=n
    - VIDEO_VGXY61=m
    - VIDEO_MAX96714=m
    - VIDEO_MAX96717=m
    - DRM_PANEL_HIMAX_HX83102=n
    - DRM_PANEL_ILITEK_ILI9806E=n
    - DRM_PANEL_LINCOLNTECH_LCD197=n
    - LEDS_LP5569=m
    - LEDS_SY7802=m
    - ppc64le
    - CRYPTO_CURVE25519_PPC64=m
    - riscv64
    - RISCV_ISA_ZAWRS=y
    - RISCV_ISA_ZBA=y
    - RISCV_ISA_ZBC=y
    - RISCV_ISA_VENDOR_EXT_ANDES=y
    - DMI=y
    - MEMORY_HOTPLUG=y
    - MEMORY_HOTPLUG_DEFAULT_ONLINE=n
    - MEMORY_HOTREMOVE=y
    - ZONE_DEVICE=y
    - DEVICE_PRIVATE=y
    - PCI_P2PDMA=y
    - PCIE_STARFIVE_HOST=m
    - CXL_ACPI=m
    - STARFIVE_STARLINK_CACHE=y
    - DMIID=y
    - DMI_SYSFS=m
    - EFI_SOFT_RESERVE=y
    - RTSN=m
    - VIDEO_E5010_JPEG_ENC=m
    - VIDEO_RASPBERRYPI_PISP_BE=m
    - DRM_NOUVEAU_SVM=y
    - VIRTIO_MEM=m
    - CLK_SOPHGO_SG2042_PLL=m
    - CLK_SOPHGO_SG2042_CLKGEN=m
    - CLK_SOPHGO_SG2042_CLKGEN=m
    - CLK_THEAD_TH1520_AP=y
    - PHY_STARFIVE_JH7110_DPHY_TX=m
    - NVDIMM_PFN=y
    - NVDIMM_DAX=y
    - DEV_DAX_PMEM=m
    - DEV_DAX_HMEM=m
    - DEV_DAX_KMEM=m
    - FS_DAX=y
    - FUSE_DAX=y
    - GCC_PLUGIN_STACKLEAK=n
    - MEMORY_NOTIFIER_ERROR_INJECT=m
    - TEST_HMM=n
    - ACPI_HOTPLUG_MEMORY=y
    - */debug
    - XFS_DEBUG_EXPENSIVE=n
  - commit c7e21a2
* Sun Jul 28 2024 jslaby@suse.cz
  - Linux 6.10.2 (bsc#1012628).
  - tap: add missing verification for short frame (bsc#1012628).
  - tun: add missing verification for short frame (bsc#1012628).
  - filelock: Fix fcntl/close race recovery compat path
    (bsc#1012628).
  - ALSA: seq: ump: Skip useless ports for static blocks
    (bsc#1012628).
  - ALSA: pcm_dmaengine: Don't synchronize DMA channel when DMA
    is paused (bsc#1012628).
  - arm64: dts: qcom: sm6115: Disable SS instance in Parkmode for
    USB (bsc#1012628).
  - arm64: dts: qcom: sdm845: Disable SS instance in Parkmode for
    USB (bsc#1012628).
  - arm64: dts: qcom: ipq8074: Disable SS instance in Parkmode
    for USB (bsc#1012628).
  - arm64: dts: qcom: sdm630: Disable SS instance in Parkmode for
    USB (bsc#1012628).
  - arm64: dts: qcom: ipq6018: Disable SS instance in Parkmode
    for USB (bsc#1012628).
  - arm64: dts: qcom: msm8998: Disable SS instance in Parkmode
    for USB (bsc#1012628).
  - arm64: dts: qcom: sm6350: Disable SS instance in Parkmode for
    USB (bsc#1012628).
  - arm64: dts: qcom: msm8996: Disable SS instance in Parkmode
    for USB (bsc#1012628).
  - arm64: dts: qcom: x1e80100-crd: Fix USB PHYs regulators
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100-qcp: Fix the PHY regulator for PCIe
    6a (bsc#1012628).
  - arm64: dts: qcom: x1e80100-crd: Fix the PHY regulator for PCIe
    6a (bsc#1012628).
  - arm64: dts: qcom: qrb4210-rb2: switch I2C2 to i2c-gpio
    (bsc#1012628).
  - arm64: dts: qcom: qrb2210-rb1: switch I2C2 to i2c-gpio
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100-qcp: Fix USB PHYs regulators
    (bsc#1012628).
  - arm64: dts: qcom: sc7280: Disable SuperSpeed instances in park
    mode (bsc#1012628).
  - arm64: dts: qcom: sc7180: Disable SuperSpeed instances in park
    mode (bsc#1012628).
  - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy
    Book Pro 360 (bsc#1012628).
  - ALSA: hda/realtek: Enable headset mic on Positivo SU C1400
    (bsc#1012628).
  - ALSA: hda/tas2781: Add new quirk for Lenovo Hera2 Laptop
    (bsc#1012628).
  - usb: gadget: midi2: Fix incorrect default MIDI2 protocol setup
    (bsc#1012628).
  - fs/ntfs3: Validate ff offset (bsc#1012628).
  - fs/ntfs3: Add a check for attr_names and oatbl (bsc#1012628).
  - jfs: don't walk off the end of ealist (bsc#1012628).
  - ocfs2: add bounds checking to ocfs2_check_dir_entry()
    (bsc#1012628).
  - s390/mm: Fix VM_FAULT_HWPOISON handling in do_exception()
    (bsc#1012628).
  - drm/amdgpu: Fix signedness bug in sdma_v4_0_process_trap_irq()
    (bsc#1012628).
  - commit d3b5079
* Thu Jul 25 2024 jslaby@suse.cz
  - Revert "rpm/kernel-obs-build.spec.in: drop algif_hash from KERNEL_MODULES (bsc#1226463)"
    This reverts commit c9c2e244cf9031882276be043b882ccf33835a4f. Openssl
    was fixed:
    https://build.opensuse.org/request/show/1189541
  - commit 178f0b6
* Thu Jul 25 2024 jslaby@suse.cz
  - drm/amd/display: Take Synaptics Cascaded Topology into Account
    (bsc#1228093 #3495).
  - commit a4c3703
* Thu Jul 25 2024 jslaby@suse.cz
  - Linux 6.10.1 (bsc#1012628).
  - thermal: core: Allow thermal zones to tell the core to ignore
    them (bsc#1012628).
  - io_uring: fix error pbuf checking (bsc#1012628).
  - ASoC: cs35l56: Limit Speaker Volume to +12dB maximum
    (bsc#1012628).
  - ASoC: cs35l56: Use header defines for Speaker Volume control
    definition (bsc#1012628).
  - tpm: Use auth only after NULL check in
    tpm_buf_check_hmac_response() (bsc#1012628).
  - cifs: Fix setting of zero_point after DIO write (bsc#1012628).
  - cifs: Fix server re-repick on subrequest retry (bsc#1012628).
  - cifs: fix noisy message on copy_file_range (bsc#1012628).
  - cifs: Fix missing fscache invalidation (bsc#1012628).
  - cifs: Fix missing error code set (bsc#1012628).
  - ext4: use memtostr_pad() for s_volume_name (bsc#1012628).
  - commit a57275a
* Thu Jul 18 2024 jslaby@suse.cz
  - Revert "drm/amd/display: FEC overhead should be checked once
    for mst slot nums" (bsc#1228093 #3495).
  - commit cee765e
* Mon Jul 15 2024 mkubecek@suse.cz
  - update to 6.10 final
  - refresh configs (headers only)
  - commit b8b0277
* Thu Jul 11 2024 jslaby@suse.cz
  - Linux 6.9.9 (bsc#1012628).
  - selftests/resctrl: Fix non-contiguous CBM for AMD (bsc#1012628).
  - locking/mutex: Introduce devm_mutex_init() (bsc#1012628).
  - leds: mlxreg: Use devm_mutex_init() for mutex initialization
    (bsc#1012628).
  - leds: an30259a: Use devm_mutex_init() for mutex initialization
    (bsc#1012628).
  - crypto: hisilicon/debugfs - Fix debugfs uninit process issue
    (bsc#1012628).
  - drm/lima: fix shared irq handling on driver remove
    (bsc#1012628).
  - powerpc: Avoid nmi_enter/nmi_exit in real mode interrupt
    (bsc#1012628).
  - media: dvb: as102-fe: Fix as10x_register_addr packing
    (bsc#1012628).
  - media: dvb-usb: dib0700_devices: Add missing release_firmware()
    (bsc#1012628).
  - net: dql: Avoid calling BUG() when WARN() is enough
    (bsc#1012628).
  - wifi: rtw89: fw: scan offload prohibit all 6 GHz channel if
    no 6 GHz sband (bsc#1012628).
  - drm/xe: Add outer runtime_pm protection to
    xe_live_ktest@xe_dma_buf (bsc#1012628).
  - IB/core: Implement a limit on UMAD receive List (bsc#1012628).
  - scsi: qedf: Make qedf_execute_tmf() non-preemptible
    (bsc#1012628).
  - bpf: mark bpf_dummy_struct_ops.test_1 parameter as nullable
    (bsc#1012628).
  - selftests/bpf: adjust dummy_st_ops_success to detect additional
    error (bsc#1012628).
  - selftests/bpf: do not pass NULL for non-nullable params in
    dummy_st_ops (bsc#1012628).
  - bpf: check bpf_dummy_struct_ops program params for test runs
    (bsc#1012628).
  - selftests/bpf: dummy_st_ops should reject 0 for non-nullable
    params (bsc#1012628).
  - RISC-V: KVM: Fix the initial sample period value (bsc#1012628).
  - crypto: aead,cipher - zeroize key buffer after use
    (bsc#1012628).
  - media: mediatek: vcodec: Only free buffer VA that is not NULL
    (bsc#1012628).
  - drm/amdgpu: Fix uninitialized variable warnings (bsc#1012628).
  - drm/amdgpu: Using uninitialized value *size when calling
    amdgpu_vce_cs_reloc (bsc#1012628).
  - drm/amdgpu: Initialize timestamp for some legacy SOCs
    (bsc#1012628).
  - drm/amdgpu: fix double free err_addr pointer warnings
    (bsc#1012628).
  - drm/amd/display: Add NULL pointer check for kzalloc
    (bsc#1012628).
  - drm/amd/display: Check index msg_id before read or write
    (bsc#1012628).
  - drm/amd/display: Check pipe offset before setting vblank
    (bsc#1012628).
  - drm/amd/display: Skip finding free audio for unknown engine_id
    (bsc#1012628).
  - drm/amd/display: Fix overlapping copy within
    dml_core_mode_programming (bsc#1012628).
  - drm/amd/display: update pipe topology log to support subvp
    (bsc#1012628).
  - drm/amd/display: Do not return negative stream id for array
    (bsc#1012628).
  - drm/amd/display: ASSERT when failing to find index by
    plane/stream id (bsc#1012628).
  - drm/amd/display: Fix uninitialized variables in DM
    (bsc#1012628).
  - drm/amdgpu: fix uninitialized scalar variable warning
    (bsc#1012628).
  - drm/amdgpu: fix the warning about the expression (int)size -
    len (bsc#1012628).
  - media: dw2102: Don't translate i2c read into write
    (bsc#1012628).
  - riscv: Apply SiFive CIP-1200 workaround to single-ASID
    sfence.vma (bsc#1012628).
  - media: dw2102: fix a potential buffer overflow (bsc#1012628).
  - sctp: prefer struct_size over open coded arithmetic
    (bsc#1012628).
  - firmware: dmi: Stop decoding on broken entry (bsc#1012628).
  - kunit/fortify: Do not spam logs with fortify WARNs
    (bsc#1012628).
  - Input: ff-core - prefer struct_size over open coded arithmetic
    (bsc#1012628).
  - usb: xhci: prevent potential failure in handle_tx_event()
    for Transfer events without TRB (bsc#1012628).
  - wifi: mt76: replace skb_put with skb_put_zero (bsc#1012628).
  - wifi: mt76: mt7996: add sanity checks for background radar
    trigger (bsc#1012628).
  - thermal/drivers/mediatek/lvts_thermal: Check NULL ptr on
    lvts_data (bsc#1012628).
  - net: dsa: mv88e6xxx: Correct check for empty list (bsc#1012628).
  - media: dvb-frontends: tda18271c2dd: Remove casting during div
    (bsc#1012628).
  - media: s2255: Use refcount_t instead of atomic_t for
    num_channels (bsc#1012628).
  - media: i2c: st-mipid02: Use the correct div function
    (bsc#1012628).
  - media: tc358746: Use the correct div_ function (bsc#1012628).
  - media: dvb-frontends: tda10048: Fix integer overflow
    (bsc#1012628).
  - crypto: hisilicon/sec2 - fix for register offset (bsc#1012628).
  - gve: Account for stopped queues when reading NIC stats
    (bsc#1012628).
  - i2c: i801: Annotate apanel_addr as __ro_after_init
    (bsc#1012628).
  - powerpc/64: Set _IO_BASE to POISON_POINTER_DELTA not 0 for
    CONFIG_PCI=n (bsc#1012628).
  - orangefs: fix out-of-bounds fsid access (bsc#1012628).
  - kunit: Fix timeout message (bsc#1012628).
  - powerpc/xmon: Check cpu id in commands "c#", "dp#" and "dx#"
    (bsc#1012628).
  - selftests/net: fix uninitialized variables (bsc#1012628).
  - igc: fix a log entry using uninitialized netdev (bsc#1012628).
  - bpf: Avoid uninitialized value in BPF_CORE_READ_BITFIELD
    (bsc#1012628).
  - f2fs: check validation of fault attrs in f2fs_build_fault_attr()
    (bsc#1012628).
  - scsi: mpi3mr: Sanitise num_phys (bsc#1012628).
  - serial: imx: Raise TX trigger level to 8 (bsc#1012628).
  - jffs2: Fix potential illegal address access in jffs2_free_inode
    (bsc#1012628).
  - s390: Mark psw in __load_psw_mask() as __unitialized
    (bsc#1012628).
  - s390/pkey: Use kfree_sensitive() to fix Coccinelle warnings
    (bsc#1012628).
  - s390/pkey: Wipe sensitive data on failure (bsc#1012628).
  - s390/pkey: Wipe copies of clear-key structures on failure
    (bsc#1012628).
  - s390/pkey: Wipe copies of protected- and secure-keys
    (bsc#1012628).
  - btrfs: scrub: initialize ret in scrub_simple_mirror() to fix
    compilation warning (bsc#1012628).
  - cdrom: rearrange last_media_change check to avoid unintentional
    overflow (bsc#1012628).
  - tools/power turbostat: Remember global max_die_id (bsc#1012628).
  - tools/power turbostat: Avoid possible memory corruption due
    to sparse topology IDs (bsc#1012628).
  - vhost: Use virtqueue mutex for swapping worker (bsc#1012628).
  - vhost: Release worker mutex during flushes (bsc#1012628).
  - vhost_task: Handle SIGKILL by flushing work and exiting
    (bsc#1012628).
  - virtio-pci: Check if is_avq is NULL (bsc#1012628).
  - mac802154: fix time calculation in
    ieee802154_configure_durations() (bsc#1012628).
  - wifi: cfg80211: restrict NL80211_ATTR_TXQ_QUANTUM values
    (bsc#1012628).
  - net: phy: phy_device: Fix PHY LED blinking code comment
    (bsc#1012628).
  - wifi: mac80211: fix BSS_CHANGED_UNSOL_BCAST_PROBE_RESP
    (bsc#1012628).
  - UPSTREAM: tcp: fix DSACK undo in fast recovery to call
    tcp_try_to_open() (bsc#1012628).
  - net/mlx5: E-switch, Create ingress ACL when needed
    (bsc#1012628).
  - net/mlx5e: Add mqprio_rl cleanup and free in
    mlx5e_priv_cleanup() (bsc#1012628).
  - net/mlx5e: Present succeeded IPsec SA bytes and packet
    (bsc#1012628).
  - net/mlx5e: Approximate IPsec per-SA payload data bytes count
    (bsc#1012628).
  - Bluetooth: hci_event: Fix setting of unicast qos interval
    (bsc#1012628).
  - Bluetooth: Ignore too large handle values in BIG (bsc#1012628).
  - Bluetooth: ISO: Check socket flag instead of hcon (bsc#1012628).
  - bluetooth/hci: disallow setting handle bigger than
    HCI_CONN_HANDLE_MAX (bsc#1012628).
  - tcp_metrics: validate source addr length (bsc#1012628).
  - KVM: s390: fix LPSWEY handling (bsc#1012628).
  - e1000e: Fix S0ix residency on corporate systems (bsc#1012628).
  - gpiolib: of: fix lookup quirk for MIPS Lantiq (bsc#1012628).
  - net: allow skb_datagram_iter to be called from any context
    (bsc#1012628).
  - net: txgbe: initialize num_q_vectors for MSI/INTx interrupts
    (bsc#1012628).
  - net: txgbe: remove separate irq request for MSI and INTx
    (bsc#1012628).
  - net: txgbe: add extra handle for MSI/INTx into thread irq handle
    (bsc#1012628).
  - net: txgbe: free isb resources at the right time (bsc#1012628).
  - btrfs: always do the basic checks for btrfs_qgroup_inherit
    structure (bsc#1012628).
  - net: phy: aquantia: add missing include guards (bsc#1012628).
  - net: ntb_netdev: Move ntb_netdev_rx_handler() to call netif_rx()
    from __netif_rx() (bsc#1012628).
  - drm/fbdev-generic: Fix framebuffer on big endian devices
    (bsc#1012628).
  - net: stmmac: enable HW-accelerated VLAN stripping for gmac4 only
    (bsc#1012628).
  - s390/vfio_ccw: Fix target addresses of TIC CCWs (bsc#1012628).
  - gpio: mmio: do not calculate bgpio_bits via "ngpios"
    (bsc#1012628).
  - wifi: wilc1000: fix ies_len type in connect path (bsc#1012628).
  - riscv: kexec: Avoid deadlock in kexec crash path (bsc#1012628).
  - netfilter: nf_tables: unconditionally flush pending work before
    notifier (bsc#1012628).
  - net: rswitch: Avoid use-after-free in rswitch_poll()
    (bsc#1012628).
  - bonding: Fix out-of-bounds read in
    bond_option_arp_ip_targets_set() (bsc#1012628).
  - ice: Fix improper extts handling (bsc#1012628).
  - ice: Don't process extts if PTP is disabled (bsc#1012628).
  - ice: Reject pin requests with unsupported flags (bsc#1012628).
  - ice: use proper macro for testing bit (bsc#1012628).
  - selftests: fix OOM in msg_zerocopy selftest (bsc#1012628).
  - selftests: make order checking verbose in msg_zerocopy selftest
    (bsc#1012628).
  - drm/xe/mcr: Avoid clobbering DSS steering (bsc#1012628).
  - tcp: Don't flag tcp_sk(sk)->rx_opt.saw_unknown for TCP AO
    (bsc#1012628).
  - inet_diag: Initialize pad field in struct inet_diag_req_v2
    (bsc#1012628).
  - mlxsw: core_linecards: Fix double memory deallocation in case
    of invalid INI file (bsc#1012628).
  - bnxt_en: Fix the resource check condition for RSS contexts
    (bsc#1012628).
  - gpiolib: of: add polarity quirk for TSC2005 (bsc#1012628).
  - platform/x86: toshiba_acpi: Fix quickstart quirk handling
    (bsc#1012628).
  - Revert "igc: fix a log entry using uninitialized netdev"
    (bsc#1012628).
  - nilfs2: fix inode number range checks (bsc#1012628).
  - nilfs2: add missing check for inode numbers on directory entries
    (bsc#1012628).
  - nilfs2: fix incorrect inode allocation from reserved inodes
    (bsc#1012628).
  - mm: optimize the redundant loop of mm_update_owner_next()
    (bsc#1012628).
  - mm: avoid overflows in dirty throttling logic (bsc#1012628).
  - btrfs: zoned: fix calc_available_free_space() for zoned mode
    (bsc#1012628).
  - btrfs: fix adding block group to a reclaim list and the unused
    list during reclaim (bsc#1012628).
  - btrfs: fix folio refcount in __alloc_dummy_extent_buffer()
    (bsc#1012628).
  - f2fs: Add inline to f2fs_build_fault_attr() stub (bsc#1012628).
  - scsi: mpi3mr: Use proper format specifier in
    mpi3mr_sas_port_add() (bsc#1012628).
  - Bluetooth: hci_bcm4377: Fix msgid release (bsc#1012628).
  - Bluetooth: Add quirk to ignore reserved PHY bits in LE Extended
    Adv Report (bsc#1012628).
  - Bluetooth: qca: Fix BT enable failure again for QCA6390 after
    warm reboot (bsc#1012628).
  - can: kvaser_usb: Explicitly initialize family in leafimx
    driver_info struct (bsc#1012628).
  - fsnotify: Do not generate events for O_PATH file descriptors
    (bsc#1012628).
  - Revert "mm/writeback: fix possible divide-by-zero in
    wb_dirty_limits(), again" (bsc#1012628).
  - drm/xe: fix error handling in xe_migrate_update_pgtables
    (bsc#1012628).
  - drm/ttm: Always take the bo delayed cleanup path for imported
    bos (bsc#1012628).
  - drm/nouveau: fix null pointer dereference in
    nouveau_connector_get_modes (bsc#1012628).
  - drm/amdgpu/atomfirmware: silence UBSAN warning (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirk for Valve Galileo
    (bsc#1012628).
  - clk: qcom: gcc-ipq9574: Add BRANCH_HALT_VOTED flag
    (bsc#1012628).
  - clk: sunxi-ng: common: Don't call hw_to_ccu_common on hw
    without common (bsc#1012628).
  - powerpc/pseries: Fix scv instruction crash with kexec
    (bsc#1012628).
  - powerpc/64s: Fix unnecessary copy to 0 when kernel is booted
    at address 0 (bsc#1012628).
  - firmware: sysfb: Fix reference count of sysfb parent device
    (bsc#1012628).
  - filelock: Remove locks reliably when fcntl/close race is
    detected (bsc#1012628).
  - mtd: rawnand: Ensure ECC configuration is propagated to upper
    layers (bsc#1012628).
  - mtd: rawnand: Fix the nand_read_data_op() early check
    (bsc#1012628).
  - mtd: rawnand: Bypass a couple of sanity checks during NAND
    identification (bsc#1012628).
  - mtd: rawnand: rockchip: ensure NVDDR timings are rejected
    (bsc#1012628).
  - fs: don't misleadingly warn during thaw operations
    (bsc#1012628).
  - net: stmmac: dwmac-qcom-ethqos: fix error array size
    (bsc#1012628).
  - bnx2x: Fix multiple UBSAN array-index-out-of-bounds
    (bsc#1012628).
  - s390/dasd: Fix invalid dereferencing of indirect CCW data
    pointer (bsc#1012628).
  - selftests/harness: Fix tests timeout and race condition
    (bsc#1012628).
  - arm64: dts: rockchip: Fix the DCDC_REG2 minimum voltage on
    Quartz64 Model B (bsc#1012628).
  - clk: qcom: gcc-sm6350: Fix gpll6* & gpll7 parents (bsc#1012628).
  - clk: qcom: clk-alpha-pll: set ALPHA_EN bit for Stromer Plus PLLs
    (bsc#1012628).
  - clk: mediatek: mt8183: Only enable runtime PM on mt8183-mfgcfg
    (bsc#1012628).
  - i2c: pnx: Fix potential deadlock warning from del_timer_sync()
    call in isr (bsc#1012628).
  - fs/ntfs3: Mark volume as dirty if xattr is broken (bsc#1012628).
  - drm/amdkfd: Let VRAM allocations go to GTT domain on small APUs
    (bsc#1012628).
  - ALSA: hda/realtek: Enable headset mic of JP-IK LEAP W502 with
    ALC897 (bsc#1012628).
  - vhost-scsi: Handle vhost_vq_work_queue failures for events
    (bsc#1012628).
  - nvme-multipath: find NUMA path only for online numa-node
    (bsc#1012628).
  - dma-mapping: benchmark: avoid needless copy_to_user if benchmark
    fails (bsc#1012628).
  - drm/amdgpu: correct hbm field in boot status (bsc#1012628).
  - connector: Fix invalid conversion in cn_proc.h (bsc#1012628).
  - swap: yield device immediately (bsc#1012628).
  - nvme: adjust multiples of NVME_CTRL_PAGE_SIZE in offset
    (bsc#1012628).
  - libbpf: detect broken PID filtering logic for multi-uprobe
    (bsc#1012628).
  - regmap-i2c: Subtract reg size from max_write (bsc#1012628).
  - platform/x86: touchscreen_dmi: Add info for GlobalSpace SolT
    IVW 11.6" tablet (bsc#1012628).
  - platform/x86: touchscreen_dmi: Add info for the EZpad 6s Pro
    (bsc#1012628).
  - block: check for max_hw_sectors underflow (bsc#1012628).
  - nvmet: fix a possible leak when destroy a ctrl during qp
    establishment (bsc#1012628).
  - kbuild: fix short log for AS in link-vmlinux.sh (bsc#1012628).
  - nfc/nci: Add the inconsistency check between the input data
    length and count (bsc#1012628).
  - spi: cadence: Ensure data lines set to low during dummy-cycle
    period (bsc#1012628).
  - ALSA: ump: Set default protocol when not given explicitly
    (bsc#1012628).
  - drm/amdgpu: silence UBSAN warning (bsc#1012628).
  - hwmon: (dell-smm) Add Dell G15 5511 to fan control whitelist
    (bsc#1012628).
  - null_blk: Do not allow runt zone with zone capacity smaller
    then zone size (bsc#1012628).
  - libbpf: don't close(-1) in multi-uprobe feature detector
    (bsc#1012628).
  - Refresh
    patches.suse/drivers-firmware-skip-simpledrm-if-nvidia-drm.modese.patch.
  - commit 8c0f797
* Wed Jul 10 2024 mkubecek@suse.cz
  - config: update arm configs
  - arm64 and armv7hl
    - DRM_MSM_VALIDATE_XML=n ("unsure")
  - commit 92abc10
* Tue Jul 09 2024 msuchanek@suse.de
  - kernel-binary: vdso: Own module_dir
  - commit ff69986
* Tue Jul 09 2024 svarbanov@suse.de
  - config: update and reenable armv6hl configs
    Option values mirrored from armv7hl
  - commit c5191d9
* Tue Jul 09 2024 svarbanov@suse.de
  - config: update and reenable armv7hl configs
    Option values from arm64 and x86.
  - commit 3e8ca13
* Mon Jul 08 2024 svarbanov@suse.de
  - config: update and reenable arm64 configs
    Options mirrored from x86_64, except:
    +CONFIG_SCHED_HW_PRESSURE=y
    +CONFIG_ARCH_AIROHA=y
    +CONFIG_ARM64_WORKAROUND_SPECULATIVE_SSBS=y
    +CONFIG_ARM64_ERRATUM_3194386=y
    +CONFIG_ARM64_ERRATUM_3312417=y
    +CONFIG_ARCH_WANTS_EXECMEM_LATE=y
    +CONFIG_STM32_FIREWALL=y
    +CONFIG_SPI_AIROHA_SNFI=m
    +CONFIG_PINCTRL_SCMI=m
    +CONFIG_GPIO_SWNODE_UNDEFINED=y
    +CONFIG_GPIO_EN7523=m
    +CONFIG_REGULATOR_SUN20I=m
    +CONFIG_VIDEO_BCM2835_UNICAM=m
    +CONFIG_DRM_DISPLAY_DP_AUX_BUS=m
    +CONFIG_DRM_PANEL_LG_SW43408=m
    +CONFIG_DRM_PANEL_RAYDIUM_RM69380=m
    +CONFIG_DRM_PANEL_SAMSUNG_S6E3FA7=m
    +CONFIG_DRM_PANTHOR=m
    +CONFIG_SND_SOC_MT8186_MT6366=m
    +CONFIG_SND_SOC_RK3308=m
    +CONFIG_USB_ONBOARD_DEV=m
    +CONFIG_ARM64_PLATFORM_DEVICES=y
    +CONFIG_EC_ACER_ASPIRE1=m
    +CONFIG_COMMON_CLK_EN7523=y
    +CONFIG_CLK_IMX95_BLK_CTL=m
    +CONFIG_COMMON_CLK_MESON_VCLK=y
    +CONFIG_COMMON_CLK_STM32MP257=y
    +CONFIG_ARM_MHU_V3=m
    +CONFIG_ARCH_R9A09G057=y
    +CONFIG_AD7173=m
    +CONFIG_AD7944=m
    +CONFIG_AD9739A=m
    +CONFIG_ADI_AXI_DAC=m
    +CONFIG_APDS9306=m
    +CONFIG_STM32_EXTI=y
    +CONFIG_PHY_FSL_SAMSUNG_HDMI_PHY=m
    +CONFIG_PHY_ROCKCHIP_USBDP=m
    +CONFIG_ARM_TSTEE=m
    +CONFIG_TRUSTED_KEYS_DCP=y
    +CONFIG_CRYPTO_DEV_TEGRA=m
  - commit aacb786
* Mon Jul 08 2024 mkubecek@suse.cz
  - update to 6.10-rc7
  - refresh
    - patches.suse/drivers-firmware-skip-simpledrm-if-nvidia-drm.modese.patch
  - commit 45f4681
* Sun Jul 07 2024 jslaby@suse.cz
  - Linux 6.9.8 (bsc#1012628).
  - usb: typec: ucsi: Never send a lone connector change ack
    (bsc#1012628).
  - usb: typec: ucsi: Ack also failed Get Error commands
    (bsc#1012628).
  - pinctrl: renesas: rzg2l: Use
    spin_{lock,unlock}_irq{save,restore} (bsc#1012628).
  - Input: ili210x - fix ili251x_read_touch_data() return value
    (bsc#1012628).
  - pinctrl: fix deadlock in create_pinctrl() when handling
    - EPROBE_DEFER (bsc#1012628).
  - pinctrl: rockchip: fix pinmux bits for RK3328 GPIO2-B pins
    (bsc#1012628).
  - pinctrl: rockchip: fix pinmux bits for RK3328 GPIO3-B pins
    (bsc#1012628).
  - pinctrl: rockchip: use dedicated pinctrl type for RK3328
    (bsc#1012628).
  - pinctrl: rockchip: fix pinmux reset in rockchip_pmx_set
    (bsc#1012628).
  - MIPS: pci: lantiq: restore reset gpio polarity (bsc#1012628).
  - pwm: stm32: Improve precision of calculation in .apply()
    (bsc#1012628).
  - pwm: stm32: Fix for settings using period > UINT32_MAX
    (bsc#1012628).
  - pwm: stm32: Calculate prescaler with a division instead of a
    loop (bsc#1012628).
  - pwm: stm32: Refuse too small period requests (bsc#1012628).
  - ASoC: cs42l43: Increase default type detect time and button
    delay (bsc#1012628).
  - ASoC: rockchip: i2s-tdm: Fix trcm mode by setting clock on
    right mclk (bsc#1012628).
  - ASoC: mediatek: mt8183-da7219-max98357: Fix kcontrol name
    collision (bsc#1012628).
  - ASoC: atmel: atmel-classd: Re-add dai_link->platform to fix
    card init (bsc#1012628).
  - workqueue: Increase worker desc's length to 32 (bsc#1012628).
  - ASoC: q6apm-lpass-dai: close graph on prepare errors
    (bsc#1012628).
  - bpf: Add missed var_off setting in set_sext32_default_val()
    (bsc#1012628).
  - bpf: Add missed var_off setting in coerce_subreg_to_size_sx()
    (bsc#1012628).
  - s390/pci: Add missing virt_to_phys() for directed DIBV
    (bsc#1012628).
  - s390/virtio_ccw: Fix config change notifications (bsc#1012628).
  - bpf: Fix remap of arena (bsc#1012628).
  - ASoC: amd: acp: add a null check for chip_pdev structure
    (bsc#1012628).
  - ASoC: amd: acp: remove i2s configuration check in
    acp_i2s_probe() (bsc#1012628).
  - ASoC: amd: acp: move chip->flag variable assignment
    (bsc#1012628).
  - ASoC: fsl-asoc-card: set priv->pdev before using it
    (bsc#1012628).
  - net: dsa: microchip: fix initial port flush problem
    (bsc#1012628).
  - openvswitch: get related ct labels from its master if it is
    not confirmed (bsc#1012628).
  - bonding: fix incorrect software timestamping report
    (bsc#1012628).
  - ionic: fix kernel panic due to multi-buffer handling
    (bsc#1012628).
  - mlxsw: pci: Fix driver initialization with Spectrum-4
    (bsc#1012628).
  - mlxsw: spectrum_buffers: Fix memory corruptions on Spectrum-4
    systems (bsc#1012628).
  - bpf: Fix the corner case with may_goto and jump to the 1st insn
    (bsc#1012628).
  - bpf: Fix overrunning reservations in ringbuf (bsc#1012628).
  - vxlan: Pull inner IP header in vxlan_xmit_one() (bsc#1012628).
  - ibmvnic: Free any outstanding tx skbs during scrq reset
    (bsc#1012628).
  - net: phy: micrel: add Microchip KSZ 9477 to the device table
    (bsc#1012628).
  - net: dsa: microchip: use collision based back pressure mode
    (bsc#1012628).
  - ice: Rebuild TC queues on VSI queue reconfiguration
    (bsc#1012628).
  - bpf: Fix may_goto with negative offset (bsc#1012628).
  - xdp: Remove WARN() from __xdp_reg_mem_model() (bsc#1012628).
  - ASoC: mediatek: mt8195: Add platform entry for ETDM1_OUT_BE
    dai link (bsc#1012628).
  - btrfs: use NOFS context when getting inodes during logging
    and log replay (bsc#1012628).
  - Fix race for duplicate reqsk on identical SYN (bsc#1012628).
  - ALSA: seq: Fix missing channel at encoding RPN/NRPN MIDI2
    messages (bsc#1012628).
  - net: dsa: microchip: fix wrong register write when masking
    interrupt (bsc#1012628).
  - sparc: fix old compat_sys_select() (bsc#1012628).
  - sparc: fix compat recv/recvfrom syscalls (bsc#1012628).
  - parisc: use correct compat recv/recvfrom syscalls (bsc#1012628).
  - powerpc: restore some missing spu syscalls (bsc#1012628).
  - ionic: use dev_consume_skb_any outside of napi (bsc#1012628).
  - ALSA: seq: Fix missing MSB in MIDI2 SPP conversion
    (bsc#1012628).
  - netfilter: nf_tables: fully validate NFT_DATA_VALUE on store
    to data registers (bsc#1012628).
  - af_unix: Stop recv(MSG_PEEK) at consumed OOB skb (bsc#1012628).
  - af_unix: Don't stop recv(MSG_DONTWAIT) if consumed OOB skb is
    at the head (bsc#1012628).
  - af_unix: Don't stop recv() at consumed ex-OOB skb (bsc#1012628).
  - af_unix: Fix wrong ioctl(SIOCATMARK) when consumed OOB skb is
    at the head (bsc#1012628).
  - net: mana: Fix possible double free in error handling path
    (bsc#1012628).
  - bpf: Take return from set_memory_ro() into account with
    bpf_prog_lock_ro() (bsc#1012628).
  - bpf: Take return from set_memory_rox() into account with
    bpf_jit_binary_lock_ro() (bsc#1012628).
  - drm/panel: ilitek-ili9881c: Fix warning with GPIO controllers
    that sleep (bsc#1012628).
  - drm/xe: Fix potential integer overflow in page size calculation
    (bsc#1012628).
  - vduse: validate block features only with block devices
    (bsc#1012628).
  - vduse: Temporarily fail if control queue feature requested
    (bsc#1012628).
  - x86/fpu: Fix AMD X86_BUG_FXSAVE_LEAK fixup (bsc#1012628).
  - drm/xe: Add a NULL check in xe_ttm_stolen_mgr_init
    (bsc#1012628).
  - drm/amd/display: correct hostvm flag (bsc#1012628).
  - mtd: partitions: redboot: Added conversion of operands to a
    larger type (bsc#1012628).
  - wifi: ieee80211: check for NULL in ieee80211_mle_size_ok()
    (bsc#1012628).
  - drm/amd/display: Skip pipe if the pipe idx not set properly
    (bsc#1012628).
  - bpf: Add a check for struct bpf_fib_lookup size (bsc#1012628).
  - bpf: Mark bpf prog stack with kmsan_unposion_memory in
    interpreter mode (bsc#1012628).
  - drm/xe/xe_devcoredump: Check NULL before assignments
    (bsc#1012628).
  - RDMA/restrack: Fix potential invalid address access
    (bsc#1012628).
  - net/iucv: Avoid explicit cpumask var allocation on stack
    (bsc#1012628).
  - net/dpaa2: Avoid explicit cpumask var allocation on stack
    (bsc#1012628).
  - wifi: rtw89: download firmware with five times retry
    (bsc#1012628).
  - crypto: ecdh - explicitly zeroize private_key (bsc#1012628).
  - ALSA: emux: improve patch ioctl data validation (bsc#1012628).
  - media: dvbdev: Initialize sbuf (bsc#1012628).
  - irqchip/loongson: Select GENERIC_IRQ_EFFECTIVE_AFF_MASK if
    SMP for IRQ_LOONGARCH_CPU (bsc#1012628).
  - iommu/arm-smmu-v3: Do not allow a SVA domain to be set on the
    wrong PASID (bsc#1012628).
  - soc: ti: wkup_m3_ipc: Send NULL dummy message instead of
    pointer message (bsc#1012628).
  - gfs2: Fix NULL pointer dereference in gfs2_log_flush
    (bsc#1012628).
  - evm: Enforce signatures on unsupported filesystem for
    EVM_INIT_X509 (bsc#1012628).
  - drm/radeon/radeon_display: Decrease the size of allocated memory
    (bsc#1012628).
  - drm/xe: Check pat.ops before dumping PAT settings (bsc#1012628).
  - nvmet: do not return 'reserved' for empty TSAS values
    (bsc#1012628).
  - nvme: fixup comment for nvme RDMA Provider Type (bsc#1012628).
  - nvmet: make 'tsas' attribute idempotent for RDMA (bsc#1012628).
  - drm/panel: simple: Add missing display timing flags for KOE
    TX26D202VM0BWA (bsc#1012628).
  - gpio: davinci: Validate the obtained number of IRQs
    (bsc#1012628).
  - arm64: Clear the initial ID map correctly before remapping
    (bsc#1012628).
  - nfsd: initialise nfsd_info.mutex early (bsc#1012628).
  - RISC-V: fix vector insn load/store width mask (bsc#1012628).
  - drm/amdgpu: Fix pci state save during mode-1 reset
    (bsc#1012628).
  - riscv: stacktrace: convert arch_stack_walk() to noinstr
    (bsc#1012628).
  - iommu/amd: Introduce per device DTE update function
    (bsc#1012628).
  - iommu/amd: Invalidate cache before removing device from domain
    list (bsc#1012628).
  - iommu/amd: Fix GT feature enablement again (bsc#1012628).
  - gpiolib: cdev: Disallow reconfiguration without direction
    (uAPI v1) (bsc#1012628).
  - gpiolib: cdev: Ignore reconfiguration without direction
    (bsc#1012628).
  - tools/power turbostat: option '-n' is ambiguous (bsc#1012628).
  - randomize_kstack: Remove non-functional per-arch entropy
    filtering (bsc#1012628).
  - x86: stop playing stack games in profile_pc() (bsc#1012628).
  - parisc: use generic sys_fanotify_mark implementation
    (bsc#1012628).
  - Revert "MIPS: pci: lantiq: restore reset gpio polarity"
    (bsc#1012628).
  - pinctrl: qcom: spmi-gpio: drop broken pm8008 support
    (bsc#1012628).
  - ocfs2: fix DIO failure due to insufficient transaction credits
    (bsc#1012628).
  - nfs: drop the incorrect assertion in nfs_swap_rw()
    (bsc#1012628).
  - kasan: fix bad call to unpoison_slab_object (bsc#1012628).
  - mm: fix incorrect vbq reference in purge_fragmented_block
    (bsc#1012628).
  - mm/memory: don't require head page for do_set_pmd()
    (bsc#1012628).
  - Revert "mmc: moxart-mmc: Use sg_miter for PIO" (bsc#1012628).
  - mmc: sdhci-pci-o2micro: Convert PCIBIOS_* return codes to errnos
    (bsc#1012628).
  - mmc: sdhci-brcmstb: check R1_STATUS for erase/trim/discard
    (bsc#1012628).
  - mmc: sdhci-pci: Convert PCIBIOS_* return codes to errnos
    (bsc#1012628).
  - mmc: sdhci: Do not invert write-protect twice (bsc#1012628).
  - mmc: sdhci: Do not lock spinlock around mmc_gpio_get_ro()
    (bsc#1012628).
  - iio: xilinx-ams: Don't include ams_ctrl_channels in scan_mask
    (bsc#1012628).
  - SUNRPC: Fix backchannel reply, again (bsc#1012628).
  - counter: ti-eqep: enable clock at probe (bsc#1012628).
  - kbuild: doc: Update default INSTALL_MOD_DIR from extra to
    updates (bsc#1012628).
  - kbuild: Fix build target deb-pkg: ln: failed to create hard link
    (bsc#1012628).
  - kbuild: rpm-pkg: fix build error with CONFIG_MODULES=n
    (bsc#1012628).
  - i2c: testunit: don't erase registers after STOP (bsc#1012628).
  - i2c: testunit: discard write requests while old command is
    running (bsc#1012628).
  - ata: libata-core: Fix null pointer dereference on error
    (bsc#1012628).
  - ata,scsi: libata-core: Do not leak memory for ata_port struct
    members (bsc#1012628).
  - iio: humidity: hdc3020: fix hysteresis representation
    (bsc#1012628).
  - iio: adc: ad7266: Fix variable checking bug (bsc#1012628).
  - iio: accel: fxls8962af: select IIO_BUFFER & IIO_KFIFO_BUF
    (bsc#1012628).
  - iio: chemical: bme680: Fix pressure value output (bsc#1012628).
  - iio: chemical: bme680: Fix calibration data variable
    (bsc#1012628).
  - iio: chemical: bme680: Fix overflows in compensate() functions
    (bsc#1012628).
  - iio: chemical: bme680: Fix sensor data read operation
    (bsc#1012628).
  - net: usb: ax88179_178a: improve link status logs (bsc#1012628).
  - usb: gadget: printer: SS+ support (bsc#1012628).
  - usb: gadget: printer: fix races against disable (bsc#1012628).
  - usb: musb: da8xx: fix a resource leak in probe() (bsc#1012628).
  - usb: atm: cxacru: fix endpoint checking in cxacru_bind()
    (bsc#1012628).
  - usb: dwc3: core: remove lock of otg mode during gadget
    suspend/resume to avoid deadlock (bsc#1012628).
  - usb: gadget: aspeed_udc: fix device address configuration
    (bsc#1012628).
  - usb: typec: ucsi: glink: fix child node release in probe
    function (bsc#1012628).
  - Revert "usb: gadget: u_ether: Re-attach netif device to mirror
    detachment" (bsc#1012628).
  - Revert "usb: gadget: u_ether: Replace netif_stop_queue with
    netif_device_detach" (bsc#1012628).
  - usb: ucsi: stm32: fix command completion handling (bsc#1012628).
  - usb: dwc3: core: Workaround for CSR read timeout (bsc#1012628).
  - Revert "serial: core: only stop transmit when HW fifo is empty"
    (bsc#1012628).
  - tty: serial: 8250: Fix port count mismatch with the device
    (bsc#1012628).
  - serial: 8250_omap: Implementation of Errata i2310 (bsc#1012628).
  - serial: imx: set receiver level before starting uart
    (bsc#1012628).
  - serial: core: introduce uart_port_tx_limited_flags()
    (bsc#1012628).
  - serial: bcm63xx-uart: fix tx after conversion to
    uart_port_tx_limited() (bsc#1012628).
  - ALSA: hda/realtek: fix mute/micmute LEDs don't work for
    EliteBook 645/665 G11 (bsc#1012628).
  - tty: mxser: Remove __counted_by from mxser_board.ports[]
    (bsc#1012628).
  - tty: mcf: MCF54418 has 10 UARTS (bsc#1012628).
  - net: can: j1939: Initialize unused data in j1939_send_one()
    (bsc#1012628).
  - net: can: j1939: recover socket queue on CAN bus error during
    BAM transmission (bsc#1012628).
  - net: can: j1939: enhanced error handling for tightly received
    RTS messages in xtp_rx_rts_session_new (bsc#1012628).
  - PCI/MSI: Fix UAF in msi_capability_init (bsc#1012628).
  - nvmet-fc: Remove __counted_by from nvmet_fc_tgt_queue.fod[]
    (bsc#1012628).
  - cpufreq: intel_pstate: Use HWP to initialize ITMT if CPPC is
    missing (bsc#1012628).
  - irqchip/loongson-eiointc: Use early_cpu_to_node() instead of
    cpu_to_node() (bsc#1012628).
  - cpu: Fix broken cmdline "nosmp" and "maxcpus=0" (bsc#1012628).
  - cpu/hotplug: Fix dynstate assignment in
    __cpuhp_setup_state_cpuslocked() (bsc#1012628).
  - irqchip/loongson-liointc: Set different ISRs for different cores
    (bsc#1012628).
  - kbuild: Install dtb files as 0644 in Makefile.dtbinst
    (bsc#1012628).
  - sh: rework sync_file_range ABI (bsc#1012628).
  - btrfs: zoned: fix initial free space detection (bsc#1012628).
  - csky, hexagon: fix broken sys_sync_file_range (bsc#1012628).
  - hexagon: fix fadvise64_64 calling conventions (bsc#1012628).
  - drm/drm_file: Fix pid refcounting race (bsc#1012628).
  - drm/nouveau/dispnv04: fix null pointer dereference in
    nv17_tv_get_ld_modes (bsc#1012628).
  - drm/fbdev-dma: Only set smem_start is enable per module option
    (bsc#1012628).
  - drm/amdgpu: avoid using null object of framebuffer
    (bsc#1012628).
  - drm/i915/gt: Fix potential UAF by revoke of fence registers
    (bsc#1012628).
  - drm/nouveau/dispnv04: fix null pointer dereference in
    nv17_tv_get_hd_modes (bsc#1012628).
  - drm/amd/display: Send DP_TOTAL_LTTPR_CNT during detection if
    LTTPR is present (bsc#1012628).
  - drm/amdgpu/atomfirmware: fix parsing of vram_info (bsc#1012628).
  - io_uring: signal SQPOLL task_work with TWA_SIGNAL_NO_IPI
    (bsc#1012628).
  - batman-adv: Don't accept TT entries for out-of-spec VIDs
    (bsc#1012628).
  - can: mcp251xfd: fix infinite loop when xmit fails (bsc#1012628).
  - ata: ahci: Clean up sysfs file on error (bsc#1012628).
  - ata: libata-core: Add ATA_HORKAGE_NOLPM for all Crucial BX
    SSD1 models (bsc#1012628).
  - ata: libata-core: Fix double free on error (bsc#1012628).
  - ftruncate: pass a signed offset (bsc#1012628).
  - syscalls: fix compat_sys_io_pgetevents_time64 usage
    (bsc#1012628).
  - syscalls: fix sys_fanotify_mark prototype (bsc#1012628).
  - bcachefs: Fix sb_field_downgrade validation (bsc#1012628).
  - bcachefs: Fix sb-downgrade validation (bsc#1012628).
  - bcachefs: Fix bch2_sb_downgrade_update() (bsc#1012628).
  - bcachefs: Fix setting of downgrade recovery passes/errors
    (bsc#1012628).
  - bcachefs: btree_gc can now handle unknown btrees (bsc#1012628).
  - Revert "net: sfp: enhance quirk for Fibrestore 2.5G copper
    SFP module" (bsc#1012628).
  - mm/page_alloc: Separate THP PCP into movable and non-movable
    categories (bsc#1012628).
  - pwm: stm32: Fix calculation of prescaler (bsc#1012628).
  - pwm: stm32: Fix error message to not describe the previous
    error path (bsc#1012628).
  - arm64: dts: rockchip: Fix SD NAND and eMMC init on
    rk3308-rock-pi-s (bsc#1012628).
  - arm64: dts: rockchip: Rename LED related pinctrl nodes on
    rk3308-rock-pi-s (bsc#1012628).
  - arm64: dts: rockchip: set correct pwm0 pinctrl on rk3588-tiger
    (bsc#1012628).
  - arm64: dts: rockchip: Fix the value of `dlg,jack-det-rate`
    mismatch on rk3399-gru (bsc#1012628).
  - ARM: dts: rockchip: rk3066a: add #sound-dai-cells to hdmi node
    (bsc#1012628).
  - Revert "arm64: dts: rockchip: remove redundant cd-gpios from
    rk3588 sdmmc nodes" (bsc#1012628).
  - arm64: dts: rockchip: make poweroff(8) work on Radxa ROCK 5A
    (bsc#1012628).
  - cxl/region: Convert cxl_pmem_region_alloc to scope-based
    resource management (bsc#1012628).
  - cxl/mem: Fix no cxl_nvd during pmem region auto-assembling
    (bsc#1012628).
  - arm64: dts: rockchip: fix PMIC interrupt pin on ROCK Pi E
    (bsc#1012628).
  - reset: gpio: Fix missing gpiolib dependency for GPIO reset
    controller (bsc#1012628).
  - arm64: dts: rockchip: Fix the i2c address of es8316 on Cool
    Pi 4B (bsc#1012628).
  - arm64: dts: rockchip: Add sound-dai-cells for RK3368
    (bsc#1012628).
  - cxl/region: Move cxl_dpa_to_region() work to the region driver
    (bsc#1012628).
  - cxl/region: Avoid null pointer dereference in region lookup
    (bsc#1012628).
  - cxl/region: check interleave capability (bsc#1012628).
  - netfs: Fix netfs_page_mkwrite() to check folio->mapping is valid
    (bsc#1012628).
  - netfs: Fix netfs_page_mkwrite() to flush conflicting data,
    not wait (bsc#1012628).
  - serial: imx: only set receiver level if it is zero
    (bsc#1012628).
  - serial: 8250_omap: Fix Errata i2310 with RX FIFO level check
    (bsc#1012628).
  - tracing/net_sched: NULL pointer dereference in
    perf_trace_qdisc_reset() (bsc#1012628).
  - Rename to
    patches.kernel.org/6.9.8-046-netfilter-fix-undefined-reference-to-netfilter_.patch.
  - Rename to
    patches.kernel.org/6.9.8-056-tcp-fix-tcp_rcv_fastopen_synack-to-enter-TCP_CA.patch.
  - commit 3cf5121
* Mon Jul 01 2024 mkubecek@suse.cz
  - update to 6.10-rc6
  - commit 3c2a141
* Fri Jun 28 2024 jslaby@suse.cz
  - netfilter: fix undefined reference to 'netfilter_lwtunnel_*'
    when CONFIG_SYSCTL=n (git-fixes).
  - tcp: fix tcp_rcv_fastopen_synack() to enter TCP_CA_Loss for
    failed TFO (git-fixes).
  - ALSA: hda/realtek: Fix conflicting quirk for PCI SSID 17aa:3820
    (git-fixes).
  - commit a5efffa
* Fri Jun 28 2024 jslaby@suse.cz
  - Linux 6.9.7 (bsc#1012628).
  - fs/writeback: bail out if there is no more inodes for IO and
    queued once (bsc#1012628).
  - padata: Disable BH when taking works lock on MT path
    (bsc#1012628).
  - crypto: hisilicon/sec - Fix memory leak for sec resource release
    (bsc#1012628).
  - crypto: hisilicon/qm - Add the err memory release process to
    qm uninit (bsc#1012628).
  - io_uring/sqpoll: work around a potential audit memory leak
    (bsc#1012628).
  - rcutorture: Fix rcu_torture_one_read() pipe_count overflow
    comment (bsc#1012628).
  - rcutorture: Make stall-tasks directly exit when rcutorture
    tests end (bsc#1012628).
  - rcutorture: Fix invalid context warning when enable srcu
    barrier testing (bsc#1012628).
  - platform/chrome: cros_usbpd_logger: provide ID table for
    avoiding fallback match (bsc#1012628).
  - platform/chrome: cros_usbpd_notify: provide ID table for
    avoiding fallback match (bsc#1012628).
  - ubsan: Avoid i386 UBSAN handler crashes with Clang
    (bsc#1012628).
  - arm64: defconfig: select INTERCONNECT_QCOM_SM6115 as built-in
    (bsc#1012628).
  - block/ioctl: prefer different overflow check (bsc#1012628).
  - ssb: Fix potential NULL pointer dereference in
    ssb_device_uevent() (bsc#1012628).
  - selftests/bpf: Prevent client connect before server bind in
    test_tc_tunnel.sh (bsc#1012628).
  - selftests/bpf: Fix flaky test btf_map_in_map/lookup_update
    (bsc#1012628).
  - bpf: Avoid kfree_rcu() under lock in bpf_lpm_trie (bsc#1012628).
  - devlink: use kvzalloc() to allocate devlink instance resources
    (bsc#1012628).
  - batman-adv: bypass empty buckets in batadv_purge_orig_ref()
    (bsc#1012628).
  - wifi: rtw89: 8852c: add quirk to set PCI BER for certain
    platforms (bsc#1012628).
  - wifi: ath9k: work around memset overflow warning (bsc#1012628).
  - af_packet: avoid a false positive warning in packet_setsockopt()
    (bsc#1012628).
  - clocksource: Make watchdog and suspend-timing multiplication
    overflow safe (bsc#1012628).
  - ACPI: x86: Add PNP_UART1_SKIP quirk for Lenovo Blade2 tablets
    (bsc#1012628).
  - drop_monitor: replace spin_lock by raw_spin_lock (bsc#1012628).
  - ACPI: resource: Do IRQ override on GMxBGxx (XMG APEX 17 M23)
    (bsc#1012628).
  - wifi: ath12k: fix kernel crash during resume (bsc#1012628).
  - scsi: qedi: Fix crash while reading debugfs attribute
    (bsc#1012628).
  - net: sfp: enhance quirk for Fibrestore 2.5G copper SFP module
    (bsc#1012628).
  - net: sfp: add quirk for ATS SFP-GE-T 1000Base-TX module
    (bsc#1012628).
  - net/sched: fix false lockdep warning on qdisc root lock
    (bsc#1012628).
  - arm64/sysreg: Update PIE permission encodings (bsc#1012628).
  - kselftest: arm64: Add a null pointer check (bsc#1012628).
  - net: dsa: realtek: keep default LED state in rtl8366rb
    (bsc#1012628).
  - net: dsa: realtek: do not assert reset on remove (bsc#1012628).
  - ACPI: resource: Skip IRQ override on Asus Vivobook Pro N6506MV
    (bsc#1012628).
  - netpoll: Fix race condition in netpoll_owner_active
    (bsc#1012628).
  - wifi: ath12k: fix the problem that down grade phy mode operation
    (bsc#1012628).
  - wifi: mt76: mt7921s: fix potential hung tasks during chip
    recovery (bsc#1012628).
  - HID: Add quirk for Logitech Casa touchpad (bsc#1012628).
  - HID: asus: fix more n-key report descriptors if n-key quirked
    (bsc#1012628).
  - bpf: avoid uninitialized warnings in verifier_global_subprogs.c
    (bsc#1012628).
  - selftests: net: fix timestamp not arriving in cmsg_time.sh
    (bsc#1012628).
  - net: ena: Add validation for completion descriptors consistency
    (bsc#1012628).
  - Bluetooth: ath3k: Fix multiple issues reported by checkpatch.pl
    (bsc#1012628).
  - drm/amd/display: Exit idle optimizations before HDCP execution
    (bsc#1012628).
  - drm/amd/display: Workaround register access in idle race with
    cursor (bsc#1012628).
  - platform/x86: toshiba_acpi: Add quirk for buttons on Z830
    (bsc#1012628).
  - cgroup/cpuset: Make cpuset hotplug processing synchronous
    (bsc#1012628).
  - ASoC: Intel: sof_sdw: add JD2 quirk for HP Omen 14
    (bsc#1012628).
  - ASoC: Intel: sof_sdw: add quirk for Dell SKU 0C0F (bsc#1012628).
  - drm/lima: add mask irq callback to gp and pp (bsc#1012628).
  - drm/lima: include pp bcast irq in timeout handler check
    (bsc#1012628).
  - drm/lima: mask irqs in timeout path before hard reset
    (bsc#1012628).
  - platform/x86: x86-android-tablets: Unregister devices in
    reverse order (bsc#1012628).
  - platform/x86: x86-android-tablets: Add Lenovo Yoga Tablet 2
    Pro 1380F/L data (bsc#1012628).
  - ALSA: hda/realtek: Add quirks for HP Omen models using CS35L41
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirks for Lenovo 13X (bsc#1012628).
  - powerpc/pseries: Enforce hcall result buffer validity and size
    (bsc#1012628).
  - media: intel/ipu6: Fix build with !ACPI (bsc#1012628).
  - media: mtk-vcodec: potential null pointer deference in SCP
    (bsc#1012628).
  - powerpc/io: Avoid clang null pointer arithmetic warnings
    (bsc#1012628).
  - platform/x86: p2sb: Don't init until unassigned resources have
    been assigned (bsc#1012628).
  - power: supply: cros_usbpd: provide ID table for avoiding
    fallback match (bsc#1012628).
  - iommu/arm-smmu-v3: Free MSIs in case of ENOMEM (bsc#1012628).
  - ext4: do not create EA inode under buffer lock (bsc#1012628).
  - ext4: fix uninitialized ratelimit_state->lock access in
    __ext4_fill_super() (bsc#1012628).
  - kprobe/ftrace: bail out if ftrace was killed (bsc#1012628).
  - usb: gadget: uvc: configfs: ensure guid to be valid before set
    (bsc#1012628).
  - f2fs: fix to detect inconsistent nat entry during truncation
    (bsc#1012628).
  - f2fs: remove clear SB_INLINECRYPT flag in default_options
    (bsc#1012628).
  - usb: typec: ucsi_glink: rework quirks implementation
    (bsc#1012628).
  - usb: misc: uss720: check for incompatible versions of the
    Belkin F5U002 (bsc#1012628).
  - Avoid hw_desc array overrun in dw-axi-dmac (bsc#1012628).
  - usb: dwc3: pci: Don't set "linux,phy_charger_detect" property
    on Lenovo Yoga Tab2 1380 (bsc#1012628).
  - usb: typec: ucsi_glink: drop special handling for CCI_BUSY
    (bsc#1012628).
  - udf: udftime: prevent overflow in udf_disk_stamp_to_time()
    (bsc#1012628).
  - PCI/PM: Avoid D3cold for HP Pavilion 17 PC/1972 PCIe Ports
    (bsc#1012628).
  - f2fs: don't set RO when shutting down f2fs (bsc#1012628).
  - MIPS: Octeon: Add PCIe link status check (bsc#1012628).
  - serial: imx: Introduce timeout when waiting on transmitter empty
    (bsc#1012628).
  - serial: exar: adding missing CTI and Exar PCI ids (bsc#1012628).
  - usb: gadget: function: Remove usage of the deprecated
    ida_simple_xx() API (bsc#1012628).
  - xhci: remove XHCI_TRUST_TX_LENGTH quirk (bsc#1012628).
  - tty: add the option to have a tty reject a new ldisc
    (bsc#1012628).
  - i2c: lpi2c: Avoid calling clk_get_rate during transfer
    (bsc#1012628).
  - cxl: Add post-reset warning if reset results in loss of
    previously committed HDM decoders (bsc#1012628).
  - vfio/pci: Collect hot-reset devices to local buffer
    (bsc#1012628).
  - usb: typec: qcom-pmic-typec: split HPD bridge alloc and
    registration (bsc#1012628).
  - cpufreq: amd-pstate: fix memory leak on CPU EPP exit
    (bsc#1012628).
  - ACPI: EC: Install address space handler at the namespace root
    (bsc#1012628).
  - PCI: Do not wait for disconnected devices when resuming
    (bsc#1012628).
  - OPP: Fix required_opp_tables for multiple genpds using same
    table (bsc#1012628).
  - ALSA: hda: cs35l41: Possible null pointer dereference in
    cs35l41_hda_unbind() (bsc#1012628).
  - ALSA: seq: ump: Fix missing System Reset message handling
    (bsc#1012628).
  - MIPS: Routerboard 532: Fix vendor retry check code
    (bsc#1012628).
  - mips: bmips: BCM6358: make sure CBR is correctly set
    (bsc#1012628).
  - tracing: Build event generation tests only as modules
    (bsc#1012628).
  - wifi: iwlwifi: mvm: fix ROC version check (bsc#1012628).
  - wifi: mac80211: Recalc offload when monitor stop (bsc#1012628).
  - ALSA: hda/realtek: Remove Framework Laptop 16 from quirks
    (bsc#1012628).
  - ALSA/hda: intel-dsp-config: Document AVS as dsp_driver option
    (bsc#1012628).
  - ice: avoid IRQ collision to fix init failure on ACPI S3 resume
    (bsc#1012628).
  - ice: fix 200G link speed message log (bsc#1012628).
  - ice: implement AQ download pkg retry (bsc#1012628).
  - bpf: Fix reg_set_min_max corruption of fake_reg (bsc#1012628).
  - btrfs: zoned: allocate dummy checksums for zoned NODATASUM
    writes (bsc#1012628).
  - net: mvpp2: use slab_build_skb for oversized frames
    (bsc#1012628).
  - cipso: fix total option length computation (bsc#1012628).
  - ALSA: hda: cs35l56: Component should be unbound before
    deconstruction (bsc#1012628).
  - ALSA: hda: cs35l41: Component should be unbound before
    deconstruction (bsc#1012628).
  - ALSA: hda: tas2781: Component should be unbound before
    deconstruction (bsc#1012628).
  - bpf: Avoid splat in pskb_pull_reason (bsc#1012628).
  - netdev-genl: fix error codes when outputting XDP features
    (bsc#1012628).
  - ALSA: hda/realtek: Enable headset mic on IdeaPad 330-17IKB 81DM
    (bsc#1012628).
  - netrom: Fix a memory leak in nr_heartbeat_expiry()
    (bsc#1012628).
  - ipv6: prevent possible NULL deref in fib6_nh_init()
    (bsc#1012628).
  - ipv6: prevent possible NULL dereference in rt6_probe()
    (bsc#1012628).
  - xfrm6: check ip6_dst_idev() return value in xfrm6_get_saddr()
    (bsc#1012628).
  - netns: Make get_net_ns() handle zero refcount net (bsc#1012628).
  - qca_spi: Make interrupt remembering atomic (bsc#1012628).
  - net: lan743x: disable WOL upon resume to restore full data
    path operation (bsc#1012628).
  - net: lan743x: Support WOL at both the PHY and MAC appropriately
    (bsc#1012628).
  - net: phy: mxl-gpy: Remove interrupt mask clearing from
    config_init (bsc#1012628).
  - net/sched: act_api: fix possible infinite loop in
    tcf_idr_check_alloc() (bsc#1012628).
  - tipc: force a dst refcount before doing decryption
    (bsc#1012628).
  - sched: act_ct: add netns into the key of tcf_ct_flow_table
    (bsc#1012628).
  - ptp: fix integer overflow in max_vclocks_store (bsc#1012628).
  - selftests: openvswitch: Use bash as interpreter (bsc#1012628).
  - virtio_net: checksum offloading handling fix (bsc#1012628).
  - virtio_net: fixing XDP for fully checksummed packets handling
    (bsc#1012628).
  - octeontx2-pf: Add error handling to VLAN unoffload handling
    (bsc#1012628).
  - octeontx2-pf: Fix linking objects into multiple modules
    (bsc#1012628).
  - seg6: fix parameter passing when calling NF_HOOK() in End.DX4
    and End.DX6 behaviors (bsc#1012628).
  - netfilter: move the sysctl nf_hooks_lwtunnel into the netfilter
    core (bsc#1012628).
  - ice: Fix VSI list rule with ICE_SW_LKUP_LAST type (bsc#1012628).
  - bnxt_en: Restore PTP tx_avail count in case of skb_pad() error
    (bsc#1012628).
  - net: usb: rtl8150 fix unintiatilzed variables in
    rtl8150_get_link_ksettings (bsc#1012628).
  - RDMA/bnxt_re: Fix the max msix vectors macro (bsc#1012628).
  - arm64: dts: freescale: imx8mm-verdin: Fix GPU speed
    (bsc#1012628).
  - phy: qcom-qmp: qserdes-txrx: Add missing registers offsets
    (bsc#1012628).
  - phy: qcom-qmp: pcs: Add missing v6 N4 register offsets
    (bsc#1012628).
  - phy: qcom: qmp-combo: Switch from V6 to V6 N4 register offsets
    (bsc#1012628).
  - powerpc/crypto: Add generated P8 asm to .gitignore
    (bsc#1012628).
  - spi: cs42l43: Correct SPI root clock speed (bsc#1012628).
  - RDMA/rxe: Fix responder length checking for UD request packets
    (bsc#1012628).
  - regulator: core: Fix modpost error "regulator_get_regmap"
    undefined (bsc#1012628).
  - dmaengine: idxd: Fix possible Use-After-Free in
    irq_process_work_list (bsc#1012628).
  - dmaengine: ti: k3-udma-glue: Fix
    of_k3_udma_glue_parse_chn_by_id() (bsc#1012628).
  - dmaengine: ioatdma: Fix leaking on version mismatch
    (bsc#1012628).
  - dmaengine: ioatdma: Fix error path in ioat3_dma_probe()
    (bsc#1012628).
  - dmaengine: ioatdma: Fix kmemleak in ioat_pci_probe()
    (bsc#1012628).
  - dmaengine: fsl-edma: avoid linking both modules (bsc#1012628).
  - dmaengine: ioatdma: Fix missing kmem_cache_destroy()
    (bsc#1012628).
  - regulator: bd71815: fix ramp values (bsc#1012628).
  - thermal/drivers/mediatek/lvts_thermal: Return error in case
    of invalid efuse data (bsc#1012628).
  - arm64: dts: imx8mp: Fix TC9595 input clock on DH i.MX8M Plus
    DHCOM SoM (bsc#1012628).
  - arm: dts: imx53-qsb-hdmi: Disable panel instead of deleting node
    (bsc#1012628).
  - arm64: dts: freescale: imx8mp-venice-gw73xx-2x: fix BT shutdown
    GPIO (bsc#1012628).
  - arm64: dts: imx93-11x11-evk: Remove the 'no-sdio' property
    (bsc#1012628).
  - arm64: dts: freescale: imx8mm-verdin: enable hysteresis on
    slow input pin (bsc#1012628).
  - ACPICA: Revert "ACPICA: avoid Info: mapping multiple BARs. Your
    kernel is fine." (bsc#1012628).
  - ACPI: scan: Ignore camera graph port nodes on all Dell Tiger,
    Alder and Raptor Lake models (bsc#1012628).
  - spi: Fix SPI slave probe failure (bsc#1012628).
  - x86/resctrl: Don't try to free nonexistent RMIDs (bsc#1012628).
  - spi: spi-imx: imx51: revert burst length calculation back to
    bits_per_word (bsc#1012628).
  - drm/xe/vf: Don't touch GuC irq registers if using memory irqs
    (bsc#1012628).
  - io_uring/rsrc: fix incorrect assignment of iter->nr_segs in
    io_import_fixed (bsc#1012628).
  - firmware: psci: Fix return value from psci_system_suspend()
    (bsc#1012628).
  - LoongArch: Only allow OBJTOOL & ORC unwinder if toolchain
    supports -mthin-add-sub (bsc#1012628).
  - RDMA/mlx5: Fix unwind flow as part of mlx5_ib_stage_init_init
    (bsc#1012628).
  - RDMA/mlx5: Add check for srq max_sge attribute (bsc#1012628).
  - RDMA/mana_ib: Ignore optional access flags for MRs
    (bsc#1012628).
  - ACPI: EC: Evaluate orphan _REG under EC device (bsc#1012628).
  - ext4: avoid overflow when setting values via sysfs
    (bsc#1012628).
  - ext4: fix slab-out-of-bounds in
    ext4_mb_find_good_group_avg_frag_lists() (bsc#1012628).
  - net: phy: dp83tg720: wake up PHYs in managed mode (bsc#1012628).
  - net: stmmac: Assign configured channel value to EXTTS event
    (bsc#1012628).
  - net: usb: ax88179_178a: improve reset check (bsc#1012628).
  - net: phy: dp83tg720: get master/slave configuration in link
    down state (bsc#1012628).
  - net: do not leave a dangling sk pointer, when socket creation
    fails (bsc#1012628).
  - btrfs: retry block group reclaim without infinite loop
    (bsc#1012628).
  - scsi: ufs: core: Free memory allocated for model before reinit
    (bsc#1012628).
  - cifs: fix typo in module parameter enable_gcm_256 (bsc#1012628).
  - LoongArch: Fix watchpoint setting error (bsc#1012628).
  - LoongArch: Trigger user-space watchpoints correctly
    (bsc#1012628).
  - LoongArch: Fix multiple hardware watchpoint issues
    (bsc#1012628).
  - KVM: Fix a data race on last_boosted_vcpu in kvm_vcpu_on_spin()
    (bsc#1012628).
  - KVM: arm64: Disassociate vcpus from redistributor region on
    teardown (bsc#1012628).
  - KVM: x86: Always sync PIR to IRR prior to scanning I/O APIC
    routes (bsc#1012628).
  - RDMA/rxe: Fix data copy for IB_SEND_INLINE (bsc#1012628).
  - RDMA/mlx5: Remove extra unlock on error path (bsc#1012628).
  - RDMA/mlx5: Follow rb_key.ats when creating new mkeys
    (bsc#1012628).
  - RDMA/mlx5: Ensure created mkeys always have a populated rb_key
    (bsc#1012628).
  - ovl: fix encoding fid for lower only root (bsc#1012628).
  - wifi: mac80211: fix monitor channel with chanctx emulation
    (bsc#1012628).
  - ALSA: hda/realtek: fix mute/micmute LEDs don't work for ProBook
    445/465 G11 (bsc#1012628).
  - ALSA: hda/realtek: Limit mic boost on N14AP7 (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Lenovo Yoga Pro 7 14AHP9
    (bsc#1012628).
  - drm/i915/mso: using joiner is not possible with eDP MSO
    (bsc#1012628).
  - drm/radeon: fix UBSAN warning in kv_dpm.c (bsc#1012628).
  - drm/amdgpu: fix UBSAN warning in kv_dpm.c (bsc#1012628).
  - drm/amdgpu: fix locking scope when flushing tlb (bsc#1012628).
  - drm/amd/display: Remove redundant idle optimization check
    (bsc#1012628).
  - drm/amd/display: Attempt to avoid empty TUs when endpoint is
    DPIA (bsc#1012628).
  - dt-bindings: dma: fsl-edma: fix dma-channels constraints
    (bsc#1012628).
  - ocfs2: fix NULL pointer dereference in ocfs2_journal_dirty()
    (bsc#1012628).
  - ocfs2: fix NULL pointer dereference in ocfs2_abort_trigger()
    (bsc#1012628).
  - scsi: core: Introduce the BLIST_SKIP_IO_HINTS flag
    (bsc#1012628).
  - scsi: usb: uas: Do not query the IO Advice Hints Grouping mode
    page for USB/UAS devices (bsc#1012628).
  - ata: ahci: Do not enable LPM if no LPM states are supported
    by the HBA (bsc#1012628).
  - dmaengine: xilinx: xdma: Fix data synchronisation in
    xdma_channel_isr() (bsc#1012628).
  - net/tcp_ao: Don't leak ao_info on error-path (bsc#1012628).
  - gcov: add support for GCC 14 (bsc#1012628).
  - kcov: don't lose track of remote references during softirqs
    (bsc#1012628).
  - efi/x86: Free EFI memory map only when installing a new one
    (bsc#1012628).
  - serial: 8250_dw: Revert "Move definitions to the shared header"
    (bsc#1012628).
  - MIPS: mipsmtregs: Fix target register for MFTC0 (bsc#1012628).
  - mm: mmap: allow for the maximum number of bits for randomizing
    mmap_base by default (bsc#1012628).
  - mm: huge_memory: fix misused mapping_large_folio_support()
    for anon folios (bsc#1012628).
  - mm: shmem: fix getting incorrect lruvec when replacing a shmem
    folio (bsc#1012628).
  - selftests: mptcp: userspace_pm: fixed subtest names
    (bsc#1012628).
  - tcp: clear tp->retrans_stamp in tcp_rcv_fastopen_synack()
    (bsc#1012628).
  - mm/page_table_check: fix crash on ZONE_DEVICE (bsc#1012628).
  - ima: Avoid blocking in RCU read-side critical section
    (bsc#1012628).
  - i2c: ocores: set IACK bit after core is enabled (bsc#1012628).
  - dt-bindings: i2c: atmel,at91sam: correct path to i2c-controller
    schema (bsc#1012628).
  - virt: guest_memfd: fix reference leak on hwpoisoned page
    (bsc#1012628).
  - dt-bindings: i2c: google,cros-ec-i2c-tunnel: correct path to
    i2c-controller schema (bsc#1012628).
  - thermal: int340x: processor_thermal: Support shared interrupts
    (bsc#1012628).
  - spi: stm32: qspi: Fix dual flash mode sanity test in
    stm32_qspi_setup() (bsc#1012628).
  - arm64: dts: imx8qm-mek: fix gpio number for reg_usdhc2_vmmc
    (bsc#1012628).
  - spi: stm32: qspi: Clamp stm32_qspi_get_mode() output to
    CCR_BUSWIDTH_4 (bsc#1012628).
  - nbd: Improve the documentation of the locking assumptions
    (bsc#1012628).
  - nbd: Fix signal handling (bsc#1012628).
  - tracing: Add MODULE_DESCRIPTION() to preemptirq_delay_test
    (bsc#1012628).
  - x86/cpu/vfm: Add new macros to work with (vendor/family/model)
    values (bsc#1012628).
  - x86/cpu: Fix x86_match_cpu() to match just X86_VENDOR_INTEL
    (bsc#1012628).
  - drm/amd/display: revert Exit idle optimizations before HDCP
    execution (bsc#1012628).
  - wifi: ath12k: check M3 buffer size as well whey trying to
    reuse it (bsc#1012628).
  - ASoC: Intel: sof-sdw: really remove FOUR_SPEAKER quirk
    (bsc#1012628).
  - net/sched: unregister lockdep keys in qdisc_create/qdisc_alloc
    error path (bsc#1012628).
  - kprobe/ftrace: fix build error due to bad function definition
    (bsc#1012628).
  - hid: asus: asus_report_fixup: fix potential read out of bounds
    (bsc#1012628).
  - Revert "mm: mmap: allow for the maximum number of bits for
    randomizing mmap_base by default" (bsc#1012628).
  - Rename to
    patches.kernel.org/6.9.7-042-ACPI-video-Add-backlight-native-quirk-for-Lenov.patch.
  - Rename to
    patches.kernel.org/6.9.7-131-net-stmmac-No-need-to-calculate-speed-divider-w.patch.
  - Rename to
    patches.kernel.org/6.9.7-136-netfilter-ipset-Fix-suspicious-rcu_dereference_.patch.
  - Rename to
    patches.kernel.org/6.9.7-236-thermal-core-Change-PM-notifier-priority-to-the.patch.
  - commit 2eea3a0
* Tue Jun 25 2024 jslaby@suse.cz
  - Refresh
    patches.suse/thermal-core-Change-PM-notifier-priority-to-the-mini.patch.
    Update upstream status and move to sorted section.
  - commit d344d3a
* Sun Jun 23 2024 mkubecek@suse.cz
  - update to 6.10-rc5
  - refresh configs
  - commit 0c5f39a
* Sat Jun 22 2024 jslaby@suse.cz
  - netfilter: ipset: Fix suspicious rcu_dereference_protected()
    (git-fixes).
  - net: stmmac: No need to calculate speed divider when offload
    is disabled (git-fixes).
  - commit e256f5c
* Sat Jun 22 2024 jslaby@suse.cz
  - Linux 6.9.6 (bsc#1012628).
  - wifi: ath11k: fix WCN6750 firmware crash caused by 17 num_vdevs
    (bsc#1012628).
  - wifi: ath11k: move power type check to ASSOC stage when
    connecting to 6 GHz AP (bsc#1012628).
  - cpufreq: amd-pstate: Unify computation of
    {max,min,nominal,lowest_nonlinear}_freq (bsc#1012628).
  - cpufreq: amd-pstate: Add quirk for the pstate CPPC capabilities
    missing (bsc#1012628).
  - cpufreq: amd-pstate: remove global header file (bsc#1012628).
  - wifi: mac80211: mesh: Fix leak of mesh_preq_queue objects
    (bsc#1012628).
  - wifi: mac80211: Fix deadlock in
    ieee80211_sta_ps_deliver_wakeup() (bsc#1012628).
  - wifi: cfg80211: fully move wiphy work to unbound workqueue
    (bsc#1012628).
  - wifi: cfg80211: Lock wiphy in cfg80211_get_station
    (bsc#1012628).
  - wifi: cfg80211: pmsr: use correct nla_get_uX functions
    (bsc#1012628).
  - wifi: mac80211: pass proper link id for channel switch started
    notification (bsc#1012628).
  - wifi: iwlwifi: mvm: don't initialize csa_work twice
    (bsc#1012628).
  - wifi: iwlwifi: mvm: revert gen2 TX A-MPDU size to 64
    (bsc#1012628).
  - wifi: iwlwifi: mvm: set properly mac header (bsc#1012628).
  - wifi: iwlwifi: dbg_ini: move iwl_dbg_tlv_free outside of
    debugfs ifdef (bsc#1012628).
  - wifi: iwlwifi: mvm: check n_ssids before accessing the ssids
    (bsc#1012628).
  - wifi: iwlwifi: mvm: don't read past the mfuart notifcation
    (bsc#1012628).
  - wifi: mac80211: fix Spatial Reuse element size check
    (bsc#1012628).
  - wifi: mac80211: correctly parse Spatial Reuse Parameter Set
    element (bsc#1012628).
  - scsi: ufs: mcq: Fix error output and clean up ufshcd_mcq_abort()
    (bsc#1012628).
  - RISC-V: KVM: No need to use mask when hart-index-bit is 0
    (bsc#1012628).
  - RISC-V: KVM: Fix incorrect reg_subtype labels in
    kvm_riscv_vcpu_set_reg_isa_ext function (bsc#1012628).
  - virtio_net: fix possible dim status unrecoverable (bsc#1012628).
  - ax25: Fix refcount imbalance on inbound connections
    (bsc#1012628).
  - ax25: Replace kfree() in ax25_dev_free() with ax25_dev_put()
    (bsc#1012628).
  - net/ncsi: Fix the multi thread manner of NCSI driver
    (bsc#1012628).
  - net: phy: micrel: fix KSZ9477 PHY issues after suspend/resume
    (bsc#1012628).
  - bpf: Fix a potential use-after-free in bpf_link_free()
    (bsc#1012628).
  - KVM: SEV-ES: Disallow SEV-ES guests when X86_FEATURE_LBRV is
    absent (bsc#1012628).
  - KVM: SEV-ES: Delegate LBR virtualization to the processor
    (bsc#1012628).
  - vmxnet3: disable rx data ring on dma allocation failure
    (bsc#1012628).
  - ipv6: ioam: block BH from ioam6_output() (bsc#1012628).
  - ipv6: sr: block BH in seg6_output_core() and seg6_input_core()
    (bsc#1012628).
  - net: tls: fix marking packets as decrypted (bsc#1012628).
  - bpf: Set run context for rawtp test_run callback (bsc#1012628).
  - octeontx2-af: Always allocate PF entries from low prioriy zone
    (bsc#1012628).
  - net/smc: avoid overwriting when adjusting sock bufsizes
    (bsc#1012628).
  - net: phy: Micrel KSZ8061: fix errata solution not taking effect
    problem (bsc#1012628).
  - ionic: fix kernel panic in XDP_TX action (bsc#1012628).
  - net: sched: sch_multiq: fix possible OOB write in multiq_tune()
    (bsc#1012628).
  - tcp: count CLOSE-WAIT sockets for TCP_MIB_CURRESTAB
    (bsc#1012628).
  - mptcp: count CLOSE-WAIT sockets for MPTCP_MIB_CURRESTAB
    (bsc#1012628).
  - rtnetlink: make the "split" NLM_DONE handling generic
    (bsc#1012628).
  - net: ethernet: mtk_eth_soc: handle dma buffer size soc specific
    (bsc#1012628).
  - net/mlx5: Stop waiting for PCI if pci channel is offline
    (bsc#1012628).
  - net/mlx5: Always stop health timer during driver removal
    (bsc#1012628).
  - net/mlx5: Fix tainted pointer delete is case of flow rules
    creation fail (bsc#1012628).
  - net/sched: taprio: always validate TCA_TAPRIO_ATTR_PRIOMAP
    (bsc#1012628).
  - ptp: Fix error message on failed pin verification (bsc#1012628).
  - ice: fix iteration of TLVs in Preserved Fields Area
    (bsc#1012628).
  - ice: fix reads from NVM Shadow RAM on E830 and E825-C devices
    (bsc#1012628).
  - ice: remove af_xdp_zc_qps bitmap (bsc#1012628).
  - ice: add flag to distinguish reset from .ndo_bpf in XDP rings
    config (bsc#1012628).
  - ice: map XDP queues to vectors in ice_vsi_map_rings_to_vectors()
    (bsc#1012628).
  - igc: Fix Energy Efficient Ethernet support declaration
    (bsc#1012628).
  - net: wwan: iosm: Fix tainted pointer delete is case of region
    creation fail (bsc#1012628).
  - af_unix: Set sk->sk_state under unix_state_lock() for truly
    disconencted peer (bsc#1012628).
  - af_unix: Annodate data-races around sk->sk_state for writers
    (bsc#1012628).
  - af_unix: Annotate data-race of sk->sk_state in unix_inq_len()
    (bsc#1012628).
  - af_unix: Annotate data-races around sk->sk_state in
    unix_write_space() and poll() (bsc#1012628).
  - af_unix: Annotate data-race of sk->sk_state in
    unix_stream_connect() (bsc#1012628).
  - af_unix: Annotate data-races around sk->sk_state in sendmsg()
    and recvmsg() (bsc#1012628).
  - af_unix: Annotate data-race of sk->sk_state in
    unix_stream_read_skb() (bsc#1012628).
  - af_unix: Annotate data-races around sk->sk_state in UNIX_DIAG
    (bsc#1012628).
  - af_unix: Annotate data-races around sk->sk_sndbuf (bsc#1012628).
  - af_unix: Annotate data-race of net->unx.sysctl_max_dgram_qlen
    (bsc#1012628).
  - af_unix: Use unix_recvq_full_lockless() in unix_stream_connect()
    (bsc#1012628).
  - af_unix: Use skb_queue_empty_lockless() in unix_release_sock()
    (bsc#1012628).
  - af_unix: Use skb_queue_len_lockless() in sk_diag_show_rqlen()
    (bsc#1012628).
  - af_unix: Annotate data-race of sk->sk_shutdown in sk_diag_fill()
    (bsc#1012628).
  - ipv6: fix possible race in __fib6_drop_pcpu_from()
    (bsc#1012628).
  - net: ethtool: fix the error condition in
    ethtool_get_phy_stats_ethtool() (bsc#1012628).
  - x86/cpu: Get rid of an unnecessary local variable in
    get_cpu_address_sizes() (bsc#1012628).
  - x86/cpu: Provide default cache line size if not enumerated
    (bsc#1012628).
  - selftests/mm: ksft_exit functions do not return (bsc#1012628).
  - selftests/mm: compaction_test: fix bogus test success and
    reduce probability of OOM-killer invocation (bsc#1012628).
  - eventfs: Update all the eventfs_inodes from the events
    descriptor (bsc#1012628).
  - .editorconfig: remove trim_trailing_whitespace option
    (bsc#1012628).
  - io_uring/rsrc: don't lock while !TASK_RUNNING (bsc#1012628).
  - io_uring: fix cancellation overwriting req->flags (bsc#1012628).
  - USB: class: cdc-wdm: Fix CPU lockup caused by excessive log
    messages (bsc#1012628).
  - kcov, usb: disable interrupts in kcov_remote_start_usb_softirq
    (bsc#1012628).
  - USB: xen-hcd: Traverse host/ when CONFIG_USB_XEN_HCD is selected
    (bsc#1012628).
  - usb: typec: tcpm: fix use-after-free case in
    tcpm_register_source_caps (bsc#1012628).
  - usb: typec: tcpm: Ignore received Hard Reset in TOGGLING state
    (bsc#1012628).
  - mei: me: release irq in mei_me_pci_resume error path
    (bsc#1012628).
  - mei: vsc: Don't stop/restart mei device during system
    suspend/resume (bsc#1012628).
  - tty: n_tty: Fix buffer offsets when lookahead is used
    (bsc#1012628).
  - serial: 8250_pxa: Configure tx_loadsz to match FIFO IRQ level
    (bsc#1012628).
  - serial: port: Don't block system suspend even if bytes are
    left to xmit (bsc#1012628).
  - landlock: Fix d_parent walk (bsc#1012628).
  - jfs: xattr: fix buffer overflow for invalid xattr (bsc#1012628).
  - xhci: Set correct transferred length for cancelled bulk
    transfers (bsc#1012628).
  - xhci: Apply reset resume quirk to Etron EJ188 xHCI host
    (bsc#1012628).
  - xhci: Handle TD clearing for multiple streams case
    (bsc#1012628).
  - xhci: Apply broken streams quirk to Etron EJ188 xHCI host
    (bsc#1012628).
  - thunderbolt: debugfs: Fix margin debugfs node creation condition
    (bsc#1012628).
  - scsi: core: Disable CDL by default (bsc#1012628).
  - scsi: mpi3mr: Fix ATA NCQ priority support (bsc#1012628).
  - scsi: mpt3sas: Avoid test/set_bit() operating in non-allocated
    memory (bsc#1012628).
  - scsi: sd: Use READ(16) when reading block zero on large capacity
    disks (bsc#1012628).
  - gve: Clear napi->skb before dev_kfree_skb_any() (bsc#1012628).
  - powerpc/85xx: fix compile error without CONFIG_CRASH_DUMP
    (bsc#1012628).
  - powerpc/uaccess: Fix build errors seen with GCC 13/14
    (bsc#1012628).
  - HID: nvidia-shield: Add missing check for
    input_ff_create_memless (bsc#1012628).
  - cxl/test: Add missing vmalloc.h for tools/testing/cxl/test/mem.c
    (bsc#1012628).
  - cxl/region: Fix memregion leaks in devm_cxl_add_region()
    (bsc#1012628).
  - cachefiles: add output string to
    cachefiles_obj_[get|put]_ondemand_fd (bsc#1012628).
  - cachefiles: remove requests from xarray during flushing requests
    (bsc#1012628).
  - cachefiles: fix slab-use-after-free in
    cachefiles_ondemand_get_fd() (bsc#1012628).
  - cachefiles: fix slab-use-after-free in
    cachefiles_ondemand_daemon_read() (bsc#1012628).
  - cachefiles: add spin_lock for cachefiles_ondemand_info
    (bsc#1012628).
  - cachefiles: remove err_put_fd label in
    cachefiles_ondemand_daemon_read() (bsc#1012628).
  - cachefiles: never get a new anonymous fd if ondemand_id is valid
    (bsc#1012628).
  - cachefiles: defer exposing anon_fd until after copy_to_user()
    succeeds (bsc#1012628).
  - cachefiles: flush all requests after setting CACHEFILES_DEAD
    (bsc#1012628).
  - kselftest/alsa: Ensure _GNU_SOURCE is defined (bsc#1012628).
  - selftests/ftrace: Fix to check required event file
    (bsc#1012628).
  - clk: sifive: Do not register clkdevs for PRCI clocks
    (bsc#1012628).
  - NFSv4.1 enforce rootpath check in fs_location query
    (bsc#1012628).
  - SUNRPC: return proper error from gss_wrap_req_priv
    (bsc#1012628).
  - NFS: add barriers when testing for NFS_FSDATA_BLOCKED
    (bsc#1012628).
  - selftests/tracing: Fix event filter test to retry up to 10 times
    (bsc#1012628).
  - selftests/futex: don't pass a const char* to asprintf(3)
    (bsc#1012628).
  - nvme: fix nvme_pr_* status code parsing (bsc#1012628).
  - drm/panel: sitronix-st7789v: Add check for
    of_drm_get_panel_orientation (bsc#1012628).
  - platform/x86: dell-smbios: Fix wrong token data in sysfs
    (bsc#1012628).
  - gpio: tqmx86: fix typo in Kconfig label (bsc#1012628).
  - gpio: tqmx86: introduce shadow register for GPIO output value
    (bsc#1012628).
  - gpio: tqmx86: store IRQ trigger type and unmask status
    separately (bsc#1012628).
  - gpio: tqmx86: fix broken IRQ_TYPE_EDGE_BOTH interrupt type
    (bsc#1012628).
  - HID: core: remove unnecessary WARN_ON() in implement()
    (bsc#1012628).
  - iommu/amd: Fix sysfs leak in iommu init (bsc#1012628).
  - iommu: Return right value in iommu_sva_bind_device()
    (bsc#1012628).
  - io_uring/io-wq: Use set_bit() and test_bit() at worker->flags
    (bsc#1012628).
  - io_uring/io-wq: avoid garbage value of 'match' in
    io_wq_enqueue() (bsc#1012628).
  - HID: logitech-dj: Fix memory leak in
    logi_dj_recv_switch_to_dj_mode() (bsc#1012628).
  - drm/vmwgfx: Filter modes which exceed graphics memory
    (bsc#1012628).
  - drm/vmwgfx: 3D disabled should not effect STDU memory limits
    (bsc#1012628).
  - drm/vmwgfx: Remove STDU logic from generic mode_valid function
    (bsc#1012628).
  - drm/vmwgfx: Don't memcmp equivalent pointers (bsc#1012628).
  - af_unix: Annotate data-race of sk->sk_state in unix_accept()
    (bsc#1012628).
  - modpost: do not warn about missing MODULE_DESCRIPTION() for
    vmlinux.o (bsc#1012628).
  - net: sfp: Always call `sfp_sm_mod_remove()` on remove
    (bsc#1012628).
  - net: hns3: fix kernel crash problem in concurrent scenario
    (bsc#1012628).
  - net: hns3: add cond_resched() to hns3 ring buffer init process
    (bsc#1012628).
  - thermal: core: Do not fail cdev registration because of invalid
    initial state (bsc#1012628).
  - liquidio: Adjust a NULL pointer handling path in
    lio_vf_rep_copy_packet (bsc#1012628).
  - net: stmmac: dwmac-qcom-ethqos: Configure host DMA width
    (bsc#1012628).
  - netdevsim: fix backwards compatibility in nsim_get_iflink()
    (bsc#1012628).
  - drm/komeda: check for error-valued pointer (bsc#1012628).
  - drm/bridge/panel: Fix runtime warning on panel bridge release
    (bsc#1012628).
  - tcp: fix race in tcp_v6_syn_recv_sock() (bsc#1012628).
  - net dsa: qca8k: fix usages of device_get_named_child_node()
    (bsc#1012628).
  - geneve: Fix incorrect inner network header offset when
    innerprotoinherit is set (bsc#1012628).
  - net/mlx5e: Fix features validation check for tunneled UDP
    (non-VXLAN) packets (bsc#1012628).
  - Bluetooth: hci_sync: Fix not using correct handle (bsc#1012628).
  - Bluetooth: L2CAP: Fix rejecting L2CAP_CONN_PARAM_UPDATE_REQ
    (bsc#1012628).
  - net/sched: initialize noop_qdisc owner (bsc#1012628).
  - tcp: use signed arithmetic in tcp_rtx_probe0_timed_out()
    (bsc#1012628).
  - drm/nouveau: don't attempt to schedule hpd_work on headless
    cards (bsc#1012628).
  - netfilter: nft_inner: validate mandatory meta and payload
    (bsc#1012628).
  - netfilter: ipset: Fix race between namespace cleanup and gc
    in the list:set type (bsc#1012628).
  - x86/asm: Use %c/%n instead of %P operand modifier in asm
    templates (bsc#1012628).
  - x86/uaccess: Fix missed zeroing of ia32 u64 get_user() range
    checking (bsc#1012628).
  - scsi: ufs: core: Quiesce request queues before checking pending
    cmds (bsc#1012628).
  - net: pse-pd: Use EOPNOTSUPP error code instead of ENOTSUPP
    (bsc#1012628).
  - gve: ignore nonrelevant GSO type bits when processing TSO
    headers (bsc#1012628).
  - net: stmmac: replace priv->speed with the portTransmitRate
    from the tc-cbs parameters (bsc#1012628).
  - block: sed-opal: avoid possible wrong address reference in
    read_sed_opal_key() (bsc#1012628).
  - block: fix request.queuelist usage in flush (bsc#1012628).
  - nvmet-passthru: propagate status from id override functions
    (bsc#1012628).
  - net/ipv6: Fix the RT cache flush via sysctl using a previous
    delay (bsc#1012628).
  - net: bridge: mst: pass vlan group directly to
    br_mst_vlan_set_state (bsc#1012628).
  - net: bridge: mst: fix suspicious rcu usage in br_mst_set_state
    (bsc#1012628).
  - drm/xe/xe_gt_idle: use GT forcewake domain assertion
    (bsc#1012628).
  - drm/xe: flush engine buffers before signalling user fence on
    all engines (bsc#1012628).
  - drm/xe: Remove mem_access from guc_pc calls (bsc#1012628).
  - drm/xe: move disable_c6 call (bsc#1012628).
  - ionic: fix use after netif_napi_del() (bsc#1012628).
  - bnxt_en: Cap the size of HWRM_PORT_PHY_QCFG forwarded response
    (bsc#1012628).
  - af_unix: Read with MSG_PEEK loops if the first unread byte is
    OOB (bsc#1012628).
  - bnxt_en: Adjust logging of firmware messages in case of released
    token in __hwrm_send() (bsc#1012628).
  - misc: microchip: pci1xxxx: fix double free in the error handling
    of gp_aux_bus_probe() (bsc#1012628).
  - ksmbd: move leading slash check to smb2_get_name()
    (bsc#1012628).
  - ksmbd: fix missing use of get_write in in smb2_set_ea()
    (bsc#1012628).
  - tick/nohz_full: Don't abuse smp_call_function_single() in
    tick_setup_device() (bsc#1012628).
  - leds: class: Revert: "If no default trigger is given, make
    hw_control trigger the default trigger" (bsc#1012628).
  - x86/boot: Don't add the EFI stub to targets, again
    (bsc#1012628).
  - iio: adc: ad9467: fix scan type sign (bsc#1012628).
  - iio: dac: ad5592r: fix temperature channel scaling value
    (bsc#1012628).
  - iio: imu: bmi323: Fix trigger notification in case of error
    (bsc#1012628).
  - iio: invensense: fix odr switching to same value (bsc#1012628).
  - iio: pressure: bmp280: Fix BMP580 temperature reading
    (bsc#1012628).
  - iio: temperature: mlx90635: Fix ERR_PTR dereference in
    mlx90635_probe() (bsc#1012628).
  - iio: imu: inv_icm42600: delete unneeded update watermark call
    (bsc#1012628).
  - drivers: core: synchronize really_probe() and dev_uevent()
    (bsc#1012628).
  - parisc: Try to fix random segmentation faults in package builds
    (bsc#1012628).
  - RAS/AMD/ATL: Fix MI300 bank hash (bsc#1012628).
  - RAS/AMD/ATL: Use system settings for MI300 DRAM to normalized
    address translation (bsc#1012628).
  - ACPI: x86: Force StorageD3Enable on more products (bsc#1012628).
  - thermal: ACPI: Invalidate trip points with temperature of 0
    or below (bsc#1012628).
  - x86/mm/numa: Use NUMA_NO_NODE when calling memblock_set_node()
    (bsc#1012628).
  - memblock: make memblock_set_node() also warn about use of
    MAX_NUMNODES (bsc#1012628).
  - drm/exynos/vidi: fix memory leak in .get_modes() (bsc#1012628).
  - drm/exynos: hdmi: report safe 640x480 mode as a fallback when
    no EDID found (bsc#1012628).
  - mptcp: ensure snd_una is properly initialized on connect
    (bsc#1012628).
  - mptcp: pm: inc RmAddr MIB counter once per RM_ADDR ID
    (bsc#1012628).
  - mptcp: pm: update add_addr counters after connect (bsc#1012628).
  - irqchip/sifive-plic: Chain to parent IRQ after handlers are
    ready (bsc#1012628).
  - irqchip/gic-v3-its: Fix potential race condition in
    its_vlpi_prop_update() (bsc#1012628).
  - x86/kexec: Fix bug with call depth tracking (bsc#1012628).
  - x86/amd_nb: Check for invalid SMN reads (bsc#1012628).
  - perf/core: Fix missing wakeup when waiting for context reference
    (bsc#1012628).
  - perf auxtrace: Fix multiple use of --itrace option
    (bsc#1012628).
  - perf script: Show also errors for --insn-trace option
    (bsc#1012628).
  - wifi: cfg80211: validate HE operation element parsing
    (bsc#1012628).
  - wifi: rtlwifi: Ignore IEEE80211_CONF_CHANGE_RETRY_LIMITS
    (bsc#1012628).
  - wifi: mt76: mt7615: add missing chanctx ops (bsc#1012628).
  - locking/atomic: scripts: fix ${atomic}_sub_and_test() kerneldoc
    (bsc#1012628).
  - riscv: fix overlap of allocated page and PTR_ERR (bsc#1012628).
  - tracing/selftests: Fix kprobe event name test for
    .isra. functions (bsc#1012628).
  - kheaders: explicitly define file modes for archived headers
    (bsc#1012628).
  - null_blk: Print correct max open zones limit in
    null_init_zoned_dev() (bsc#1012628).
  - ata: ahci: Do not apply Intel PCS quirk on Intel Alder Lake
    (bsc#1012628).
  - ata: libata-core: Add ATA_HORKAGE_NOLPM for Apacer AS340
    (bsc#1012628).
  - ata: libata-core: Add ATA_HORKAGE_NOLPM for Crucial
    CT240BX500SSD1 (bsc#1012628).
  - ata: libata-core: Add ATA_HORKAGE_NOLPM for AMD Radeon S3 SSD
    (bsc#1012628).
  - sock_map: avoid race between sock_map_close and sk_psock_put
    (bsc#1012628).
  - dma-buf: handle testing kthreads creation failure (bsc#1012628).
  - vmci: prevent speculation leaks by sanitizing event in
    event_deliver() (bsc#1012628).
  - spmi: hisi-spmi-controller: Do not override device identifier
    (bsc#1012628).
  - knfsd: LOOKUP can return an illegal error value (bsc#1012628).
  - fs/proc: fix softlockup in __read_vmcore (bsc#1012628).
  - kexec: fix the unexpected kexec_dprintk() macro (bsc#1012628).
  - ocfs2: update inode fsync transaction id in ocfs2_unlink and
    ocfs2_link (bsc#1012628).
  - ocfs2: use coarse time for new created files (bsc#1012628).
  - ocfs2: fix races between hole punching and AIO+DIO
    (bsc#1012628).
  - dm-integrity: set discard_granularity to logical block size
    (bsc#1012628).
  - PCI: rockchip-ep: Remove wrong mask on subsys_vendor_id
    (bsc#1012628).
  - dmaengine: axi-dmac: fix possible race in remove()
    (bsc#1012628).
  - drm/bridge: aux-hpd-bridge: correct devm_drm_dp_hpd_bridge_add()
    stub (bsc#1012628).
  - remoteproc: k3-r5: Wait for core0 power-up before powering up
    core1 (bsc#1012628).
  - remoteproc: k3-r5: Do not allow core1 to power up before core0
    via sysfs (bsc#1012628).
  - iio: adc: axi-adc: make sure AXI clock is enabled (bsc#1012628).
  - iio: temperature: mcp9600: Fix temperature reading for negative
    values (bsc#1012628).
  - iio: invensense: fix interrupt timestamp alignment
    (bsc#1012628).
  - drm/mst: Fix NULL pointer dereference at
    drm_dp_add_payload_part2 (bsc#1012628).
  - riscv: rewrite __kernel_map_pages() to fix sleeping in invalid
    context (bsc#1012628).
  - riscv: force PAGE_SIZE linear mapping if debug_pagealloc is
    enabled (bsc#1012628).
  - rtla/timerlat: Simplify "no value" printing on top
    (bsc#1012628).
  - rtla/auto-analysis: Replace \t with spaces (bsc#1012628).
  - drm/i915/gt: Disarm breadcrumbs if engines are already idle
    (bsc#1012628).
  - drm/shmem-helper: Fix BUG_ON() on mmap(PROT_WRITE, MAP_PRIVATE)
    (bsc#1012628).
  - drm/xe: Properly handle alloc_guc_id() failure (bsc#1012628).
  - drm/i915/dpt: Make DPT object unshrinkable (bsc#1012628).
  - drm/i915: Fix audio component initialization (bsc#1012628).
  - intel_th: pci: Add Granite Rapids support (bsc#1012628).
  - intel_th: pci: Add Granite Rapids SOC support (bsc#1012628).
  - intel_th: pci: Add Sapphire Rapids SOC support (bsc#1012628).
  - intel_th: pci: Add Meteor Lake-S support (bsc#1012628).
  - intel_th: pci: Add Lunar Lake support (bsc#1012628).
  - pmdomain: ti-sci: Fix duplicate PD referrals (bsc#1012628).
  - btrfs: zoned: fix use-after-free due to race with dev replace
    (bsc#1012628).
  - mm/huge_memory: don't unpoison huge_zero_folio (bsc#1012628).
  - remoteproc: k3-r5: Jump to error handling labels in start/stop
    errors (bsc#1012628).
  - greybus: Fix use-after-free bug in gb_interface_release due
    to race condition (bsc#1012628).
  - ima: Fix use-after-free on a dentry's dname.name (bsc#1012628).
  - serial: 8250_dw: Don't use struct dw8250_data outside of 8250_dw
    (bsc#1012628).
  - dt-bindings: usb: realtek,rts5411: Add missing
    "additionalProperties" on child nodes (bsc#1012628).
  - usb-storage: alauda: Check whether the media is initialized
    (bsc#1012628).
  - mei: vsc: Fix wrong invocation of ACPI SID method (bsc#1012628).
  - misc: microchip: pci1xxxx: Fix a memory leak in the error
    handling of gp_aux_bus_probe() (bsc#1012628).
  - i2c: at91: Fix the functionality flags of the slave-only
    interface (bsc#1012628).
  - i2c: designware: Fix the functionality flags of the slave-only
    interface (bsc#1012628).
  - zap_pid_ns_processes: clear TIF_NOTIFY_SIGNAL along with
    TIF_SIGPENDING (bsc#1012628).
  - Rename to
    patches.kernel.org/6.9.6-098-ata-libata-scsi-Set-the-RMB-bit-only-for-remova.patch.
  - Rename to
    patches.kernel.org/6.9.6-160-Bluetooth-fix-connection-setup-in-l2cap_connect.patch.
  - Rename to
    patches.kernel.org/6.9.6-267-wifi-iwlwifi-mvm-support-iwl_dev_tx_power_cmd_v.patch.
  - Rename to
    patches.kernel.org/6.9.6-268-wifi-iwlwifi-mvm-fix-a-crash-on-7265.patch.
  - commit 6156266
* Fri Jun 21 2024 tiwai@suse.de
  - wifi: iwlwifi: mvm: fix a crash on 7265 (bsc#1226544).
  - wifi: iwlwifi: mvm: support iwl_dev_tx_power_cmd_v8
    (bsc#1226544).
  - commit b32a843
* Tue Jun 18 2024 jslaby@suse.cz
  - Refresh
    patches.suse/Bluetooth-fix-connection-setup-in-l2cap_connect.patch.
  - Refresh
    patches.suse/scsi-core-alua-I-O-errors-for-ALUA-state-transitions.patch.
    Update upstream statuses.
  - commit ee54ae4
* Tue Jun 18 2024 jslaby@suse.cz
  - rpm/kernel-obs-build.spec.in: drop algif_hash from KERNEL_MODULES (bsc#1226463)
    algif_hash was added as a part of commit bfd7db420f29
    (rpm/kernel-obs-build.spec.in: Include algif_hash, aegis128 and xts
    modules). But it causes issues with an openssl-1_1 test. See the bug.
    Therefore, temporarily drop the module from KERNEL_MODULES.
  - commit c9c2e24
* Mon Jun 17 2024 tiwai@suse.de
  - ata: libata-scsi: Set the RMB bit only for removable media
    devices (bsc#1226214).
  - commit e43cb70
* Mon Jun 17 2024 jslaby@suse.cz
  - Linux 6.9.5 (bsc#1012628).
  - drm/amdkfd: handle duplicate BOs in reserve_bo_and_cond_vms
    (bsc#1012628).
  - drm/i915/hwmon: Get rid of devm (bsc#1012628).
  - afs: Don't cross .backup mountpoint from backup volume
    (bsc#1012628).
  - erofs: avoid allocating DEFLATE streams before mounting
    (bsc#1012628).
  - x86/topology/amd: Evaluate SMT in CPUID leaf 0x8000001e only
    on family 0x17 and greater (bsc#1012628).
  - vxlan: Fix regression when dropping packets due to invalid
    src addresses (bsc#1012628).
  - f2fs: fix to do sanity check on i_xattr_nid in
    sanity_check_inode() (bsc#1012628).
  - media: lgdt3306a: Add a check against null-pointer-def
    (bsc#1012628).
  - drm/amdgpu: add error handle to avoid out-of-bounds
    (bsc#1012628).
  - drm/xe/bb: assert width in xe_bb_create_job() (bsc#1012628).
  - bcache: fix variable length array abuse in btree_iter
    (bsc#1012628).
  - crypto: starfive - Do not free stack buffer (bsc#1012628).
  - btrfs: qgroup: fix initialization of auto inherit array
    (bsc#1012628).
  - wifi: rtw89: correct aSIFSTime for 6GHz band (bsc#1012628).
  - ata: pata_legacy: make legacy_exit() work again (bsc#1012628).
  - fsverity: use register_sysctl_init() to avoid kmemleak warning
    (bsc#1012628).
  - proc: Move fdinfo PTRACE_MODE_READ check into the inode
    .permission operation (bsc#1012628).
  - platform/chrome: cros_ec: Handle events during suspend after
    resume completion (bsc#1012628).
  - thermal/drivers/qcom/lmh: Check for SCM availability at probe
    (bsc#1012628).
  - soc: qcom: rpmh-rsc: Enhance check for VRM in-flight request
    (bsc#1012628).
  - ACPI: resource: Do IRQ override on TongFang GXxHRXx and GMxHGxx
    (bsc#1012628).
  - arm64: tegra: Correct Tegra132 I2C alias (bsc#1012628).
  - arm64: dts: qcom: qcs404: fix bluetooth device address
    (bsc#1012628).
  - md/raid5: fix deadlock that raid5d() wait for itself to clear
    MD_SB_CHANGE_PENDING (bsc#1012628).
  - wifi: rtw89: pci: correct TX resource checking for PCI DMA
    channel of firmware command (bsc#1012628).
  - wifi: rtl8xxxu: Fix the TX power of RTL8192CU, RTL8723AU
    (bsc#1012628).
  - wifi: rtl8xxxu: enable MFP support with security flag of RX
    descriptor (bsc#1012628).
  - wifi: rtlwifi: rtl8192de: Fix 5 GHz TX power (bsc#1012628).
  - wifi: rtlwifi: rtl8192de: Fix low speed with WPA3-SAE
    (bsc#1012628).
  - wifi: rtlwifi: rtl8192de: Fix endianness issue in RX path
    (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: add missing PCIe minimum OPP
    (bsc#1012628).
  - arm64: dts: hi3798cv200: fix the size of GICR (bsc#1012628).
  - arm64: dts: ti: verdin-am62: Set memory size to 2gb
    (bsc#1012628).
  - media: mgb4: Fix double debugfs remove (bsc#1012628).
  - media: mc: Fix graph walk in media_pipeline_start (bsc#1012628).
  - media: mc: mark the media devnode as registered from the,
    start (bsc#1012628).
  - media: mxl5xx: Move xpt structures off stack (bsc#1012628).
  - media: v4l2-core: hold videodev_lock until dev reg, finishes
    (bsc#1012628).
  - media: ov2740: Fix LINK_FREQ and PIXEL_RATE control value
    reporting (bsc#1012628).
  - media: v4l: async: Properly re-initialise notifier entry in
    unregister (bsc#1012628).
  - media: v4l: async: Don't set notifier's V4L2 device if
    registering fails (bsc#1012628).
  - media: v4l: async: Fix notifier list entry init (bsc#1012628).
  - mmc: davinci: Don't strip remove function when driver is builtin
    (bsc#1012628).
  - mmc: core: Add mmc_gpiod_set_cd_config() function (bsc#1012628).
  - mmc: sdhci: Add support for "Tuning Error" interrupts
    (bsc#1012628).
  - mmc: sdhci-acpi: Sort DMI quirks alphabetically (bsc#1012628).
  - mmc: sdhci-acpi: Fix Lenovo Yoga Tablet 2 Pro 1380 sdcard slot
    not working (bsc#1012628).
  - mmc: sdhci-acpi: Disable write protect detection on Toshiba
    WT10-A (bsc#1012628).
  - mmc: sdhci-acpi: Add quirk to enable pull-up on the card-detect
    GPIO on Asus T100TA (bsc#1012628).
  - drm/fbdev-generic: Do not set physical framebuffer address
    (bsc#1012628).
  - fbdev: savage: Handle err return when savagefb_check_var failed
    (bsc#1012628).
  - firmware: qcom_scm: disable clocks if qcom_scm_bw_enable()
    fails (bsc#1012628).
  - drm/amdgpu/atomfirmware: add intergrated info v2.3 table
    (bsc#1012628).
  - 9p: add missing locking around taking dentry fid list
    (bsc#1012628).
  - drm/amd: Fix shutdown (again) on some SMU v13.0.4/11 platforms
    (bsc#1012628).
  - Revert "drm/amdkfd: fix gfx_target_version for certain 11.0.3
    devices" (bsc#1012628).
  - KVM: SVM: WARN on vNMI + NMI window iff NMIs are outright masked
    (bsc#1012628).
  - KVM: arm64: Fix AArch32 register narrowing on userspace write
    (bsc#1012628).
  - KVM: arm64: Allow AArch32 PSTATE.M to be restored as System mode
    (bsc#1012628).
  - KVM: arm64: AArch32: Fix spurious trapping of conditional
    instructions (bsc#1012628).
  - LoongArch: Add all CPUs enabled by fdt to NUMA node 0
    (bsc#1012628).
  - LoongArch: Fix built-in DTB detection (bsc#1012628).
  - LoongArch: Override higher address bits in JUMP_VIRT_ADDR
    (bsc#1012628).
  - LoongArch: Fix entry point in kernel image header (bsc#1012628).
  - clk: bcm: dvp: Assign ->num before accessing ->hws
    (bsc#1012628).
  - clk: bcm: rpi: Assign ->num before accessing ->hws
    (bsc#1012628).
  - clk: qcom: clk-alpha-pll: fix rate setting for Stromer PLLs
    (bsc#1012628).
  - clk: qcom: apss-ipq-pll: use stromer ops for IPQ5018 to fix
    boot failure (bsc#1012628).
  - crypto: ecdsa - Fix module auto-load on add-key (bsc#1012628).
  - crypto: ecrdsa - Fix module auto-load on add_key (bsc#1012628).
  - crypto: qat - Fix ADF_DEV_RESET_SYNC memory leak (bsc#1012628).
  - kbuild: Remove support for Clang's ThinLTO caching
    (bsc#1012628).
  - mm: fix race between __split_huge_pmd_locked() and GUP-fast
    (bsc#1012628).
  - io_uring/napi: fix timeout calculation (bsc#1012628).
  - io_uring: check for non-NULL file pointer in io_file_can_poll()
    (bsc#1012628).
  - filemap: add helper mapping_max_folio_size() (bsc#1012628).
  - iomap: fault in smaller chunks for non-large folio mappings
    (bsc#1012628).
  - ACPI: APEI: EINJ: Fix einj_dev release leak (bsc#1012628).
  - i2c: acpi: Unbind mux adapters before delete (bsc#1012628).
  - HID: i2c-hid: elan: fix reset suspend current leakage
    (bsc#1012628).
  - scsi: core: Handle devices which return an unusually large
    VPD page count (bsc#1012628).
  - net/ipv6: Fix route deleting failure when metric equals 0
    (bsc#1012628).
  - net/9p: fix uninit-value in p9_client_rpc() (bsc#1012628).
  - net/tcp: Don't consider TCP_CLOSE in TCP_AO_ESTABLISHED
    (bsc#1012628).
  - selftests: net: lib: support errexit with busywait
    (bsc#1012628).
  - selftests: net: lib: avoid error removing empty netns name
    (bsc#1012628).
  - mm/ksm: fix ksm_pages_scanned accounting (bsc#1012628).
  - mm/ksm: fix ksm_zero_pages accounting (bsc#1012628).
  - kmsan: do not wipe out origin when doing partial unpoisoning
    (bsc#1012628).
  - tpm_tis: Do *not* flush uninitialized work (bsc#1012628).
  - cpufreq: amd-pstate: Fix the inconsistency in max frequency
    units (bsc#1012628).
  - intel_th: pci: Add Meteor Lake-S CPU support (bsc#1012628).
  - rtla/timerlat: Fix histogram report when a cpu count is 0
    (bsc#1012628).
  - sparc64: Fix number of online CPUs (bsc#1012628).
  - mm/hugetlb: do not call vma_add_reservation upon ENOMEM
    (bsc#1012628).
  - mm/cma: drop incorrect alignment check in cma_init_reserved_mem
    (bsc#1012628).
  - mm/hugetlb: pass correct order_per_bit to
    cma_declare_contiguous_nid (bsc#1012628).
  - mm: /proc/pid/smaps_rollup: avoid skipping vma after getting
    mmap_lock again (bsc#1012628).
  - mm/memory-failure: fix handling of dissolved but not taken
    off from buddy pages (bsc#1012628).
  - mm/vmalloc: fix vmalloc which may return null if called with
    __GFP_NOFAIL (bsc#1012628).
  - selftests/mm: compaction_test: fix incorrect write of zero to
    nr_hugepages (bsc#1012628).
  - selftests/mm: fix build warnings on ppc64 (bsc#1012628).
  - selftests/mm: compaction_test: fix bogus test success on Aarch64
    (bsc#1012628).
  - watchdog: rti_wdt: Set min_hw_heartbeat_ms to accommodate a
    safety margin (bsc#1012628).
  - bonding: fix oops during rmmod (bsc#1012628).
  - irqchip/riscv-intc: Prevent memory leak when
    riscv_intc_init_common() fails (bsc#1012628).
  - wifi: ath10k: fix QCOM_RPROC_COMMON dependency (bsc#1012628).
  - kdb: Fix buffer overflow during tab-complete (bsc#1012628).
  - kdb: Use format-strings rather than '\0' injection in kdb_read()
    (bsc#1012628).
  - kdb: Fix console handling when editing and tab-completing
    commands (bsc#1012628).
  - kdb: Merge identical case statements in kdb_read()
    (bsc#1012628).
  - kdb: Use format-specifiers rather than memset() for padding
    in kdb_read() (bsc#1012628).
  - Revert "xsk: Support redirect to any socket bound to the same
    umem" (bsc#1012628).
  - Revert "xsk: Document ability to redirect to any socket bound
    to the same umem" (bsc#1012628).
  - Revert "perf record: Reduce memory for recording
    PERF_RECORD_LOST_SAMPLES event" (bsc#1012628).
  - sparc: move struct termio to asm/termios.h (bsc#1012628).
  - ext4: Fixes len calculation in mpage_journal_page_buffers
    (bsc#1012628).
  - ext4: set type of ac_groups_linear_remaining to __u32 to avoid
    overflow (bsc#1012628).
  - ext4: fix mb_cache_entry's e_refcnt leak in
    ext4_xattr_block_cache_find() (bsc#1012628).
  - riscv: dts: starfive: Remove PMIC interrupt info for Visionfive
    2 board (bsc#1012628).
  - ARM: dts: samsung: smdkv310: fix keypad no-autorepeat
    (bsc#1012628).
  - ARM: dts: samsung: smdk4412: fix keypad no-autorepeat
    (bsc#1012628).
  - ARM: dts: samsung: exynos4412-origen: fix keypad no-autorepeat
    (bsc#1012628).
  - parisc: Define HAVE_ARCH_HUGETLB_UNMAPPED_AREA (bsc#1012628).
  - parisc: Define sigset_t in parisc uapi header (bsc#1012628).
  - s390/ap: Fix crash in AP internal function modify_bitmap()
    (bsc#1012628).
  - s390/cpacf: Split and rework cpacf query functions
    (bsc#1012628).
  - s390/cpacf: Make use of invalid opcode produce a link error
    (bsc#1012628).
  - i3c: master: svc: fix invalidate IBI type and miss call client
    IBI handler (bsc#1012628).
  - genirq/irqdesc: Prevent use-after-free in irq_find_at_or_after()
    (bsc#1012628).
  - hwmon: (ltc2992) Fix memory leak in ltc2992_parse_dt()
    (bsc#1012628).
  - riscv: enable HAVE_ARCH_HUGE_VMAP for XIP kernel (bsc#1012628).
  - ASoC: SOF: ipc4-topology: Fix input format query of process
    modules without base extension (bsc#1012628).
  - ALSA: ump: Don't clear bank selection after sending a program
    change (bsc#1012628).
  - ALSA: ump: Don't accept an invalid UMP protocol number
    (bsc#1012628).
  - EDAC/amd64: Convert PCIBIOS_* return codes to errnos
    (bsc#1012628).
  - EDAC/igen6: Convert PCIBIOS_* return codes to errnos
    (bsc#1012628).
  - cifs: fix creating sockets when using sfu mount options
    (bsc#1012628).
  - nfs: fix undefined behavior in nfs_block_bits() (bsc#1012628).
  - NFS: Fix READ_PLUS when server doesn't support OP_READ_PLUS
    (bsc#1012628).
  - eventfs: Fix a possible null pointer dereference in
    eventfs_find_events() (bsc#1012628).
  - eventfs: Keep the directories from having the same inode number
    as files (bsc#1012628).
  - tracefs: Clear EVENT_INODE flag in tracefs_drop_inode()
    (bsc#1012628).
  - btrfs: qgroup: update rescan message levels and error codes
    (bsc#1012628).
  - btrfs: qgroup: fix qgroup id collision across mounts
    (bsc#1012628).
  - btrfs: protect folio::private when attaching extent buffer
    folios (bsc#1012628).
  - btrfs: fix crash on racing fsync and size-extending write into
    prealloc (bsc#1012628).
  - btrfs: fix leak of qgroup extent records after transaction abort
    (bsc#1012628).
  - ALSA: seq: Fix incorrect UMP type for system messages
    (bsc#1012628).
  - bpf: fix multi-uprobe PID filtering logic (bsc#1012628).
  - powerpc/64/bpf: fix tail calls for PCREL addressing
    (bsc#1012628).
  - powerpc/bpf: enforce full ordering for ATOMIC operations with
    BPF_FETCH (bsc#1012628).
  - nilfs2: fix potential kernel bug due to lack of writeback flag
    waiting (bsc#1012628).
  - nilfs2: fix nilfs_empty_dir() misjudgment and long loop on
    I/O errors (bsc#1012628).
  - smb: client: fix deadlock in smb2_find_smb_tcon() (bsc#1012628).
  - Rename to
    patches.kernel.org/6.9.5-149-btrfs-re-introduce-norecovery-mount-option.patch.
  - commit 5288e50
* Sun Jun 16 2024 mkubecek@suse.cz
  - update to 6.10-rc4
  - update configs
    - SERIAL_SC16IS7XX_CORE renamed to SERIAL_SC16IS7XX
  - commit 3306b36
* Sat Jun 15 2024 tiwai@suse.de
  - thermal: core: Change PM notifier priority to the minimum
    (bsc#1223194).
  - commit 58c58d8
* Fri Jun 14 2024 jslaby@suse.cz
  - Revert "e1000e: move force SMBUS near the end of enable_ulp function"
    This reverts commit 5d33711db052b7c9a1f49eff54bc13dd696f7385, it causes
    another regression. See:
    https://lore.kernel.org/all/20240611062416.16440-1-hui.wang@canonical.com/
  - commit dc37293
* Fri Jun 14 2024 jslaby@suse.cz
  - e1000e: move force SMBUS near the end of enable_ulp function
    (<ZmfcJsyCB6M3wr84@pirotess>).
  - commit 5d33711
* Thu Jun 13 2024 fvogt@suse.de
  - rpm/kernel-obs-build.spec.in: Add iso9660 (bsc#1226212)
    Some builds don't just create an iso9660 image, but also mount it during
    build.
  - commit aaee141
* Wed Jun 12 2024 jslaby@suse.cz
  - Linux 6.9.4 (bsc#1012628).
  - platform/x86/intel-uncore-freq: Don't present root domain on
    error (bsc#1012628).
  - platform/x86/intel/tpmi: Handle error from tpmi_process_info()
    (bsc#1012628).
  - genirq/cpuhotplug, x86/vector: Prevent vector leak during CPU
    offline (bsc#1012628).
  - x86/topology/intel: Unlock CPUID before evaluating anything
    (bsc#1012628).
  - KVM: x86: Don't advertise guest.MAXPHYADDR as host.MAXPHYADDR
    in CPUID (bsc#1012628).
  - x86/pci: Skip early E820 check for ECAM region (bsc#1012628).
  - x86/topology: Handle bogus ACPI tables correctly (bsc#1012628).
  - efi: libstub: only free priv.runtime_map when allocated
    (bsc#1012628).
  - x86/efistub: Omit physical KASLR when memory reservations exist
    (bsc#1012628).
  - Revert "drm: Make drivers depends on DRM_DW_HDMI" (bsc#1012628).
  - ALSA: seq: ump: Fix swapped song position pointer data
    (bsc#1012628).
  - riscv: prevent pt_regs corruption for secondary idle threads
    (bsc#1012628).
  - hwmon: (shtc1) Fix property misspelling (bsc#1012628).
  - hwmon: (intel-m10-bmc-hwmon) Fix multiplier for N6000 board
    power sensor (bsc#1012628).
  - drm/panel: sitronix-st7789v: fix display size for
    jt240mhqs_hwt_ek_e3 panel (bsc#1012628).
  - drm/panel: sitronix-st7789v: tweak timing for
    jt240mhqs_hwt_ek_e3 panel (bsc#1012628).
  - drm/panel: sitronix-st7789v: fix timing for jt240mhqs_hwt_ek_e3
    panel (bsc#1012628).
  - powerpc/pseries/lparcfg: drop error message from guest name
    lookup (bsc#1012628).
  - ALSA: seq: Fix yet another spot for system message conversion
    (bsc#1012628).
  - ipvlan: Dont Use skb->sk in ipvlan_process_v{4,6}_outbound
    (bsc#1012628).
  - net: ena: Fix redundant device NUMA node override (bsc#1012628).
  - ice: fix 200G PHY types to link speed mapping (bsc#1012628).
  - net: dsa: microchip: fix RGMII error in KSZ DSA driver
    (bsc#1012628).
  - ipv4: correctly iterate over the target netns in
    inet_dump_ifaddr() (bsc#1012628).
  - net: fix __dst_negative_advice() race (bsc#1012628).
  - inet: introduce dst_rtable() helper (bsc#1012628).
  - ipv6: introduce dst_rt6_info() helper (bsc#1012628).
  - drm/amdgpu: Adjust logic in amdgpu_device_partner_bandwidth()
    (bsc#1012628).
  - spi: stm32: Don't warn about spurious interrupts (bsc#1012628).
  - kheaders: use `command -v` to test for existence of `cpio`
    (bsc#1012628).
  - drm/i915/gt: Fix CCS id's calculation for CCS mode setting
    (bsc#1012628).
  - drm/i915/guc: avoid FIELD_PREP warning (bsc#1012628).
  - kconfig: fix comparison to constant symbols, 'm', 'n'
    (bsc#1012628).
  - net/sched: taprio: extend minimum interval restriction to
    entire cycle too (bsc#1012628).
  - net/sched: taprio: make q->picos_per_byte available to
    fill_sched_entry() (bsc#1012628).
  - netfilter: nft_fib: allow from forward/input without iif
    selector (bsc#1012628).
  - netfilter: tproxy: bail out if IP has been disabled on the
    device (bsc#1012628).
  - netfilter: nft_payload: skbuff vlan metadata mangle support
    (bsc#1012628).
  - net: ti: icssg-prueth: Fix start counter for ft1 filter
    (bsc#1012628).
  - block: stack max_user_sectors (bsc#1012628).
  - sd: also set max_user_sectors when setting max_sectors
    (bsc#1012628).
  - ALSA: seq: Don't clear bank selection at event -> UMP MIDI2
    conversion (bsc#1012628).
  - ALSA: seq: Fix missing bank setup between MIDI1/MIDI2 UMP
    conversion (bsc#1012628).
  - drm/xe: Only use reserved BCS instances for usm migrate exec
    queue (bsc#1012628).
  - drm/xe: Change pcode timeout to 50msec while polling again
    (bsc#1012628).
  - drm/xe: check pcode init status only on root gt of root tile
    (bsc#1012628).
  - drm/xe: Add dbg messages on the suspend resume functions
    (bsc#1012628).
  - selftests: mptcp: join: mark 'fail' tests as flaky
    (bsc#1012628).
  - selftests: mptcp: add ms units for tc-netem delay (bsc#1012628).
  - selftests: mptcp: join: mark 'fastclose' tests as flaky
    (bsc#1012628).
  - selftests: mptcp: simult flows: mark 'unbalanced' tests as flaky
    (bsc#1012628).
  - ice: fix accounting if a VLAN already exists (bsc#1012628).
  - idpf: don't enable NAPI and interrupts prior to allocating Rx
    buffers (bsc#1012628).
  - net: micrel: Fix lan8841_config_intr after getting out of
    sleep mode (bsc#1012628).
  - net:fec: Add fec_enet_deinit() (bsc#1012628).
  - ipv4: Fix address dump when IPv4 is disabled on an interface
    (bsc#1012628).
  - null_blk: Fix return value of nullb_device_power_store()
    (bsc#1012628).
  - bpf: Allow delete from sockmap/sockhash only if update is
    allowed (bsc#1012628).
  - ASoC: cs42l43: Only restrict 44.1kHz for the ASP (bsc#1012628).
  - net: usb: smsc95xx: fix changing LED_SEL bit value updated
    from EEPROM (bsc#1012628).
  - Octeontx2-pf: Free send queue buffers incase of leaf to inner
    (bsc#1012628).
  - af_unix: Read sk->sk_hash under bindlock during bind()
    (bsc#1012628).
  - af_unix: Annotate data-race around unix_sk(sk)->addr
    (bsc#1012628).
  - enic: Validate length of nl attributes in enic_set_vf_port
    (bsc#1012628).
  - ALSA: hda/realtek: Adjust G814JZR to use SPI init for amp
    (bsc#1012628).
  - ALSA: core: Remove debugfs at disconnection (bsc#1012628).
  - netkit: Fix pkt_type override upon netkit pass verdict
    (bsc#1012628).
  - netkit: Fix setting mac address in l2 mode (bsc#1012628).
  - bpf: Fix potential integer overflow in resolve_btfids
    (bsc#1012628).
  - dma-buf/sw-sync: don't enable IRQ from sync_print_obj()
    (bsc#1012628).
  - net/mlx5e: Fix UDP GSO for encapsulated packets (bsc#1012628).
  - net/mlx5e: Use rx_missed_errors instead of rx_dropped for
    reporting buffer exhaustion (bsc#1012628).
  - net/mlx5e: Fix IPsec tunnel mode offload feature check
    (bsc#1012628).
  - net/mlx5: Use mlx5_ipsec_rx_status_destroy to correctly delete
    status rules (bsc#1012628).
  - net/mlx5: Fix MTMP register capability offset in MCAM register
    (bsc#1012628).
  - net/mlx5: Do not query MPIR on embedded CPU function
    (bsc#1012628).
  - net/mlx5: Lag, do bond only if slaves agree on roce state
    (bsc#1012628).
  - net: phy: micrel: set soft_reset callback to genphy_soft_reset
    for KSZ8061 (bsc#1012628).
  - drm/amd/display: Enable colorspace property for MST connectors
    (bsc#1012628).
  - nvmet: fix ns enable/disable possible hang (bsc#1012628).
  - nvme-multipath: fix io accounting on failover (bsc#1012628).
  - nvme: fix multipath batched completion accounting (bsc#1012628).
  - dma-mapping: benchmark: handle NUMA_NO_NODE correctly
    (bsc#1012628).
  - dma-mapping: benchmark: fix node id validation (bsc#1012628).
  - dma-mapping: benchmark: fix up kthread-related error handling
    (bsc#1012628).
  - spi: stm32: Revert change that enabled controller before
    asserting CS (bsc#1012628).
  - spi: Don't mark message DMA mapped when no transfer in it is
    (bsc#1012628).
  - netfilter: nft_payload: restore vlan q-in-q match support
    (bsc#1012628).
  - netfilter: ipset: Add list flush to cancel_gc (bsc#1012628).
  - netfilter: nfnetlink_queue: acquire rcu_read_lock() in
    instance_destroy_rcu() (bsc#1012628).
  - selftests: net: lib: set 'i' as local (bsc#1012628).
  - SUNRPC: Fix loop termination condition in
    gss_free_in_token_pages() (bsc#1012628).
  - tpm_tis_spi: Account for SPI header when allocating TPM SPI
    xfer buffer (bsc#1012628).
  - tracing/probes: fix error check in parse_btf_field()
    (bsc#1012628).
  - cifs: Fix missing set of remote_i_size (bsc#1012628).
  - cifs: Set zero_point in the copy_file_range() and
    remap_file_range() (bsc#1012628).
  - kasan, fortify: properly rename memintrinsics (bsc#1012628).
  - netfs: Fix setting of BDP_ASYNC from iocb flags (bsc#1012628).
  - null_blk: fix null-ptr-dereference while configuring 'power'
    and 'submit_queues' (bsc#1012628).
  - idpf: Interpret .set_channels() input differently (bsc#1012628).
  - ice: Interpret .set_channels() input differently (bsc#1012628).
  - drivers/xen: Improve the late XenStore init protocol
    (bsc#1012628).
  - nfc: nci: Fix handling of zero-length payload packets in
    nci_rx_work() (bsc#1012628).
  - net: relax socket state check at accept time (bsc#1012628).
  - tls: fix missing memory barrier in tls_init (bsc#1012628).
  - net: fec: avoid lock evasion when reading pps_enable
    (bsc#1012628).
  - Revert "ixgbe: Manual AN-37 for troublesome link partners for
    X550 SFI" (bsc#1012628).
  - riscv: selftests: Add hwprobe binaries to .gitignore
    (bsc#1012628).
  - riscv: stacktrace: fixed walk_stackframe() (bsc#1012628).
  - i3c: master: svc: change ENXIO to EAGAIN when IBI occurs during
    start frame (bsc#1012628).
  - riscv: cpufeature: Fix extension subset checking (bsc#1012628).
  - riscv: cpufeature: Fix thead vector hwcap removal (bsc#1012628).
  - virtio: delete vq in vp_find_vqs_msix() when request_irq()
    fails (bsc#1012628).
  - virtio_balloon: Give the balloon its own wakeup source
    (bsc#1012628).
  - net: lan966x: Remove ptp traps in case the ptp is not enabled
    (bsc#1012628).
  - rv: Update rv_en(dis)able_monitor doc to match kernel-doc
    (bsc#1012628).
  - arm64: asm-bug: Add .align 2 to the end of __BUG_ENTRY
    (bsc#1012628).
  - openvswitch: Set the skbuff pkt_type for proper pmtud support
    (bsc#1012628).
  - pNFS/filelayout: fixup pNfs allocation modes (bsc#1012628).
  - tcp: Fix shift-out-of-bounds in dctcp_update_alpha()
    (bsc#1012628).
  - regulator: tps6594-regulator: Correct multi-phase configuration
    (bsc#1012628).
  - selftests/net: use tc rule to filter the na packet
    (bsc#1012628).
  - selftests: net: Unify code of busywait() and slowwait()
    (bsc#1012628).
  - selftests: forwarding: Convert log_test() to recognize RET
    values (bsc#1012628).
  - selftests: forwarding: Have RET track kselftest framework
    constants (bsc#1012628).
  - selftests: forwarding: Change inappropriate log_test_skip()
    calls (bsc#1012628).
  - ipv6: sr: fix memleak in seg6_hmac_init_algo (bsc#1012628).
  - af_unix: Update unix_sk(sk)->oob_skb under sk_receive_queue lock
    (bsc#1012628).
  - regulator: tps6287x: Force writing VSEL bit (bsc#1012628).
  - regulator: pickable ranges: don't always cache vsel
    (bsc#1012628).
  - rpcrdma: fix handling for RDMA_CM_EVENT_DEVICE_REMOVAL
    (bsc#1012628).
  - sunrpc: fix NFSACL RPC retry on soft mount (bsc#1012628).
  - nfs: keep server info for remounts (bsc#1012628).
  - NFSv4: Fixup smatch warning for ambiguous return (bsc#1012628).
  - ASoC: tas2781: Fix wrong loading calibrated data sequence
    (bsc#1012628).
  - ASoC: tas2552: Add TX path for capturing AUDIO-OUT data
    (bsc#1012628).
  - nfc: nci: Fix uninit-value in nci_rx_work (bsc#1012628).
  - selftests: net: kill smcrouted in the cleanup logic in amt.sh
    (bsc#1012628).
  - ipv6: sr: fix missing sk_buff release in seg6_input_core
    (bsc#1012628).
  - net: Always descend into dsa/ folder with CONFIG_NET_DSA enabled
    (bsc#1012628).
  - x86/kconfig: Select ARCH_WANT_FRAME_POINTERS again when
    UNWINDER_FRAME_POINTER=y (bsc#1012628).
  - Update config files.
  - ubsan: Restore dependency on ARCH_HAS_UBSAN (bsc#1012628).
  - perf/arm-dmc620: Fix lockdep assert in ->event_init()
    (bsc#1012628).
  - xen/x86: add extra pages to unpopulated-alloc if available
    (bsc#1012628).
  - regulator: bd71828: Don't overwrite runtime voltages
    (bsc#1012628).
  - blk-cgroup: Properly propagate the iostat update up the
    hierarchy (bsc#1012628).
  - blk-cgroup: fix list corruption from reorder of WRITE ->lqueued
    (bsc#1012628).
  - blk-cgroup: fix list corruption from resetting io stat
    (bsc#1012628).
  - Bluetooth: L2CAP: Fix div-by-zero in l2cap_le_flowctl_init()
    (bsc#1012628).
  - Bluetooth: ISO: Handle PA sync when no BIGInfo reports are
    generated (bsc#1012628).
  - drm/nouveau: use tile_mode and pte_kind for VM_BIND bo
    allocations (bsc#1012628).
  - ALSA: hda/realtek: Drop doubly quirk entry for 103c:8a2e
    (bsc#1012628).
  - ASoC: rt715-sdca-sdw: Fix wrong complete waiting in
    rt715_dev_resume() (bsc#1012628).
  - ASoC: mediatek: mt8192: fix register configuration for tdm
    (bsc#1012628).
  - ALSA: hda: cs35l56: Fix lifetime of cs_dsp instance
    (bsc#1012628).
  - ALSA: hda: hda_component: Initialize shared data during bind
    callback (bsc#1012628).
  - ALSA: hda/cs_dsp_ctl: Use private_free for control cleanup
    (bsc#1012628).
  - KVM: arm64: Destroy mpidr_data for 'late' vCPU creation
    (bsc#1012628).
  - KVM: PPC: Book3S HV nestedv2: Fix an error handling path in
    gs_msg_ops_kvmhv_nestedv2_config_fill_info() (bsc#1012628).
  - KVM: PPC: Book3S HV nestedv2: Cancel pending DEC exception
    (bsc#1012628).
  - powerpc/bpf/32: Fix failing test_bpf tests (bsc#1012628).
  - printk: Fix LOG_CPU_MAX_BUF_SHIFT when BASE_SMALL is enabled
    (bsc#1012628).
  - null_blk: Fix the WARNING: modpost: missing MODULE_DESCRIPTION()
    (bsc#1012628).
  - ASoC: tas2781: Fix a warning reported by robot kernel test
    (bsc#1012628).
  - drm/msm/a6xx: Avoid a nullptr dereference when speedbin setting
    fails (bsc#1012628).
  - drm/msm/adreno: fix CP cycles stat retrieval on a7xx
    (bsc#1012628).
  - selftests/powerpc/dexcr: Add -no-pie to hashchk tests
    (bsc#1012628).
  - ASoC: amd: acp: fix for acp platform device creation failure
    (bsc#1012628).
  - drm: zynqmp_dpsub: Always register bridge (bsc#1012628).
  - Revert "drm/bridge: ti-sn65dsi83: Fix enable error path"
    (bsc#1012628).
  - media: cec: core: avoid confusing "transmit timed out" message
    (bsc#1012628).
  - media: cec: core: avoid recursive cec_claim_log_addrs
    (bsc#1012628).
  - media: cec: cec-api: add locking in cec_release() (bsc#1012628).
  - media: cec: cec-adap: always cancel work in cec_transmit_msg_fh
    (bsc#1012628).
  - media: sunxi: a83-mips-csi2: also select GENERIC_PHY
    (bsc#1012628).
  - cxl/region: Fix cxlr_pmem leaks (bsc#1012628).
  - cxl/trace: Correct DPA field masks for general_media & dram
    events (bsc#1012628).
  - um: Fix the declaration of kasan_map_memory (bsc#1012628).
  - um: Fix the -Wmissing-prototypes warning for get_thread_reg
    (bsc#1012628).
  - um: Fix the -Wmissing-prototypes warning for __switch_mm
    (bsc#1012628).
  - ASoC: SOF: debug: Handle cases when fw_lib_prefix is not set,
    NULL (bsc#1012628).
  - powerpc/pseries: Add failure related checks for h_get_mpp and
    h_get_ppp (bsc#1012628).
  - media: flexcop-usb: fix sanity check of bNumEndpoints
    (bsc#1012628).
  - platform/x86: thinkpad_acpi: Take hotkey_mutex during
    hotkey_exit() (bsc#1012628).
  - tools/arch/x86/intel_sdsi: Fix meter_certificate decoding
    (bsc#1012628).
  - tools/arch/x86/intel_sdsi: Fix meter_show display (bsc#1012628).
  - tools/arch/x86/intel_sdsi: Fix maximum meter bundle length
    (bsc#1012628).
  - media: mediatek: vcodec: fix possible unbalanced PM counter
    (bsc#1012628).
  - drm/amdgpu: Fix buffer size in gfx_v9_4_3_init_
    cp_compute_microcode() and rlc_microcode() (bsc#1012628).
  - drm/amdgpu: init microcode chip name from ip versions
    (bsc#1012628).
  - Input: cyapa - add missing input core locking to suspend/resume
    functions (bsc#1012628).
  - string: Prepare to merge strcat KUnit tests into string_kunit.c
    (bsc#1012628).
  - string: Prepare to merge strscpy_kunit.c into string_kunit.c
    (bsc#1012628).
  - string_kunit: Add test cases for str*cmp functions
    (bsc#1012628).
  - drm/bridge: imx: Fix unmet depenency for
    PHY_FSL_SAMSUNG_HDMI_PHY (bsc#1012628).
  - drm: Make drivers depends on DRM_DW_HDMI (bsc#1012628).
  - media: stk1160: fix bounds checking in stk1160_copy_video()
    (bsc#1012628).
  - drm/bridge: tc358775: fix support for jeida-18 and jeida-24
    (bsc#1012628).
  - drm/msm/dpu: Add callback function pointer check before its call
    (bsc#1012628).
  - fs/ntfs3: Use variable length array instead of fixed size
    (bsc#1012628).
  - fs/ntfs3: Use 64 bit variable to avoid 32 bit overflow
    (bsc#1012628).
  - fs/ntfs3: Check 'folio' pointer for NULL (bsc#1012628).
  - um: vector: fix bpfflash parameter evaluation (bsc#1012628).
  - um: Add winch to winch_handlers before registering winch IRQ
    (bsc#1012628).
  - um: Fix return value in ubd_init() (bsc#1012628).
  - drm/meson: gate px_clk when setting rate (bsc#1012628).
  - drm/mediatek: dp: Fix mtk_dp_aux_transfer return value
    (bsc#1012628).
  - drm/msm/dpu: Allow configuring multiple active DSC blocks
    (bsc#1012628).
  - drm/msm/dpu: Always flush the slave INTF on the CTL
    (bsc#1012628).
  - drm/msm/dsi: Print dual-DSI-adjusted pclk instead of original
    mode pclk (bsc#1012628).
  - media: ov2680: Do not fail if data-lanes property is absent
    (bsc#1012628).
  - media: ov2680: Allow probing if link-frequencies is absent
    (bsc#1012628).
  - media: ov2680: Clear the 'ret' variable on success
    (bsc#1012628).
  - media: v4l: Don't turn on privacy LED if streamon fails
    (bsc#1012628).
  - media: ti: j721e-csi2rx: Fix races while restarting DMA
    (bsc#1012628).
  - Input: pm8xxx-vibrator - correct VIB_MAX_LEVELS calculation
    (bsc#1012628).
  - phy: qcom: qmp-combo: fix sm8650 voltage swing table
    (bsc#1012628).
  - x86/percpu: Use __force to cast from __percpu address space
    (bsc#1012628).
  - x86/percpu: Unify arch_raw_cpu_ptr() defines (bsc#1012628).
  - mmc: sdhci_am654: Fix ITAPDLY for HS400 timing (bsc#1012628).
  - mmc: sdhci_am654: Add ITAPDLYSEL in sdhci_j721e_4bit_set_clock
    (bsc#1012628).
  - mmc: sdhci_am654: Add OTAP/ITAP delay enable (bsc#1012628).
  - mmc: sdhci_am654: Write ITAPDLY for DDR52 timing (bsc#1012628).
  - mmc: sdhci_am654: Add tuning algorithm for delay chain
    (bsc#1012628).
  - Input: ioc3kbd - add device table (bsc#1012628).
  - Input: ims-pcu - fix printf string overflow (bsc#1012628).
  - gpiolib: acpi: Fix failed in acpi_gpiochip_find() by adding
    parent node match (bsc#1012628).
  - mailbox: mtk-cmdq: Fix pm_runtime_get_sync() warning in mbox
    shutdown (bsc#1012628).
  - selftests/harness: use 1024 in place of LINE_MAX (bsc#1012628).
  - ocfs2: correctly use ocfs2_find_next_zero_bit() (bsc#1012628).
  - Revert "selftests/harness: remove use of LINE_MAX"
    (bsc#1012628).
  - dt-bindings: PCI: rockchip,rk3399-pcie: Add missing maxItems
    to ep-gpios (bsc#1012628).
  - s390/boot: Remove alt_stfle_fac_list from decompressor
    (bsc#1012628).
  - s390/ap: Fix bind complete udev event sent after each AP bus
    scan (bsc#1012628).
  - s390/ipl: Fix incorrect initialization of nvme dump block
    (bsc#1012628).
  - s390/ipl: Fix incorrect initialization of len fields in nvme
    reipl block (bsc#1012628).
  - s390/stackstrace: Detect vdso stack frames (bsc#1012628).
  - s390/vdso: Introduce and use struct stack_frame_vdso_wrapper
    (bsc#1012628).
  - s390/stacktrace: Improve detection of invalid instruction
    pointers (bsc#1012628).
  - s390/stacktrace: Skip first user stack frame (bsc#1012628).
  - s390/stacktrace: Merge perf_callchain_user() and
    arch_stack_walk_user() (bsc#1012628).
  - s390/ftrace: Use unwinder instead of __builtin_return_address()
    (bsc#1012628).
  - s390/vdso: Use standard stack frame layout (bsc#1012628).
  - s390/vdso: Create .build-id links for unstripped vdso files
    (bsc#1012628).
  - s390/vdso: Generate unwind information for C modules
    (bsc#1012628).
  - LoongArch: Fix callchain parse error with kernel tracepoint
    events again (bsc#1012628).
  - perf pmu: Count sys and cpuid JSON events separately
    (bsc#1012628).
  - perf pmu: Assume sysfs events are always the same case
    (bsc#1012628).
  - perf tools: Add/use PMU reverse lookup from config to name
    (bsc#1012628).
  - perf tools: Use pmus to describe type from attribute
    (bsc#1012628).
  - perf stat: Don't display metric header for non-leader uncore
    events (bsc#1012628).
  - perf annotate: Fix segfault on sample histogram (bsc#1012628).
  - usb: fotg210: Add missing kernel doc description (bsc#1012628).
  - f2fs: fix to add missing iput() in gc_data_segment()
    (bsc#1012628).
  - backlight: mp3309c: Fix signedness bug in mp3309c_parse_fwnode()
    (bsc#1012628).
  - perf daemon: Fix file leak in daemon_session__control
    (bsc#1012628).
  - libsubcmd: Fix parse-options memory leak (bsc#1012628).
  - serial: sh-sci: protect invalidating RXDMA on shutdown
    (bsc#1012628).
  - fuse: clear FR_SENT when re-adding requests into pending list
    (bsc#1012628).
  - fuse: set FR_PENDING atomically in fuse_resend() (bsc#1012628).
  - f2fs: compress: don't allow unaligned truncation on released
    compress inode (bsc#1012628).
  - f2fs: fix to release node block count in error path of
    f2fs_new_node_page() (bsc#1012628).
  - f2fs: compress: fix to cover {reserve,release}_compress_blocks()
    w/ cp_rwsem lock (bsc#1012628).
  - f2fs: compress: fix error path of inc_valid_block_count()
    (bsc#1012628).
  - f2fs: compress: fix to update i_compr_blocks correctly
    (bsc#1012628).
  - perf symbols: Fix ownership of string in dso__load_vmlinux()
    (bsc#1012628).
  - perf symbols: Update kcore map before merging in remaining
    symbols (bsc#1012628).
  - perf symbols: Remove map from list before updating addresses
    (bsc#1012628).
  - perf dwarf-aux: Fix build with HAVE_DWARF_CFI_SUPPORT
    (bsc#1012628).
  - perf dwarf-aux: Add die_collect_vars() (bsc#1012628).
  - perf thread: Fixes to thread__new() related to initializing comm
    (bsc#1012628).
  - perf report: Avoid SEGV in report__setup_sample_type()
    (bsc#1012628).
  - perf ui browser: Avoid SEGV on title (bsc#1012628).
  - f2fs: fix block migration when section is not aligned to pow2
    (bsc#1012628).
  - PCI/EDR: Align EDR_PORT_LOCATE_DSM with PCI Firmware r3.3
    (bsc#1012628).
  - PCI/EDR: Align EDR_PORT_DPC_ENABLE_DSM with PCI Firmware r3.3
    (bsc#1012628).
  - spmi: pmic-arb: Replace three IS_ERR() calls by null pointer
    checks in spmi_pmic_arb_probe() (bsc#1012628).
  - extcon: max8997: select IRQ_DOMAIN instead of depending on it
    (bsc#1012628).
  - perf annotate: Fix memory leak in annotated_source
    (bsc#1012628).
  - perf ui browser: Don't save pointer to stack memory
    (bsc#1012628).
  - perf bench internals inject-build-id: Fix trap divide when
    collecting just one DSO (bsc#1012628).
  - i2c: synquacer: Fix an error handling path in
    synquacer_i2c_probe() (bsc#1012628).
  - i2c: cadence: Avoid fifo clear after start (bsc#1012628).
  - ppdev: Add an error check in register_device (bsc#1012628).
  - stm class: Fix a double free in stm_register_device()
    (bsc#1012628).
  - usb: gadget: u_audio: Clear uac pointer when freed
    (bsc#1012628).
  - usb: gadget: u_audio: Fix race condition use of controls after
    free during gadget unbind (bsc#1012628).
  - dmaengine: idxd: Avoid unnecessary destruction of file_ida
    (bsc#1012628).
  - dt-bindings: phy: qcom,usb-snps-femto-v2: use correct fallback
    for sc8180x (bsc#1012628).
  - dt-bindings: phy: qcom,sc8280xp-qmp-ufs-phy: fix msm899[68]
    power-domains (bsc#1012628).
  - dt-bindings: phy: qcom,sc8280xp-qmp-pcie-phy: fix
    x1e80100-gen3x2 schema (bsc#1012628).
  - watchdog: sa1100: Fix PTR_ERR_OR_ZERO() vs NULL check in
    sa1100dog_probe() (bsc#1012628).
  - watchdog: bd9576: Drop "always-running" property (bsc#1012628).
  - watchdog: cpu5wdt.c: Fix use-after-free bug caused by
    cpu5wdt_trigger (bsc#1012628).
  - iio: adc: PAC1934: fix accessing out of bounds array index
    (bsc#1012628).
  - pinctrl: qcom: pinctrl-sm7150: Fix sdc1 and ufs special pins
    regs (bsc#1012628).
  - dt-bindings: pinctrl: mediatek: mt7622: fix array properties
    (bsc#1012628).
  - VMCI: Fix an error handling path in vmci_guest_probe_device()
    (bsc#1012628).
  - PCI: of_property: Return error for int_map allocation failure
    (bsc#1012628).
  - ovl: remove upper umask handling from ovl_create_upper()
    (bsc#1012628).
  - leds: pwm: Disable PWM when going to suspend (bsc#1012628).
  - usb: xhci: check if 'requested segments' exceeds ERST capacity
    (bsc#1012628).
  - docs: iio: adis16475: fix device files tables (bsc#1012628).
  - riscv: Flush the instruction cache during SMP bringup
    (bsc#1012628).
  - perf intel-pt: Fix unassigned instruction op (discovered by
    MemorySanitizer) (bsc#1012628).
  - PCI: Wait for Link Training==0 before starting Link retrain
    (bsc#1012628).
  - pinctrl: renesas: rzg2l: Limit 2.5V power supply to Ethernet
    interfaces (bsc#1012628).
  - microblaze: Remove early printk call from cpuinfo-static.c
    (bsc#1012628).
  - microblaze: Remove gcc flag for non existing early_printk.c file
    (bsc#1012628).
  - udf: Convert udf_expand_file_adinicb() to use a folio
    (bsc#1012628).
  - pinctrl: renesas: r8a779h0: Fix IRQ suffixes (bsc#1012628).
  - fpga: region: add owner module and take its refcount
    (bsc#1012628).
  - vfio/pci: fix potential memory leak in vfio_intx_enable()
    (bsc#1012628).
  - coresight: etm4x: Fix access to resource selector registers
    (bsc#1012628).
  - coresight: etm4x: Safe access for TRCQCLTR (bsc#1012628).
  - coresight: etm4x: Do not save/restore Data trace control
    registers (bsc#1012628).
  - coresight: etm4x: Do not hardcode IOMEM access for register
    restore (bsc#1012628).
  - iio: adc: adi-axi-adc: only error out in major version mismatch
    (bsc#1012628).
  - iio: pressure: dps310: support negative temperature values
    (bsc#1012628).
  - perf test shell arm_coresight: Increase buffer size for
    Coresight basic tests (bsc#1012628).
  - perf docs: Document bpf event modifier (bsc#1012628).
  - perf dwarf-aux: Check pointer offset when checking variables
    (bsc#1012628).
  - coresight: etm4x: Fix unbalanced pm_runtime_enable()
    (bsc#1012628).
  - riscv: dts: starfive: visionfive 2: Remove non-existing I2S
    hardware (bsc#1012628).
  - riscv: dts: starfive: visionfive 2: Remove non-existing TDM
    hardware (bsc#1012628).
  - iio: adc: stm32: Fixing err code to not indicate success
    (bsc#1012628).
  - f2fs: write missing last sum blk of file pinning section
    (bsc#1012628).
  - f2fs: fix to check pinfile flag in f2fs_move_file_range()
    (bsc#1012628).
  - f2fs: fix to relocate check condition in f2fs_fallocate()
    (bsc#1012628).
  - f2fs: compress: fix to relocate check condition in
    f2fs_ioc_{,de}compress_file() (bsc#1012628).
  - f2fs: compress: fix to relocate check condition in
    f2fs_{release,reserve}_compress_blocks() (bsc#1012628).
  - perf bench uprobe: Remove lib64 from libc.so.6 binary path
    (bsc#1012628).
  - dt-bindings: PCI: rcar-pci-host: Add missing IOMMU properties
    (bsc#1012628).
  - perf record: Fix debug message placement for test consumption
    (bsc#1012628).
  - perf map: Remove kernel map before updating start and end
    addresses (bsc#1012628).
  - perf tests: Apply attributes to all events in object code
    reading test (bsc#1012628).
  - perf tests: Make "test data symbol" more robust on Neoverse N1
    (bsc#1012628).
  - arm64: dts: meson: fix S4 power-controller node (bsc#1012628).
  - interconnect: qcom: qcm2290: Fix mas_snoc_bimc QoS port
    assignment (bsc#1012628).
  - module: don't ignore sysfs_create_link() failures (bsc#1012628).
  - serial: sc16is7xx: add proper sched.h include for
    sched_set_fifo() (bsc#1012628).
  - PCI: tegra194: Fix probe path for Endpoint mode (bsc#1012628).
  - PCI: dwc: ep: Fix DBI access failure for drivers requiring
    refclk from host (bsc#1012628).
  - greybus: arche-ctrl: move device table to its right location
    (bsc#1012628).
  - serial: max3100: Fix bitwise types (bsc#1012628).
  - serial: max3100: Update uart_driver_registered on driver removal
    (bsc#1012628).
  - serial: max3100: Lock port->lock when calling
    uart_handle_cts_change() (bsc#1012628).
  - perf annotate: Fix annotation_calc_lines() to pass correct
    address to get_srcline() (bsc#1012628).
  - firmware: dmi-id: add a release callback function (bsc#1012628).
  - dmaengine: idma64: Add check for dma_set_max_seg_size
    (bsc#1012628).
  - soundwire: cadence: fix invalid PDI offset (bsc#1012628).
  - perf stat: Do not fail on metrics on s390 z/VM systems
    (bsc#1012628).
  - perf report: Fix PAI counter names for s390 virtual machines
    (bsc#1012628).
  - usb: typec: ucsi: simplify partner's PD caps registration
    (bsc#1012628).
  - usb: typec: ucsi: always register a link to USB PD device
    (bsc#1012628).
  - usb: typec: ucsi: allow non-partner GET_PDOS for Qualcomm
    devices (bsc#1012628).
  - perf sched timehist: Fix -g/--call-graph option failure
    (bsc#1012628).
  - perf annotate: Get rid of duplicate --group option item
    (bsc#1012628).
  - counter: linux/counter.h: fix Excess kernel-doc description
    warning (bsc#1012628).
  - fpga: bridge: add owner module and take its refcount
    (bsc#1012628).
  - fpga: manager: add owner module and take its refcount
    (bsc#1012628).
  - f2fs: fix to wait on page writeback in __clone_blkaddrs()
    (bsc#1012628).
  - f2fs: multidev: fix to recognize valid zero block address
    (bsc#1012628).
  - dt-bindings: pinctrl: qcom: update functions to match with
    driver (bsc#1012628).
  - greybus: lights: check return of get_channel_from_mode
    (bsc#1012628).
  - iio: core: Leave private pointer NULL when no private data
    supplied (bsc#1012628).
  - perf probe: Add missing libgen.h header needed for using
    basename() (bsc#1012628).
  - perf test: Use a single fd for the child process out/err
    (bsc#1012628).
  - perf record: Delete session after stopping sideband thread
    (bsc#1012628).
  - perf build: Fix out of tree build related to installation of
    sysreg-defs (bsc#1012628).
  - commit ad8659c
* Wed Jun 12 2024 fvogt@suse.de
  - rpm/kernel-obs-build.spec.in: Add networking modules for docker
    (bsc#1226211)
    docker needs more networking modules, even legacy iptable_nat and _filter.
  - commit 415e132
* Tue Jun 11 2024 jslaby@suse.cz
  - Bluetooth: fix connection setup in l2cap_connect
    (https://github.com/bluez/bluez/issues/865).
  - commit f3ff049
* Sun Jun 09 2024 mkubecek@suse.cz
  - update to 6.10-rc3
  - drop 1 mainline patch
    - patches.suse/scsi-core-alua-I-O-errors-for-ALUA-state-transitions.patch
  - commit 751e4fb
* Mon Jun 03 2024 mkubecek@suse.cz
  - update to 6.10-rc2
  - commit 068a181
* Thu May 30 2024 mkubecek@suse.cz
  - update to 6.10-rc1
  - drop 11 patches (5 mainline, 6 stable)
    - patches.kernel.org/*
    - patches.suse/ACPI-video-Add-backlight-native-quirk-for-Lenovo-Sli.patch
    - patches.suse/btrfs-re-introduce-norecovery-mount-option.patch
    - patches.suse/bus-mhi-host-add-mhi_power_down_no_destroy.patch
    - patches.suse/net-qrtr-support-suspend-hibernation.patch
    - patches.suse/wifi-ath11k-support-hibernation.patch
  - refresh
    - patches.rpmify/Add-ksym-provides-tool.patch
    - patches.suse/btrfs-provide-super_operations-get_inode_dev
  - disable ARM architectures (need config update)
  - new config options
    - General setup
    - BASE_SMALL=n
    - Processor type and features
    - X86_POSTED_MSI=n
    - Virtualization
    - KVM_INTEL_PROVE_VE=n
    - Networking support
    - SMC_LO=n
    - BT_INTEL_PCIE=m
    - File systems
    - EROFS_FS_ZIP_ZSTD=y
    - Security options
    - INIT_MLOCKED_ON_FREE_DEFAULT_ON=n
    - Kernel hacking
    - FTRACE_VALIDATE_RCU_IS_WATCHING=n
    - Network device support
    - PFCP=m
    - AIR_EN8811H_PHY=m
    - PSE_PD692X0=m
    - PSE_TPS23881=m
    - RTW88_8723CS=m
    - RTW89_8922AE=m
    - Hardware Monitoring support
    - SENSORS_LENOVO_EC=m
    - SENSORS_ADP1050=m
    - SENSORS_XDP710=m
    - SENSORS_PWM_FAN=m
    - Graphics support
    - DRM_DISPLAY_DP_AUX_CEC=y
    - DRM_DISPLAY_DP_AUX_CHARDEV=y
    - DRM_WERROR=n
    - Sound card support
    - SND_SOC_AMD_ACP63_TOPLEVEL=m
    - SND_SOC_PCM6240=n
    - X86 Platform Specific Device Drivers
    - YT2_1380=m
    - AMD_MP2_STB=y
    - DELL_UART_BACKLIGHT=m
    - ACPI_QUICKSTART=m
    - MEEGOPAD_ANX7428=m
    - MSI_WMI_PLATFORM=m
    - LENOVO_WMI_CAMERA=m
    - Industrial I/O support
    - AD7173=n
    - AD7944=n
    - AD9739A=n
    - ADI_AXI_DAC=n
    - APDS9306=n
    - Misc drivers
    - TCG_TPM2_HMAC=y
    - I2C_ZHAOXIN=m
    - GPIO_GRANITERAPIDS=m
    - LENOVO_SE10_WDT=m
    - VIDEO_INTEL_IPU6=m
    - HID_WINWING=m
    - RTC_DRV_RX8111=m
    - QAT_VFIO_PCI=m
    - VIRTIO_DEBUG=n
    - FPGA_MGR_XILINX_SELECTMAP=m
    - OF dependent (i386, ppc64le, riscv64)
    - DRM_PANEL_LG_SW43408=n
    - DRM_PANEL_RAYDIUM_RM69380=n
    - DRM_PANEL_SAMSUNG_S6E3FA7=n
    - USB_ONBOARD_DEV=m
    - i386
    - ARM_MHU_V3=m
    - i386/default
    - NET_SB1000=n
    - CAN_SJA1000_ISA=n
    - ppc64le
    - CRASH_HOTPLUG=y
    - CRASH_MAX_MEMORY_RANGES=8192 (default)
    - SERIAL_SC16IS7XX_CORE=n
    - VMGENID=y
    - SOFTLOCKUP_DETECTOR_INTR_STORM=y
    - TEST_FPU=n
    - s390x
    - KERNEL_IMAGE_BASE=0x3FFE0000000 (default)
    - AP=y
    - AP_DEBUG=n
    - HAMRADIO=n
    - SERIAL_SC16IS7XX_CORE=n
    - VMGENID=y
    - s390x/zfcpdump
    - BPF_JIT=n
    - KPROBES=n
    - MEM_ALLOC_PROFILING=n
    - TEST_BITOPS=n
    - riscv64
    - KERNEL_GZIP=y
    - ARCH_MICROCHIP=y
    - I2C_CADENCE=m
    - REGULATOR_SUN20I=m
    - DRM_AMD_SECURE_DISPLAY=n
    - CLK_SOPHGO_CV1800=m
    - ARM_MHU_V3=m
    - TEST_FPU=n
  - commit 6be9abf
* Thu May 30 2024 jslaby@suse.cz
  - Linux 6.9.3 (bsc#1012628).
  - Revert "selftests/sgx: Include KHDR_INCLUDES in Makefile"
    (bsc#1012628).
  - Revert "selftests: Compile kselftest headers with -D_GNU_SOURCE"
    (bsc#1012628).
  - l2tp: fix ICMP error handling for UDP-encap sockets
    (bsc#1012628).
  - net: txgbe: fix to control VLAN strip (bsc#1012628).
  - net: wangxun: match VLAN CTAG and STAG features (bsc#1012628).
  - net: wangxun: fix to change Rx features (bsc#1012628).
  - sched/core: Fix incorrect initialization of the 'burst'
    parameter in cpu_max_write() (bsc#1012628).
  - sched/fair: Allow disabling sched_balance_newidle with
    sched_relax_domain_level (bsc#1012628).
  - af_packet: do not call packet_read_pending() from
    tpacket_destruct_skb() (bsc#1012628).
  - netrom: fix possible dead-lock in nr_rt_ioctl() (bsc#1012628).
  - idpf: don't skip over ethtool tcp-data-split setting
    (bsc#1012628).
  - selftests/net/lib: no need to record ns name if it already exist
    (bsc#1012628).
  - net: qrtr: ns: Fix module refcnt (bsc#1012628).
  - libbpf: fix feature detectors when using token_fd (bsc#1012628).
  - net: bridge: mst: fix vlan use-after-free (bsc#1012628).
  - selftests: net: bridge: increase IGMP/MLD exclude timeout
    membership interval (bsc#1012628).
  - net: bridge: xmit: make sure we have at least eth header len
    bytes (bsc#1012628).
  - modules: Drop the .export_symbol section from the final modules
    (bsc#1012628).
  - tracing/user_events: Fix non-spaced field matching
    (bsc#1012628).
  - samples/landlock: Fix incorrect free in populate_ruleset_net
    (bsc#1012628).
  - RDMA/cma: Fix kmemleak in rdma_core observed during blktests
    nvme/rdma use siw (bsc#1012628).
  - RDMA/IPoIB: Fix format truncation compilation errors
    (bsc#1012628).
  - selftests/kcmp: remove unused open mode (bsc#1012628).
  - selftests/damon/_damon_sysfs: check errors from nr_schemes
    file reads (bsc#1012628).
  - SUNRPC: Fix gss_free_in_token_pages() (bsc#1012628).
  - bnxt_re: avoid shift undefined behavior in
    bnxt_qplib_alloc_init_hwq (bsc#1012628).
  - of: module: add buffer overflow check in of_modalias()
    (bsc#1012628).
  - clk: qcom: apss-ipq-pll: fix PLL rate for IPQ5018 (bsc#1012628).
  - clk: qcom: Fix SM_GPUCC_8650 dependencies (bsc#1012628).
  - Update config files.
  - clk: qcom: Fix SC_CAMCC_8280XP dependencies (bsc#1012628).
  - Update config files.
  - ext4: remove the redundant folio_wait_stable() (bsc#1012628).
  - ext4: fix potential unnitialized variable (bsc#1012628).
  - dax/bus.c: use the right locking mode (read vs write) in
    size_show (bsc#1012628).
  - dax/bus.c: don't use down_write_killable for non-user processes
    (bsc#1012628).
  - dax/bus.c: fix locking for unregister_dax_dev /
    unregister_dax_mapping paths (bsc#1012628).
  - dax/bus.c: replace WARN_ON_ONCE() with lockdep asserts
    (bsc#1012628).
  - nfsd: don't create nfsv4recoverydir in nfsdfs when not used
    (bsc#1012628).
  - sunrpc: removed redundant procp check (bsc#1012628).
  - iommu/amd: Enable Guest Translation after reading IOMMU feature
    register (bsc#1012628).
  - iommu/vt-d: Decouple igfx_off from graphic identity mapping
    (bsc#1012628).
  - drivers/virt/acrn: fix PFNMAP PTE checks in acrn_vm_ram_map()
    (bsc#1012628).
  - virt: acrn: stop using follow_pfn (bsc#1012628).
  - RDMA/mana_ib: boundary check before installing cq callbacks
    (bsc#1012628).
  - RDMA/mana_ib: Use struct mana_ib_queue for CQs (bsc#1012628).
  - RDMA/mana_ib: Introduce helpers to create and destroy mana
    queues (bsc#1012628).
  - ext4: avoid excessive credit estimate in ext4_tmpfile()
    (bsc#1012628).
  - x86/insn: Add VEX versions of VPDPBUSD, VPDPBUSDS, VPDPWSSD
    and VPDPWSSDS (bsc#1012628).
  - x86/insn: Fix PUSH instruction in x86 instruction decoder
    opcode map (bsc#1012628).
  - clk: qcom: mmcc-msm8998: fix venus clock issue (bsc#1012628).
  - clk: qcom: dispcc-sm8650: fix DisplayPort clocks (bsc#1012628).
  - clk: qcom: dispcc-sm8550: fix DisplayPort clocks (bsc#1012628).
  - clk: qcom: dispcc-sm6350: fix DisplayPort clocks (bsc#1012628).
  - clk: qcom: dispcc-sm8450: fix DisplayPort clocks (bsc#1012628).
  - mm/ksm: fix ksm exec support for prctl (bsc#1012628).
  - lib/test_hmm.c: handle src_pfns and dst_pfns allocation failure
    (bsc#1012628).
  - clk: renesas: r9a07g043: Add clock and reset entry for PLIC
    (bsc#1012628).
  - clk: renesas: r8a779a0: Fix CANFD parent clock (bsc#1012628).
  - IB/mlx5: Use __iowrite64_copy() for write combining stores
    (bsc#1012628).
  - RDMA/rxe: Fix incorrect rxe_put in error path (bsc#1012628).
  - RDMA/rxe: Allow good work requests to be executed (bsc#1012628).
  - RDMA/rxe: Fix seg fault in rxe_comp_queue_pkt (bsc#1012628).
  - clk: samsung: gs101: propagate PERIC1 USI SPI clock rate
    (bsc#1012628).
  - clk: samsung: gs101: propagate PERIC0 USI SPI clock rate
    (bsc#1012628).
  - clk: qcom: clk-alpha-pll: remove invalid Stromer register offset
    (bsc#1012628).
  - clk: rs9: fix wrong default value for clock amplitude
    (bsc#1012628).
  - clk: mediatek: mt8365-mm: fix DPI0 parent (bsc#1012628).
  - RDMA/hns: Modify the print level of CQE error (bsc#1012628).
  - RDMA/hns: Use complete parentheses in macros (bsc#1012628).
  - RDMA/hns: Fix GMV table pagesize (bsc#1012628).
  - RDMA/hns: Fix mismatch exception rollback (bsc#1012628).
  - RDMA/hns: Fix UAF for cq async event (bsc#1012628).
  - RDMA/hns: Fix deadlock on SRQ async events (bsc#1012628).
  - RDMA/hns: Add max_ah and cq moderation capacities in
    query_device() (bsc#1012628).
  - RDMA/hns: Fix return value in hns_roce_map_mr_sg (bsc#1012628).
  - iommu: Undo pasid attachment only for the devices that have
    succeeded (bsc#1012628).
  - clk: mediatek: pllfh: Don't log error for missing fhctl node
    (bsc#1012628).
  - RDMA/mlx5: Adding remote atomic access flag to updatable flags
    (bsc#1012628).
  - RDMA/mlx5: Change check for cacheable mkeys (bsc#1012628).
  - RDMA/mlx5: Uncacheable mkey has neither rb_key or cache_ent
    (bsc#1012628).
  - clk: samsung: exynosautov9: fix wrong pll clock id value
    (bsc#1012628).
  - power: supply: core: simplify charge_behaviour formatting
    (bsc#1012628).
  - media: cadence: csi2rx: configure DPHY before starting source
    stream (bsc#1012628).
  - drm/edid: Parse topology block for all DispID structure v1.x
    (bsc#1012628).
  - RISC-V: Fix the typo in Scountovf CSR name (bsc#1012628).
  - drm/rockchip: vop2: Do not divide height twice for YUV
    (bsc#1012628).
  - media: uvcvideo: Add quirk for Logitech Rally Bar (bsc#1012628).
  - drm/mipi-dsi: use correct return type for the DSC functions
    (bsc#1012628).
  - ALSA: hda: cs35l41: Remove Speaker ID for Lenovo Legion slim
    7 16ARHA7 (bsc#1012628).
  - drm/panel: simple: Add missing Innolux G121X1-L03 format,
    flags, connector (bsc#1012628).
  - drm/bridge: anx7625: Update audio status while detecting
    (bsc#1012628).
  - drm/panel: novatek-nt35950: Don't log an error when DSI host
    can't be found (bsc#1012628).
  - drm/bridge: dpc3433: Don't log an error when DSI host can't
    be found (bsc#1012628).
  - drm/bridge: tc358775: Don't log an error when DSI host can't
    be found (bsc#1012628).
  - drm/bridge: lt9611uxc: Don't log an error when DSI host can't
    be found (bsc#1012628).
  - drm/bridge: lt9611: Don't log an error when DSI host can't be
    found (bsc#1012628).
  - drm/bridge: lt8912b: Don't log an error when DSI host can't
    be found (bsc#1012628).
  - drm/bridge: icn6211: Don't log an error when DSI host can't
    be found (bsc#1012628).
  - drm/bridge: anx7625: Don't log an error when DSI host can't
    be found (bsc#1012628).
  - ASoC: tracing: Export SND_SOC_DAPM_DIR_OUT to its value
    (bsc#1012628).
  - drm: vc4: Fix possible null pointer dereference (bsc#1012628).
  - drm/arm/malidp: fix a possible null pointer dereference
    (bsc#1012628).
  - media: atomisp: ssh_css: Fix a null-pointer dereference in
    load_video_binaries (bsc#1012628).
  - fbdev: sh7760fb: allow modular build (bsc#1012628).
  - media: v4l2-subdev: Fix stream handling for crop API
    (bsc#1012628).
  - media: i2c: et8ek8: Don't strip remove function when driver
    is builtin (bsc#1012628).
  - media: dt-bindings: ovti,ov2680: Fix the power supply names
    (bsc#1012628).
  - media: ipu3-cio2: Request IRQ earlier (bsc#1012628).
  - drm/msm/dp: Account for the timeout in wait_hpd_asserted()
    callback (bsc#1012628).
  - drm/msm/dp: Avoid a long timeout for AUX transfer if nothing
    connected (bsc#1012628).
  - drm/msm/dp: allow voltage swing / pre emphasis of 3
    (bsc#1012628).
  - platform/x86: xiaomi-wmi: Fix race condition when reporting
    key events (bsc#1012628).
  - drm: bridge: cdns-mhdp8546: Fix possible null pointer
    dereference (bsc#1012628).
  - media: radio-shark2: Avoid led_names truncations (bsc#1012628).
  - media: rcar-vin: work around -Wenum-compare-conditional warning
    (bsc#1012628).
  - staging: media: starfive: Remove links when unregistering
    devices (bsc#1012628).
  - media: ngene: Add dvb_ca_en50221_init return value check
    (bsc#1012628).
  - ASoC: Intel: avs: Test result of avs_get_module_entry()
    (bsc#1012628).
  - ASoC: Intel: avs: Fix potential integer overflow (bsc#1012628).
  - ASoC: Intel: avs: Fix ASRC module initialization (bsc#1012628).
  - ASoC: Intel: avs: Fix debug-slot offset calculation
    (bsc#1012628).
  - ASoC: Intel: avs: Restore stream decoupling on prepare
    (bsc#1012628).
  - selftests: cgroup: skip test_cgcore_lesser_ns_open when cgroup2
    mounted without nsdelegate (bsc#1012628).
  - fbdev: sisfb: hide unused variables (bsc#1012628).
  - ASoC: SOF: Intel: mtl: Implement firmware boot state check
    (bsc#1012628).
  - ASoC: SOF: Intel: mtl: Disable interrupts when firmware boot
    failed (bsc#1012628).
  - ASoC: SOF: Intel: lnl: Correct rom_status_reg (bsc#1012628).
  - ASoC: SOF: Intel: mtl: Correct rom_status_reg (bsc#1012628).
  - powerpc/fsl-soc: hide unused const variable (bsc#1012628).
  - ASoC: SOF: Intel: hda-dai: fix channel map configuration for
    aggregated dailink (bsc#1012628).
  - drm/mediatek: Init `ddp_comp` with devm_kcalloc() (bsc#1012628).
  - drm/mediatek: Add 0 size check to mtk_drm_gem_obj (bsc#1012628).
  - drm/meson: vclk: fix calculation of 59.94 fractional rates
    (bsc#1012628).
  - ASoC: kirkwood: Fix potential NULL dereference (bsc#1012628).
  - fbdev: shmobile: fix snprintf truncation (bsc#1012628).
  - drm/panel: ltk050h3146w: drop duplicate commands from
    LTK050H3148W init (bsc#1012628).
  - drm/panel: ltk050h3146w: add MIPI_DSI_MODE_VIDEO to LTK050H3148W
    flags (bsc#1012628).
  - ASoC: mediatek: Assign dummy when codec not specified for a
    DAI link (bsc#1012628).
  - drm/imagination: avoid -Woverflow warning (bsc#1012628).
  - mtd: rawnand: hynix: fixed typo (bsc#1012628).
  - mtd: core: Report error if first mtd_otp_size() call fails in
    mtd_otp_nvmem_add() (bsc#1012628).
  - ASoC: Intel: avs: ssm4567: Do not ignore route checks
    (bsc#1012628).
  - ASoC: Intel: Disable route checks for Skylake boards
    (bsc#1012628).
  - drm/amd/display: Remove redundant condition in
    dcn35_calc_blocks_to_gate() (bsc#1012628).
  - drm/amd/display: Fix potential index out of bounds in color
    transformation function (bsc#1012628).
  - drm/panel: atna33xc20: Fix unbalanced regulator in the case
    HPD doesn't assert (bsc#1012628).
  - drm/lcdif: Do not disable clocks on already suspended hardware
    (bsc#1012628).
  - dev_printk: Add and use dev_no_printk() (bsc#1012628).
  - printk: Let no_printk() use _printk() (bsc#1012628).
  - drm/omapdrm: Fix console with deferred ops (bsc#1012628).
  - Update config files.
  - drm/omapdrm: Fix console by implementing fb_dirty (bsc#1012628).
  - drm/nouveau/dp: Fix incorrect return code in r535_dp_aux_xfer()
    (bsc#1012628).
  - drm/ci: update device type for volteer devices (bsc#1012628).
  - drm/bridge: Fix improper bridge init order with
    pre_enable_prev_first (bsc#1012628).
  - drm/panel-edp: Add prepare_to_enable to 200ms for MNC207QS1-1
    (bsc#1012628).
  - Bluetooth: hci_core: Fix not handling hdev->le_num_of_adv_sets=1
    (bsc#1012628).
  - Bluetooth: hci_conn, hci_sync: Use __counted_by() to avoid
    - Wfamnae warnings (bsc#1012628).
  - Bluetooth: HCI: Remove HCI_AMP support (bsc#1012628).
  - Bluetooth: ISO: Make iso_get_sock_listen generic (bsc#1012628).
  - Bluetooth: qca: Fix error code in qca_read_fw_build_info()
    (bsc#1012628).
  - Bluetooth: compute LE flow credits based on recvbuf space
    (bsc#1012628).
  - net: micrel: Fix receiving the timestamp in the frame for
    lan8841 (bsc#1012628).
  - net: stmmac: move the EST lock to struct stmmac_priv
    (bsc#1012628).
  - mptcp: fix full TCP keep-alive support (bsc#1012628).
  - mptcp: SO_KEEPALIVE: fix getsockopt support (bsc#1012628).
  - net: fec: remove .ndo_poll_controller to avoid deadlocks
    (bsc#1012628).
  - dpll: fix return value check for kmemdup (bsc#1012628).
  - ax25: Fix reference count leak issue of net_device
    (bsc#1012628).
  - ax25: Fix reference count leak issues of ax25_dev (bsc#1012628).
  - ax25: Use kernel universal linked list to implement
    ax25_dev_list (bsc#1012628).
  - inet: fix inet_fill_ifaddr() flags truncation (bsc#1012628).
  - riscv, bpf: make some atomic operations fully ordered
    (bsc#1012628).
  - s390/bpf: Emit a barrier for BPF_FETCH instructions
    (bsc#1012628).
  - net/mlx5: Discard command completions in internal error
    (bsc#1012628).
  - net/mlx5: Add a timeout to acquire the command queue semaphore
    (bsc#1012628).
  - net/mlx5: Reload only IB representors upon lag disable/enable
    (bsc#1012628).
  - net/mlx5: Fix peer devlink set for SF representor devlink port
    (bsc#1012628).
  - net/mlx5e: Fix netif state handling (bsc#1012628).
  - ipv6: sr: fix invalid unregister error path (bsc#1012628).
  - ipv6: sr: fix incorrect unregister order (bsc#1012628).
  - ipv6: sr: add missing seg6_local_exit (bsc#1012628).
  - net: openvswitch: fix overwriting ct original tuple for ICMPv6
    (bsc#1012628).
  - net: usb: smsc95xx: stop lying about skb->truesize
    (bsc#1012628).
  - af_unix: Fix data races in unix_release_sock/unix_stream_sendmsg
    (bsc#1012628).
  - net: ethernet: cortina: Locking fixes (bsc#1012628).
  - ice: Fix package download algorithm (bsc#1012628).
  - net: ethernet: mediatek: use ADMAv1 instead of ADMAv2.0 on
    MT7981 and MT7986 (bsc#1012628).
  - net: ethernet: mediatek: split tx and rx fields in mtk_soc_data
    struct (bsc#1012628).
  - selftests: net: move amt to socat for better compatibility
    (bsc#1012628).
  - selftests: net: add missing config for amt.sh (bsc#1012628).
  - eth: sungem: remove .ndo_poll_controller to avoid deadlocks
    (bsc#1012628).
  - net: ipv6: fix wrong start position when receive hop-by-hop
    fragment (bsc#1012628).
  - ptp: ocp: fix DPLL functions (bsc#1012628).
  - dm-delay: fix max_delay calculations (bsc#1012628).
  - dm-delay: fix hung task introduced by kthread mode
    (bsc#1012628).
  - dm-delay: fix workqueue delay_timer race (bsc#1012628).
  - selftests/sgx: Include KHDR_INCLUDES in Makefile (bsc#1012628).
  - selftests: Compile kselftest headers with -D_GNU_SOURCE
    (bsc#1012628).
  - m68k: Move ARCH_HAS_CPU_CACHE_ALIASING (bsc#1012628).
  - m68k: mac: Fix reboot hang on Mac IIci (bsc#1012628).
  - m68k: Fix spinlock race in kernel thread creation (bsc#1012628).
  - net: usb: sr9700: stop lying about skb->truesize (bsc#1012628).
  - usb: aqc111: stop lying about skb->truesize (bsc#1012628).
  - btrfs: set start on clone before calling copy_extent_buffer_full
    (bsc#1012628).
  - HID: amd_sfh: Handle "no sensors" in PM operations
    (bsc#1012628).
  - wifi: mwl8k: initialize cmd->addr[] properly (bsc#1012628).
  - x86/numa: Fix SRAT lookup of CFMWS ranges with
    numa_fill_memblks() (bsc#1012628).
  - gpio: nuvoton: Fix sgpio irq handle error (bsc#1012628).
  - scsi: qla2xxx: Fix debugfs output for fw_resource_count
    (bsc#1012628).
  - scsi: qedf: Ensure the copied buf is NUL terminated
    (bsc#1012628).
  - scsi: bfa: Ensure the copied buf is NUL terminated
    (bsc#1012628).
  - HID: intel-ish-hid: ipc: Add check for pci_alloc_irq_vectors
    (bsc#1012628).
  - selftests/bpf: Fix pointer arithmetic in test_xdp_do_redirect
    (bsc#1012628).
  - kunit: bail out early in __kunit_test_suites_init() if there
    are no suites to test (bsc#1012628).
  - kunit: unregister the device on error (bsc#1012628).
  - kunit: Fix kthread reference (bsc#1012628).
  - selftests: default to host arch for LLVM builds (bsc#1012628).
  - selftests/resctrl: fix clang build failure: use LOCAL_HDRS
    (bsc#1012628).
  - selftests/binderfs: use the Makefile's rules, not Make's
    implicit rules (bsc#1012628).
  - selftests: power_supply: Make it POSIX-compliant (bsc#1012628).
  - selftests: ktap_helpers: Make it POSIX-compliant (bsc#1012628).
  - wifi: rtw89: wow: refine WoWLAN flows of HCI interrupts and
    low power mode (bsc#1012628).
  - wifi: nl80211: Avoid address calculations via out of bounds
    array indexing (bsc#1012628).
  - libbpf: Fix error message in attach_kprobe_multi (bsc#1012628).
  - wifi: mt76: connac: use muar idx 0xe for non-mt799x as well
    (bsc#1012628).
  - wifi: mt76: mt7996: fix potential memory leakage when reading
    chip temperature (bsc#1012628).
  - wifi: mt76: mt7996: fix uninitialized variable in
    mt7996_irq_tasklet() (bsc#1012628).
  - wifi: mt76: mt7925: ensure 4-byte alignment for suspend &
    wow command (bsc#1012628).
  - wifi: mt76: mt7996: fix size of txpower MCU command
    (bsc#1012628).
  - wifi: mt76: connac: check for null before dereferencing
    (bsc#1012628).
  - wifi: mt76: mt7603: add wpdma tx eof flag for PSE client reset
    (bsc#1012628).
  - wifi: mt76: mt7603: fix tx queue of loopback packets
    (bsc#1012628).
  - Revert "sh: Handle calling csum_partial with misaligned data"
    (bsc#1012628).
  - sh: kprobes: Merge arch_copy_kprobe() into arch_prepare_kprobe()
    (bsc#1012628).
  - bpf: Add BPF_PROG_TYPE_CGROUP_SKB attach type enforcement in
    BPF_LINK_CREATE (bsc#1012628).
  - pwm: meson: Use mul_u64_u64_div_u64() for frequency calculating
    (bsc#1012628).
  - pwm: meson: Add check for error from clk_round_rate()
    (bsc#1012628).
  - wifi: ar5523: enable proper endpoint verification (bsc#1012628).
  - selftests/bpf: Run cgroup1_hierarchy test in own mount namespace
    (bsc#1012628).
  - bpf: Fix verifier assumptions about socket->sk (bsc#1012628).
  - wifi: carl9170: add a proper sanity check for endpoints
    (bsc#1012628).
  - macintosh/via-macii: Fix "BUG: sleeping function called from
    invalid context" (bsc#1012628).
  - net: give more chances to rcu in netdev_wait_allrefs_any()
    (bsc#1012628).
  - drivers/perf: hisi: hns3: Actually use
    devm_add_action_or_reset() (bsc#1012628).
  - drivers/perf: hisi: hns3: Fix out-of-bound access when valid
    event group (bsc#1012628).
  - drivers/perf: hisi_pcie: Fix out-of-bound access when valid
    event group (bsc#1012628).
  - pwm: sti: Simplify probe function using devm functions
    (bsc#1012628).
  - thermal/debugfs: Pass cooling device state to
    thermal_debug_cdev_add() (bsc#1012628).
  - thermal/debugfs: Create records for cdev states as they get used
    (bsc#1012628).
  - tcp: avoid premature drops in tcp_add_backlog() (bsc#1012628).
  - net: dsa: mv88e6xxx: Avoid EEPROM timeout without EEPROM on
    88E6250-family switches (bsc#1012628).
  - net: dsa: mv88e6xxx: Add support for model-specific pre-
    and post-reset handlers (bsc#1012628).
  - wifi: ath10k: populate board data for WCN3990 (bsc#1012628).
  - cpufreq: brcmstb-avs-cpufreq: ISO C90 forbids mixed declarations
    (bsc#1012628).
  - scsi: ufs: core: mcq: Fix ufshcd_mcq_sqe_search() (bsc#1012628).
  - selftests/bpf: Fix a fd leak in error paths in open_netns
    (bsc#1012628).
  - thermal/debugfs: Avoid excessive updates of trip point
    statistics (bsc#1012628).
  - gfs2: do_xmote fixes (bsc#1012628).
  - gfs2: finish_xmote cleanup (bsc#1012628).
  - gfs2: Fix potential glock use-after-free on unmount
    (bsc#1012628).
  - gfs2: Remove ill-placed consistency check (bsc#1012628).
  - wifi: ath10k: Fix an error code problem in
    ath10k_dbg_sta_write_peer_debug_trigger() (bsc#1012628).
  - dt-bindings: thermal: loongson,ls2k-thermal: Fix incorrect
    compatible definition (bsc#1012628).
  - dt-bindings: thermal: loongson,ls2k-thermal: Add Loongson-2K0500
    compatible (bsc#1012628).
  - thermal/drivers/tsens: Fix null pointer dereference
    (bsc#1012628).
  - thermal/drivers/mediatek/lvts_thermal: Add coeff for mt8192
    (bsc#1012628).
  - wifi: ath12k: fix out-of-bound access of qmi_invoke_handler()
    (bsc#1012628).
  - x86/purgatory: Switch to the position-independent small code
    model (bsc#1012628).
  - scsi: hpsa: Fix allocation size for Scsi_Host private data
    (bsc#1012628).
  - scsi: libsas: Fix the failure of adding phy with zero-address
    to port (bsc#1012628).
  - wifi: iwlwifi: mvm: init vif works only once (bsc#1012628).
  - wifi: iwlwifi: mvm: don't always disable EMLSR due to BT coex
    (bsc#1012628).
  - wifi: iwlwifi: mvm: calculate EMLSR mode after connection
    (bsc#1012628).
  - wifi: iwlwifi: mvm: introduce esr_disable_reason (bsc#1012628).
  - wifi: mac80211: transmit deauth only if link is available
    (bsc#1012628).
  - cppc_cpufreq: Fix possible null pointer dereference
    (bsc#1012628).
  - openrisc: traps: Don't send signals to kernel mode threads
    (bsc#1012628).
  - openrisc: Use do_kernel_power_off() (bsc#1012628).
  - udp: Avoid call to compute_score on multiple sites
    (bsc#1012628).
  - x86/pat: Fix W^X violation false-positives when running as
    Xen PV guest (bsc#1012628).
  - x86/pat: Restructure _lookup_address_cpa() (bsc#1012628).
  - x86/pat: Introduce lookup_address_in_pgd_attr() (bsc#1012628).
  - cpufreq: exit() callback is optional (bsc#1012628).
  - tcp: increase the default TCP scaling ratio (bsc#1012628).
  - selftests/bpf: Fix umount cgroup2 error in test_sockmap
    (bsc#1012628).
  - x86/boot/64: Clear most of CR4 in startup_64(), except PAE,
    MCE and LA57 (bsc#1012628).
  - arm64: Remove unnecessary irqflags alternative.h include
    (bsc#1012628).
  - gfs2: Fix "ignore unlock failures after withdraw" (bsc#1012628).
  - gfs2: Don't forget to complete delayed withdraw (bsc#1012628).
  - ACPI: disable -Wstringop-truncation (bsc#1012628).
  - irqchip/loongson-pch-msi: Fix off-by-one on allocation error
    path (bsc#1012628).
  - irqchip/alpine-msi: Fix off-by-one in allocation error path
    (bsc#1012628).
  - locking/atomic/x86: Correct the definition of
    __arch_try_cmpxchg128() (bsc#1012628).
  - EDAC/skx_common: Allow decoding of SGX addresses (bsc#1012628).
  - ACPI: LPSS: Advertise number of chip selects via property
    (bsc#1012628).
  - scsi: ufs: core: Perform read back after disabling
    UIC_COMMAND_COMPL (bsc#1012628).
  - scsi: ufs: core: Perform read back after disabling interrupts
    (bsc#1012628).
  - scsi: ufs: core: Perform read back after writing
    UTP_TASK_REQ_LIST_BASE_H (bsc#1012628).
  - scsi: ufs: cdns-pltfrm: Perform read back after writing HCLKDIV
    (bsc#1012628).
  - scsi: ufs: qcom: Perform read back after writing CGC enable
    (bsc#1012628).
  - scsi: ufs: qcom: Perform read back after writing unipro mode
    (bsc#1012628).
  - scsi: ufs: qcom: Perform read back after writing
    REG_UFS_SYS1CLK_1US (bsc#1012628).
  - scsi: ufs: qcom: Perform read back after writing reset bit
    (bsc#1012628).
  - x86/microcode/AMD: Avoid -Wformat warning with clang-15
    (bsc#1012628).
  - bpf: prevent r10 register from being marked as precise
    (bsc#1012628).
  - bpf: Pack struct bpf_fib_lookup (bsc#1012628).
  - bpftool: Mount bpffs on provided dir instead of parent dir
    (bsc#1012628).
  - wifi: carl9170: re-fix fortified-memset warning (bsc#1012628).
  - dlm: fix user space lock decision to copy lvb (bsc#1012628).
  - bitops: add missing prototype check (bsc#1012628).
  - mlx5: stop warning for 64KB pages (bsc#1012628).
  - mlx5: avoid truncating error message (bsc#1012628).
  - qed: avoid truncating work queue length (bsc#1012628).
  - enetc: avoid truncating error message (bsc#1012628).
  - ACPI: bus: Indicate support for IRQ ResourceSource thru _OSC
    (bsc#1012628).
  - ACPI: Fix Generic Initiator Affinity _OSC bit (bsc#1012628).
  - ACPI: bus: Indicate support for the Generic Event Device thru
    _OSC (bsc#1012628).
  - ACPI: bus: Indicate support for more than 16 p-states thru _OSC
    (bsc#1012628).
  - ACPI: bus: Indicate support for _TFP thru _OSC (bsc#1012628).
  - sched/fair: Add EAS checks before updating
    root_domain::overutilized (bsc#1012628).
  - wifi: iwlwifi: mvm: fix check in iwl_mvm_sta_fw_id_mask
    (bsc#1012628).
  - wifi: iwlwifi: reconfigure TLC during HW restart (bsc#1012628).
  - wifi: iwlwifi: mvm: select STA mask only for active links
    (bsc#1012628).
  - wifi: iwlwifi: mvm: set wider BW OFDMA ignore correctly
    (bsc#1012628).
  - wifi: iwlwifi: mvm: fix active link counting during recovery
    (bsc#1012628).
  - wifi: mac80211: don't select link ID if not provided in scan
    request (bsc#1012628).
  - wifi: iwlwifi: mvm: allocate STA links only for active links
    (bsc#1012628).
  - wifi: iwlwifi: mvm: Do not warn on invalid link on scan complete
    (bsc#1012628).
  - wifi: cfg80211: ignore non-TX BSSs in per-STA profile
    (bsc#1012628).
  - wifi: ieee80211: fix ieee80211_mle_basic_sta_prof_size_ok()
    (bsc#1012628).
  - x86/fred: Fix typo in Kconfig description (bsc#1012628).
  - x86/boot: Ignore relocations in .notes sections in walk_relocs()
    too (bsc#1012628).
  - wifi: mt76: mt7915: workaround too long expansion sparse
    warnings (bsc#1012628).
  - wifi: ath12k: use correct flag field for 320 MHz channels
    (bsc#1012628).
  - libbpf: Prevent null-pointer dereference when prog to load
    has no BTF (bsc#1012628).
  - bpftool: Fix missing pids during link show (bsc#1012628).
  - wifi: ath11k: don't force enable power save on non-running vdevs
    (bsc#1012628).
  - wifi: brcmfmac: pcie: handle randbuf allocation failure
    (bsc#1012628).
  - wifi: ath10k: poll service ready message before failing
    (bsc#1012628).
  - block: support to account io_ticks precisely (bsc#1012628).
  - block: fix and simplify blkdevparts= cmdline parsing
    (bsc#1012628).
  - block: refine the EOF check in blkdev_iomap_begin (bsc#1012628).
  - crypto: qat - specify firmware files for 402xx (bsc#1012628).
  - md: fix resync softlockup when bitmap size is less than array
    size (bsc#1012628).
  - kunit/fortify: Fix replaced failure path to unbreak __alloc_size
    (bsc#1012628).
  - lkdtm: Disable CFI checking for perms functions (bsc#1012628).
  - soc: qcom: pmic_glink: Make client-lock non-sleeping
    (bsc#1012628).
  - io_uring/net: fix sendzc lazy wake polling (bsc#1012628).
  - s390: vmlinux.lds.S: Drop .hash and .gnu.hash for
    !CONFIG_PIE_BUILD (bsc#1012628).
  - kunit/fortify: Fix mismatched kvalloc()/vfree() usage
    (bsc#1012628).
  - hwrng: stm32 - repair clock handling (bsc#1012628).
  - hwrng: stm32 - put IP into RPM suspend on failure (bsc#1012628).
  - hwrng: stm32 - use logical OR in conditional (bsc#1012628).
  - crypto: qat - validate slices count returned by FW
    (bsc#1012628).
  - null_blk: Fix missing mutex_destroy() at module removal
    (bsc#1012628).
  - soc: mediatek: cmdq: Fix typo of CMDQ_JUMP_RELATIVE
    (bsc#1012628).
  - firmware: qcom: scm: Fix __scm and waitq completion variable
    initialization (bsc#1012628).
  - soc: qcom: pmic_glink: notify clients about the current state
    (bsc#1012628).
  - soc: qcom: pmic_glink: don't traverse clients list without a
    lock (bsc#1012628).
  - crypto: qat - improve error logging to be consistent across
    features (bsc#1012628).
  - crypto: qat - improve error message in adf_get_arbiter_mapping()
    (bsc#1012628).
  - crypto: octeontx2 - add missing check for dma_map_single
    (bsc#1012628).
  - s390/mm: Re-enable the shared zeropage for !PV and !skeys KVM
    guests (bsc#1012628).
  - mm/userfaultfd: Do not place zeropages when zeropages are
    disallowed (bsc#1012628).
  - io-wq: write next_work before dropping acct_lock (bsc#1012628).
  - shmem: Fix shmem_rename2() (bsc#1012628).
  - libfs: Add simple_offset_rename() API (bsc#1012628).
  - libfs: Fix simple_offset_rename_exchange() (bsc#1012628).
  - jffs2: prevent xattr node from overflowing the eraseblock
    (bsc#1012628).
  - ARM: configs: sunxi: Enable DRM_DW_HDMI (bsc#1012628).
  - rcu: Fix buffer overflow in print_cpu_stall_info()
    (bsc#1012628).
  - rcu-tasks: Fix show_rcu_tasks_trace_gp_kthread buffer overflow
    (bsc#1012628).
  - io_uring: use the right type for work_llist empty check
    (bsc#1012628).
  - s390/cio: fix tracepoint subchannel type field (bsc#1012628).
  - crypto: x86/sha512-avx2 - add missing vzeroupper (bsc#1012628).
  - crypto: x86/sha256-avx2 - add missing vzeroupper (bsc#1012628).
  - crypto: x86/nh-avx2 - add missing vzeroupper (bsc#1012628).
  - crypto: ccp - drop platform ifdef checks (bsc#1012628).
  - parisc: add missing export of __cmpxchg_u8() (bsc#1012628).
  - nilfs2: fix out-of-range warning (bsc#1012628).
  - ecryptfs: Fix buffer size for tag 66 packet (bsc#1012628).
  - firmware: raspberrypi: Use correct device for DMA mappings
    (bsc#1012628).
  - mm/slub, kunit: Use inverted data to corrupt kmem cache
    (bsc#1012628).
  - firmware: qcom: qcm: fix unused qcom_scm_qseecom_allowlist
    (bsc#1012628).
  - crypto: bcm - Fix pointer arithmetic (bsc#1012628).
  - openpromfs: finish conversion to the new mount API
    (bsc#1012628).
  - KEYS: asymmetric: Add missing dependencies of
    FIPS_SIGNATURE_SELFTEST (bsc#1012628).
  - KEYS: asymmetric: Add missing dependency on CRYPTO_SIG
    (bsc#1012628).
  - Update config files.
  - ALSA: Fix deadlocks with kctl removals at disconnection
    (bsc#1012628).
  - ALSA: timer: Set lower bound of start tick time (bsc#1012628).
  - ALSA: core: Fix NULL module pointer assignment at card init
    (bsc#1012628).
  - ALSA: hda/realtek: fix mute/micmute LEDs don't work for ProBook
    440/460 G11 (bsc#1012628).
  - ksmbd: ignore trailing slashes in share paths (bsc#1012628).
  - ksmbd: avoid to send duplicate oplock break notifications
    (bsc#1012628).
  - fs/ntfs3: Break dir enumeration if directory contents error
    (bsc#1012628).
  - fs/ntfs3: Fix case when index is reused during tree
    transformation (bsc#1012628).
  - fs/ntfs3: Taking DOS names into account during link counting
    (bsc#1012628).
  - fs/ntfs3: Remove max link count info display during driver init
    (bsc#1012628).
  - nilfs2: fix potential hang in nilfs_detach_log_writer()
    (bsc#1012628).
  - nilfs2: fix unexpected freezing of nilfs_segctor_sync()
    (bsc#1012628).
  - nilfs2: fix use-after-free of timer for log writer thread
    (bsc#1012628).
  - dt-bindings: adc: axi-adc: add clocks property (bsc#1012628).
  - f2fs: fix false alarm on invalid block address (bsc#1012628).
  - net: smc91x: Fix m68k kernel compilation for ColdFire CPU
    (bsc#1012628).
  - net: lan966x: remove debugfs directory in probe() error path
    (bsc#1012628).
  - net: ti: icssg_prueth: Fix NULL pointer dereference in
    prueth_probe() (bsc#1012628).
  - tools/nolibc/stdlib: fix memory error in realloc()
    (bsc#1012628).
  - tools/latency-collector: Fix -Wformat-security compile warns
    (bsc#1012628).
  - net: mana: Fix the extra HZ in mana_hwc_send_request
    (bsc#1012628).
  - ring-buffer: Fix a race between readers and resize checks
    (bsc#1012628).
  - r8169: Fix possible ring buffer corruption on fragmented Tx
    packets (bsc#1012628).
  - Revert "r8169: don't try to disable interrupts if NAPI is,
    scheduled already" (bsc#1012628).
  - io_uring/sqpoll: ensure that normal task_work is also run timely
    (bsc#1012628).
  - io_uring: fail NOP if non-zero op flags is passed in
    (bsc#1012628).
  - Input: try trimming too long modalias strings (bsc#1012628).
  - serial: 8520_mtk: Set RTS on shutdown for Rx in-band wakeup
    (bsc#1012628).
  - serial: 8250_bcm7271: use default_mux_rate if possible
    (bsc#1012628).
  - serial: sc16is7xx: fix bug in sc16is7xx_set_baud() when using
    prescaler (bsc#1012628).
  - speakup: Fix sizeof() vs ARRAY_SIZE() bug (bsc#1012628).
  - tty: n_gsm: fix missing receive state reset after mode switch
    (bsc#1012628).
  - tty: n_gsm: fix possible out-of-bounds in gsm0_receive()
    (bsc#1012628).
  - Reapply "arm64: fpsimd: Implement lazy restore for kernel mode
    FPSIMD" (bsc#1012628).
  - arm64/fpsimd: Avoid erroneous elide of user state reload
    (bsc#1012628).
  - Revert "arm64: fpsimd: Implement lazy restore for kernel mode
    FPSIMD" (bsc#1012628).
  - ftrace: Fix possible use-after-free issue in ftrace_location()
    (bsc#1012628).
  - selftests/ftrace: Fix checkbashisms errors (bsc#1012628).
  - selftests/ftrace: Fix BTFARG testcase to check fprobe is
    enabled correctly (bsc#1012628).
  - x86/tsc: Trust initial offset in architectural TSC-adjust MSRs
    (bsc#1012628).
  - commit 279162a
* Wed May 29 2024 colyli@suse.de
  - Update config files to enable CONFIG_DM_VERITY_VERIFY_ROOTHASH_SIG (bsc#1223544)
  - commit d84e6ec
* Wed May 29 2024 colyli@suse.de
  - Update config files to enable CONFIG_DM_VERITY_VERIFY_ROOTHASH_SIG (bsc#1223544)
  - commit 1b34643
* Mon May 27 2024 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream reference and move into sorted section:
    - patches.suse/btrfs-re-introduce-norecovery-mount-option.patch
  - commit a9b6c1b
* Sun May 26 2024 tiwai@suse.de
  - Workaround for amdgpu hard freeze (bsc#1225147).
  - commit b14bc87
* Sun May 26 2024 jslaby@suse.cz
  - Linux 6.9.2 (bsc#1012628).
  - wifi: iwlwifi: Use request_module_nowait (bsc#1012628).
  - cpufreq: amd-pstate: fix the highest frequency issue which
    limits performance (bsc#1012628).
  - drm/amd/display: Fix division by zero in setup_dsc_config
    (bsc#1012628).
  - net: ks8851: Fix another TX stall caused by wrong ISR flag
    handling (bsc#1012628).
  - x86/percpu: Use __force to cast from __percpu address space
    (bsc#1012628).
  - Bluetooth: L2CAP: Fix div-by-zero in l2cap_le_flowctl_init()
    (bsc#1012628).
  - KEYS: trusted: Fix memory leak in tpm2_key_encode()
    (bsc#1012628).
  - ASoC: Intel: sof_sdw: use generic rtd_init function for Realtek
    SDW DMICs (bsc#1012628).
  - binder: fix max_thread type inconsistency (bsc#1012628).
  - usb: dwc3: Wait unconditionally after issuing EndXfer command
    (bsc#1012628).
  - net: usb: ax88179_178a: fix link status when link is set to
    down/up (bsc#1012628).
  - usb: typec: ucsi: displayport: Fix potential deadlock
    (bsc#1012628).
  - usb: typec: tipd: fix event checking for tps25750 (bsc#1012628).
  - usb: typec: tipd: fix event checking for tps6598x (bsc#1012628).
  - serial: kgdboc: Fix NMI-safety problems from keyboard reset code
    (bsc#1012628).
  - remoteproc: mediatek: Make sure IPI buffer fits in L2TCM
    (bsc#1012628).
  - Revert "media: v4l2-ctrls: show all owned controls in
    log_status" (bsc#1012628).
  - KEYS: trusted: Do not use WARN when encode fails (bsc#1012628).
  - admin-guide/hw-vuln/core-scheduling: fix return type of
    PR_SCHED_CORE_GET (bsc#1012628).
  - docs: kernel_include.py: Cope with docutils 0.21 (bsc#1012628).
  - Docs/admin-guide/mm/damon/usage: fix wrong example of DAMOS
    filter matching sysfs file (bsc#1012628).
  - Docs/admin-guide/mm/damon/usage: fix wrong schemes effective
    quota update command (bsc#1012628).
  - block: add a disk_has_partscan helper (bsc#1012628).
  - block: add a partscan sysfs attribute for disks (bsc#1012628).
  - Rename to
    patches.kernel.org/6.9.2-001-Bluetooth-btusb-Fix-the-patch-for-MT7920-the-af.patch.
  - commit 2759db4
* Thu May 23 2024 mwilck@suse.com
  - scsi: core: alua: I/O errors for ALUA state transitions
    (bsc#1189970).
  - commit 030909a
* Thu May 23 2024 mwilck@suse.com
  - Delete patches.suse/scsi-retry-alua-transition-in-progress. (bsc#1189970)
  - commit ead9897
* Thu May 23 2024 tiwai@suse.de
  - Bluetooth: btusb: Fix the patch for MT7920 the affected to
    MT7921 (bsc#1225068).
  - commit a0089e6
* Thu May 23 2024 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream references and resort:
    - patches.suse/ACPI-video-Add-backlight-native-quirk-for-Lenovo-Sli.patch
    - patches.suse/bus-mhi-host-add-mhi_power_down_no_destroy.patch
    - patches.suse/net-qrtr-support-suspend-hibernation.patch
    - patches.suse/wifi-ath11k-support-hibernation.patch
  - commit c7821e3
* Tue May 21 2024 tiwai@suse.de
  - drm/nouveau/disp: Fix missing backlight control on Macbook 5,1 (bsc#1223838).
  - drm/nouveau/disp: Fix missing backlight control on Macbook 5,
    1 (bsc#1223838).
  - commit db10868
* Tue May 21 2024 jslaby@suse.cz
  - btrfs: re-introduce 'norecovery' mount option (bsc#1222429).
  - commit e5b30a1
* Tue May 21 2024 jslaby@suse.cz
  - rpm/kernel-obs-build.spec.in: remove reiserfs from OBS initrd
    We disabled the FS in bug 1202309. And we actively blacklist it in:
    /usr/lib/modprobe.d/60-blacklist_fs-reiserfs.conf
    This, as a side-effect, fixes obs-build's warning:
    dracut-pre-udev[1463]: sh: line 1: /usr/lib/module-init-tools/unblacklist: No such file or directory
    Exactly due to the above 60-blacklist_fs-reiserfs.conf trying to call the
    above unblacklist.
    We should likely drop ext2+ext3 from the list too, as we don't build
    them at all. But that's a different story.
  - commit 9e1a078
* Tue May 21 2024 jslaby@suse.cz
  - Linux 6.9.1 (bsc#1012628).
  - wifi: mt76: mt7915: add missing chanctx ops (bsc#1012628).
  - keys: Fix overwrite of key expiration on instantiation
    (bsc#1012628).
  - dmaengine: idxd: add a write() method for applications to
    submit work (bsc#1012628).
  - dmaengine: idxd: add a new security check to deal with a
    hardware erratum (bsc#1012628).
  - VFIO: Add the SPR_DSA and SPR_IAX devices to the denylist
    (bsc#1012628).
  - commit 6d0f67e
* Fri May 17 2024 jslaby@suse.cz
  - Linux 6.9.1 (bsc#1012628).
  - wifi: mt76: mt7915: add missing chanctx ops (bsc#1012628).
  - keys: Fix overwrite of key expiration on instantiation
    (bsc#1012628).
  - dmaengine: idxd: add a write() method for applications to
    submit work (bsc#1012628).
  - dmaengine: idxd: add a new security check to deal with a
    hardware erratum (bsc#1012628).
  - VFIO: Add the SPR_DSA and SPR_IAX devices to the denylist
    (bsc#1012628).
  - commit 0c0b0b5
* Tue May 14 2024 jslaby@suse.cz
  - Revert "Update config files (boo#1224053)."
    This reverts commit 59423a933cb917b60a84fa090a2804997c95e450.
    See boo#1224053:
    Michal, please revert this patch. You've just disabled the kernel
    console entirely.
  - commit 553f7b7
* Tue May 14 2024 jslaby@suse.cz
  - ACPI: video: Add backlight=native quirk for Lenovo Slim 7 16ARH7
    (bsc#1217750).
  - commit 760002e
* Tue May 14 2024 jslaby@suse.cz
  - scripts/git_sort/git_sort.py: add rafael/linux-pm.git#linux-next to remotes
  - commit f265c28
* Tue May 14 2024 jslaby@suse.cz
  - Refresh
    patches.suse/Workaround-broken-chacha-crypto-fallback.patch.
  - Refresh
    patches.suse/e1000e-change-usleep_range-to-udelay-in-PHY-mdic-acc.patch.
  - Refresh
    patches.suse/ACPI-video-Add-backlight-native-quirk-for-Lenovo-Sli.patch.
  - Refresh
    patches.suse/ALSA-hda-realtek-Fix-conflicting-PCI-SSID-17aa-386f-.patch.
  - Refresh
    patches.suse/usb-Fix-regression-caused-by-invalid-ep0-maxpacket-i.patch.
    Update upstream status and move to sorted section.
  - commit dfc068d
* Tue May 14 2024 jslaby@suse.cz
  - bus: mhi: host: Add mhi_power_down_keep_dev() API to support
    system suspend/hibernation (bsc#1207948).
  - Refresh patches.suse/net-qrtr-support-suspend-hibernation.patch.
  - Refresh patches.suse/wifi-ath11k-support-hibernation.patch.
    Update to upstream versions (v7):
    https://lore.kernel.org/all/20240305021320.3367-1-quic_bqiang@quicinc.com/
    And move to sorted section.
  - commit 9e598bf
* Mon May 13 2024 msuchanek@suse.de
  - Update config files (boo#1224053).
    DRM_FBDEV_EMULATION=n
  - commit 59423a9
* Sun May 12 2024 mkubecek@suse.cz
  - update to 6.9 final
  - refresh configs
  - commit e4714c6
* Fri May 10 2024 msuchanek@suse.de
  - Update ppc64le config files (bsc#1223982).
    drop support for agpgart, there is no driver enabled
    drop extcon support, it is not used
    drop support for pinctrl drivers, these are not used
    drop support for i2c leds, timers, multiplexors, watchdogs, sensors, displays, HID - these are not used
    drop support for platform-specific DMA found on other platforms
    drop support for Freescale USB controller, it's not used
    drop support for DSA, it's not used
    drop regulater support, there are no regulators exposed
    drop support for random SoC bits, we do not support SoCs
    drop support for Intel QAT
    drop support for PATA
  - commit 92e64cf
* Thu May 09 2024 schwab@suse.de
  - config: riscv64: SERIAL_DEV_BUS=y
    This is needed for BT_HCIUART_BCM.
  - commit 25b9325
* Tue May 07 2024 tiwai@suse.de
  - ACPI: video: Add backlight=native quirk for Lenovo Slim 7 16ARH7
    (bsc#1217750).
  - commit dca0b95
* Tue May 07 2024 mkubecek@suse.cz
  - Update
    patches.kernel.org/6.8.2-636-rds-tcp-Fix-use-after-free-of-net-in-reqsk_time.patch
    references (add CVE-2024-26865 bsc#1223062).
  - commit eadd052
* Mon May 06 2024 mkubecek@suse.cz
  - Update
    patches.kernel.org/6.8.2-662-packet-annotate-data-races-around-ignore_outgoi.patch
    references (add CVE-2024-26862 bsc#1223111).
  - commit dc3978a
* Mon May 06 2024 jslaby@suse.cz
  - drm/amdgpu: fix doorbell regression (git-fixes).
  - commit aa245c7
* Mon May 06 2024 jslaby@suse.cz
  - Linux 6.8.9 (bsc#1012628).
  - Bluetooth: hci_sync: Fix UAF on hci_abort_conn_sync
    (bsc#1012628).
  - Bluetooth: hci_sync: Fix UAF on create_le_conn_complete
    (bsc#1012628).
  - Bluetooth: hci_sync: Fix UAF in hci_acl_create_conn_sync
    (bsc#1012628).
  - bounds: Use the right number of bits for power-of-two
    CONFIG_NR_CPUS (bsc#1012628).
  - phy: qcom: qmp-combo: fix VCO div offset on v5_5nm and v6
    (bsc#1012628).
  - i2c: smbus: fix NULL function pointer dereference (bsc#1012628).
  - RISC-V: selftests: cbo: Ensure asm operands match constraints,
    take 2 (bsc#1012628).
  - riscv: hwprobe: fix invalid sign extension for
    RISCV_HWPROBE_EXT_ZVFHMIN (bsc#1012628).
  - sched/eevdf: Prevent vlag from going out of bounds in
    reweight_eevdf() (bsc#1012628).
  - sched/eevdf: Fix miscalculation in reweight_entity() when se
    is not curr (bsc#1012628).
  - sched/eevdf: Always update V if se->on_rq when reweighting
    (bsc#1012628).
  - dt-bindings: eeprom: at24: Fix ST M24C64-D compatible schema
    (bsc#1012628).
  - phy: ti: tusb1210: Resolve charger-det crash if charger psy
    is unregistered (bsc#1012628).
  - riscv: Fix loading 64-bit NOMMU kernels past the start of RAM
    (bsc#1012628).
  - riscv: Fix TASK_SIZE on 64-bit NOMMU (bsc#1012628).
  - dmaengine: idxd: Fix oops during rmmod on single-CPU platforms
    (bsc#1012628).
  - dma: xilinx_dpdma: Fix locking (bsc#1012628).
  - dmaengine: idxd: Convert spinlock to mutex to lock evl workqueue
    (bsc#1012628).
  - phy: qcom: m31: match requested regulator name with dt schema
    (bsc#1012628).
  - phy: rockchip: naneng-combphy: Fix mux on rk3588 (bsc#1012628).
  - phy: rockchip-snps-pcie3: fix clearing PHP_GRF_PCIESEL_CON bits
    (bsc#1012628).
  - phy: rockchip-snps-pcie3: fix bifurcation on rk3588
    (bsc#1012628).
  - phy: freescale: imx8m-pcie: fix pcie link-up instability
    (bsc#1012628).
  - phy: marvell: a3700-comphy: Fix hardcoded array size
    (bsc#1012628).
  - phy: marvell: a3700-comphy: Fix out of bounds read
    (bsc#1012628).
  - soundwire: amd: fix for wake interrupt handling for clockstop
    mode (bsc#1012628).
  - idma64: Don't try to serve interrupts when device is powered
    off (bsc#1012628).
  - dmaengine: tegra186: Fix residual calculation (bsc#1012628).
  - dmaengine: owl: fix register access functions (bsc#1012628).
  - mm: zswap: fix shrinker NULL crash with cgroup_disable=memory
    (bsc#1012628).
  - mm: turn folio_test_hugetlb into a PageType (bsc#1012628).
  - phy: qcom: qmp-combo: Fix VCO div offset on v3 (bsc#1012628).
  - phy: qcom: qmp-combo: Fix register base for QSERDES_DP_PHY_MODE
    (bsc#1012628).
  - firmware: qcom: uefisecapp: Fix memory related IO errors and
    crashes (bsc#1012628).
  - mtd: diskonchip: work around ubsan link failure (bsc#1012628).
  - mtd: limit OTP NVMEM cell parse to non-NAND devices
    (bsc#1012628).
  - udp: preserve the connected status if only UDP cmsg
    (bsc#1012628).
  - fbdev: fix incorrect address computation in deferred IO
    (bsc#1012628).
  - stackdepot: respect __GFP_NOLOCKDEP allocation flag
    (bsc#1012628).
  - macsec: Detect if Rx skb is macsec-related for offloading
    devices that update md_dst (bsc#1012628).
  - macsec: Enable devices to advertise whether they update sk_buff
    md_dst during offloads (bsc#1012628).
  - net: b44: set pause params only when interface is up
    (bsc#1012628).
  - ethernet: Add helper for assigning packet type when dest
    address does not match device address (bsc#1012628).
  - net/mlx5e: Advertise mlx5 ethernet driver updates sk_buff
    md_dst for MACsec (bsc#1012628).
  - ACPI: CPPC: Fix access width used for PCC registers
    (bsc#1012628).
  - ACPI: CPPC: Fix bit_offset shift in MASK_VAL() macro
    (bsc#1012628).
  - ACPI: CPPC: Use access_width over bit_width for system memory
    accesses (bsc#1012628).
  - irqchip/gic-v3-its: Prevent double free on error (bsc#1012628).
  - drm/amdkfd: Fix eviction fence handling (bsc#1012628).
  - drm/amdkfd: Fix rescheduling of restore worker (bsc#1012628).
  - drm/amdgpu: Fix leak when GPU memory allocation fails
    (bsc#1012628).
  - drm/amdgpu/umsch: don't execute umsch test when GPU is in
    reset/suspend (bsc#1012628).
  - drm/amdgpu/pm: Remove gpu_od if it's an empty directory
    (bsc#1012628).
  - drm/atomic-helper: fix parameter order in
    drm_format_conv_state_copy() call (bsc#1012628).
  - drm/amdgpu: Assign correct bits for SDMA HDP flush
    (bsc#1012628).
  - drm/amdgpu/sdma5.2: use legacy HDP flush for SDMA2/3
    (bsc#1012628).
  - dmaengine: xilinx: xdma: Fix synchronization issue
    (bsc#1012628).
  - dmaengine: xilinx: xdma: Fix wrong offsets in the buffers
    addresses in dma descriptor (bsc#1012628).
  - dmaengine: Revert "dmaengine: pl330: issue_pending waits until
    WFP state" (bsc#1012628).
  - arm64: dts: rockchip: enable internal pull-up for Q7_THRM#
    on RK3399 Puma (bsc#1012628).
  - arm64: dts: qcom: sm8450: Fix the msi-map entries (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: add missing PCIe minimum OPP
    (bsc#1012628).
  - LoongArch: Fix access error when read fault on a write-only VMA
    (bsc#1012628).
  - LoongArch: Fix callchain parse error with kernel tracepoint
    events (bsc#1012628).
  - eeprom: at24: fix memory corruption race condition
    (bsc#1012628).
  - cpu: Re-enable CPU mitigations by default for !X86 architectures
    (bsc#1012628).
  - Update config files.
  - x86/tdx: Preserve shared bit on mprotect() (bsc#1012628).
  - btrfs: fix information leak in btrfs_ioctl_logical_to_ino()
    (bsc#1012628).
  - btrfs: scrub: run relocation repair when/only needed
    (bsc#1012628).
  - btrfs: fix wrong block_start calculation for
    btrfs_drop_extent_map_range() (bsc#1012628).
  - btrfs: fallback if compressed IO fails for ENOSPC (bsc#1012628).
  - HID: i2c-hid: Revert to await reset ACK before reading report
    descriptor (bsc#1012628).
  - HID: i2c-hid: remove I2C_HID_READ_PENDING flag to prevent
    lock-up (bsc#1012628).
  - smb3: fix lock ordering potential deadlock in
    cifs_sync_mid_result (bsc#1012628).
  - smb3: missing lock when picking channel (bsc#1012628).
  - smb: client: Fix struct_group() usage in __packed structs
    (bsc#1012628).
  - mm/hugetlb: fix DEBUG_LOCKS_WARN_ON(1) when
    dissolve_free_hugetlb_folio() (bsc#1012628).
  - mm: support page_mapcount() on page_has_type() pages
    (bsc#1012628).
  - mm: create FOLIO_FLAG_FALSE and FOLIO_TYPE_OPS macros
    (bsc#1012628).
  - mmc: sdhci-of-dwcmshc: th1520: Increase tuning loop count to
    128 (bsc#1012628).
  - mmc: sdhci-msm: pervent access to suspended controller
    (bsc#1012628).
  - mm/hugetlb: fix missing hugetlb_lock for resv uncharge
    (bsc#1012628).
  - mtd: rawnand: qcom: Fix broken OP_RESET_DEVICE command in
    qcom_misc_cmd_type_exec() (bsc#1012628).
  - Bluetooth: qca: fix NULL-deref on non-serdev setup
    (bsc#1012628).
  - Bluetooth: qca: fix NULL-deref on non-serdev suspend
    (bsc#1012628).
  - Bluetooth: btusb: Add Realtek RTL8852BE support ID 0x0bda:0x4853
    (bsc#1012628).
  - Bluetooth: Fix type of len in {l2cap,sco}_sock_getsockopt_old()
    (bsc#1012628).
  - rust: remove `params` from `module` macro example (bsc#1012628).
  - kbuild: rust: force `alloc` extern to allow "empty" Rust files
    (bsc#1012628).
  - kbuild: rust: remove unneeded `@rustc_cfg` to avoid ICE
    (bsc#1012628).
  - rust: make mutually exclusive with CFI_CLANG (bsc#1012628).
  - rust: init: remove impl Zeroable for Infallible (bsc#1012628).
  - rust: don't select CONSTRUCTORS (bsc#1012628).
  - rust: kernel: require `Send` for `Module` implementations
    (bsc#1012628).
  - rust: phy: implement `Send` for `Registration` (bsc#1012628).
  - x86/cpu: Fix check for RDPKRU in __show_regs() (bsc#1012628).
  - x86/CPU/AMD: Add models 0x10-0x1f to the Zen5 range
    (bsc#1012628).
  - selftests/seccomp: Handle EINVAL on unshare(CLONE_NEWPID)
    (bsc#1012628).
  - selftests/seccomp: Change the syscall used in KILL_THREAD test
    (bsc#1012628).
  - selftests/seccomp: user_notification_addfd check nextfd is
    available (bsc#1012628).
  - drm/amdgpu: fix visible VRAM handling during faults
    (bsc#1012628).
  - drm/amdgpu: add shared fdinfo stats (bsc#1012628).
  - drm: add drm_gem_object_is_shared_for_memory_stats() helper
    (bsc#1012628).
  - KVM: x86/pmu: Set enable bits for GP counters in
    PERF_GLOBAL_CTRL at "RESET" (bsc#1012628).
  - KVM: x86/pmu: Zero out PMU metadata on AMD if PMU is disabled
    (bsc#1012628).
  - usb: xhci: correct return value in case of STS_HCE
    (bsc#1012628).
  - xhci: move event processing for one interrupter to a separate
    function (bsc#1012628).
  - Revert "drm/amd/display: fix USB-C flag update after enc10
    feature init" (bsc#1012628).
  - drm/amd/display: Check DP Alt mode DPCS state via DMUB
    (bsc#1012628).
  - netfs: Fix the pre-flush when appending to a file in
    writethrough mode (bsc#1012628).
  - af_unix: Suppress false-positive lockdep splat for spin_lock()
    in __unix_gc() (bsc#1012628).
  - tls: fix lockless read of strp->msg_ready in ->poll
    (bsc#1012628).
  - dpll: fix dpll_pin_on_pin_register() for multiple parent pins
    (bsc#1012628).
  - dpll: check that pin is registered in __dpll_pin_unregister()
    (bsc#1012628).
  - octeontx2-af: fix the double free in rvu_npc_freemem()
    (bsc#1012628).
  - net: ethernet: ti: am65-cpts: Fix PTPv1 message type on TX
    packets (bsc#1012628).
  - ice: fix LAG and VF lock dependency in ice_reset_vf()
    (bsc#1012628).
  - iavf: Fix TC config comparison with existing adapter TC config
    (bsc#1012628).
  - i40e: Report MFS in decimal base instead of hex (bsc#1012628).
  - i40e: Do not use WQ_MEM_RECLAIM flag for workqueue
    (bsc#1012628).
  - net: ti: icssg-prueth: Fix signedness bug in
    prueth_init_rx_chns() (bsc#1012628).
  - net: phy: dp83869: Fix MII mode failure (bsc#1012628).
  - netfilter: nf_tables: honor table dormant flag from netdev
    release event path (bsc#1012628).
  - ARM: dts: imx6ull-tarragon: fix USB over-current polarity
    (bsc#1012628).
  - eth: bnxt: fix counting packets discarded due to OOM and netpoll
    (bsc#1012628).
  - mlxsw: spectrum_acl_tcam: Fix memory leak when canceling rehash
    work (bsc#1012628).
  - mlxsw: spectrum_acl_tcam: Fix incorrect list API usage
    (bsc#1012628).
  - mlxsw: spectrum_acl_tcam: Fix warning during rehash
    (bsc#1012628).
  - mlxsw: spectrum_acl_tcam: Fix memory leak during rehash
    (bsc#1012628).
  - mlxsw: spectrum_acl_tcam: Rate limit error message
    (bsc#1012628).
  - mlxsw: spectrum_acl_tcam: Fix possible use-after-free during
    rehash (bsc#1012628).
  - mlxsw: spectrum_acl_tcam: Fix possible use-after-free during
    activity update (bsc#1012628).
  - mlxsw: spectrum_acl_tcam: Fix race during rehash delayed work
    (bsc#1012628).
  - mlxsw: spectrum_acl_tcam: Fix race in region ID allocation
    (bsc#1012628).
  - mlxsw: Use refcount_t for reference counting (bsc#1012628).
  - net: openvswitch: Fix Use-After-Free in ovs_ct_exit
    (bsc#1012628).
  - ipvs: Fix checksumming on GSO of SCTP packets (bsc#1012628).
  - Bluetooth: qca: set power_ctrl_enabled on NULL returned by
    gpiod_get_optional() (bsc#1012628).
  - Bluetooth: hci_sync: Using hci_cmd_sync_submit when removing
    Adv Monitor (bsc#1012628).
  - Bluetooth: btusb: mediatek: Fix double free of skb in coredump
    (bsc#1012628).
  - Bluetooth: MGMT: Fix failing to
    MGMT_OP_ADD_UUID/MGMT_OP_REMOVE_UUID (bsc#1012628).
  - Bluetooth: hci_event: Fix sending HCI_OP_READ_ENC_KEY_SIZE
    (bsc#1012628).
  - Bluetooth: btusb: Fix triggering coredump implementation for
    QCA (bsc#1012628).
  - Bluetooth: hci_sync: Use advertised PHYs on
    hci_le_ext_create_conn_sync (bsc#1012628).
  - Bluetooth: ISO: Reassemble PA data for bcast sink (bsc#1012628).
  - Bluetooth: hci_sync: Attempt to dequeue connection attempt
    (bsc#1012628).
  - Bluetooth: hci_sync: Add helper functions to manipulate cmd_sync
    queue (bsc#1012628).
  - Bluetooth: hci_conn: Fix UAF Write in
    __hci_acl_create_connection_sync (bsc#1012628).
  - Bluetooth: hci_conn: Always use sk_timeo as conn_timeout
    (bsc#1012628).
  - Bluetooth: Remove pending ACL connection attempts (bsc#1012628).
  - Bluetooth: hci_conn: Only do ACL connections sequentially
    (bsc#1012628).
  - Bluetooth: hci_event: Use HCI error defines instead of magic
    values (bsc#1012628).
  - drm/xe: call free_gsc_pkt only once on action add failure
    (bsc#1012628).
  - drm/xe: Remove sysfs only once on action add failure
    (bsc#1012628).
  - gpio: tegra186: Fix tegra186_gpio_is_accessible() check
    (bsc#1012628).
  - net: phy: mediatek-ge-soc: follow netdev LED trigger semantics
    (bsc#1012628).
  - net: gtp: Fix Use-After-Free in gtp_dellink (bsc#1012628).
  - tcp: Fix Use-After-Free in tcp_ao_connect_init (bsc#1012628).
  - net: usb: ax88179_178a: stop lying about skb->truesize
    (bsc#1012628).
  - ipv4: check for NULL idev in ip_route_use_hint() (bsc#1012628).
  - net: fix sk_memory_allocated_{add|sub} vs softirqs
    (bsc#1012628).
  - net: make SK_MEMORY_PCPU_RESERV tunable (bsc#1012628).
  - tools: ynl: don't ignore errors in NLMSG_DONE messages
    (bsc#1012628).
  - soc: mediatek: mtk-svs: Append "-thermal" to thermal zone names
    (bsc#1012628).
  - ax25: Fix netdev refcount issue (bsc#1012628).
  - netfs: Fix writethrough-mode error handling (bsc#1012628).
  - NFC: trf7970a: disable all regulators on removal (bsc#1012628).
  - net: dsa: mv88e6xx: fix supported_interfaces setup in
    mv88e6250_phylink_get_caps() (bsc#1012628).
  - cxl/core: Fix potential payload size confusion in
    cxl_mem_get_poison() (bsc#1012628).
  - bnxt_en: Fix error recovery for 5760X (P7) chips (bsc#1012628).
  - bnxt_en: Fix the PCI-AER routines (bsc#1012628).
  - bnxt_en: refactor reset close code (bsc#1012628).
  - bridge/br_netlink.c: no need to return void function
    (bsc#1012628).
  - icmp: prevent possible NULL dereferences from icmp_build_probe()
    (bsc#1012628).
  - ARM: dts: microchip: at91-sama7g5ek: Replace
    regulator-suspend-voltage with the valid property (bsc#1012628).
  - mlxsw: pci: Fix driver initialization with old firmware
    (bsc#1012628).
  - mlxsw: core_env: Fix driver initialization with old firmware
    (bsc#1012628).
  - mlxsw: core: Unregister EMAD trap using FORWARD action
    (bsc#1012628).
  - net: bcmasp: fix memory leak when bringing down interface
    (bsc#1012628).
  - vxlan: drop packets from invalid src-address (bsc#1012628).
  - net: libwx: fix alloc msix vectors failed (bsc#1012628).
  - wifi: mac80211: fix unaligned le16 access (bsc#1012628).
  - wifi: mac80211: remove link before AP (bsc#1012628).
  - wifi: mac80211_hwsim: init peer measurement result
    (bsc#1012628).
  - drm/gma500: Remove lid code (bsc#1012628).
  - wifi: iwlwifi: mvm: return uid from iwl_mvm_build_scan_cmd
    (bsc#1012628).
  - wifi: iwlwifi: mvm: remove old PASN station when adding a new
    one (bsc#1012628).
  - wifi: mac80211: split mesh fast tx cache into
    local/proxied/forwarded (bsc#1012628).
  - wifi: mac80211: clean up assignments to pointer cache
    (bsc#1012628).
  - ARC: [plat-hsdk]: Remove misplaced interrupt-cells property
    (bsc#1012628).
  - gpio: tangier: Use correct type for the IRQ chip data
    (bsc#1012628).
  - arm64: dts: qcom: sc8180x: Fix ss_phy_irq for secondary USB
    controller (bsc#1012628).
  - arm64: dts: qcom: x1e80100: Fix the compatible for cluster
    idle states (bsc#1012628).
  - arm64: dts: qcom: Fix type of "wdog" IRQs for remoteprocs
    (bsc#1012628).
  - block: fix module reference leakage from bdev_open_by_dev
    error path (bsc#1012628).
  - arm64: dts: rockchip: regulator for sd needs to be always on
    for BPI-R2Pro (bsc#1012628).
  - arm64: dts: rockchip: mark system power controller and fix
    typo on orangepi-5-plus (bsc#1012628).
  - arm64: dts: mediatek: mt2712: fix validation errors
    (bsc#1012628).
  - arm64: dts: mediatek: mt7986: prefix BPI-R3 cooling maps with
    "map-" (bsc#1012628).
  - arm64: dts: mediatek: mt7986: drop invalid thermal block clock
    (bsc#1012628).
  - arm64: dts: mediatek: mt7986: reorder nodes (bsc#1012628).
  - arm64: dts: mediatek: mt7986: drop "#reset-cells" from Ethernet
    controller (bsc#1012628).
  - arm64: dts: mediatek: mt7986: drop invalid properties from
    ethsys (bsc#1012628).
  - arm64: dts: mediatek: mt7986: reorder properties (bsc#1012628).
  - arm64: dts: mediatek: mt7622: drop "reset-names" from thermal
    block (bsc#1012628).
  - arm64: dts: mediatek: mt7622: fix ethernet controller
    "compatible" (bsc#1012628).
  - arm64: dts: mediatek: mt7622: fix IR nodename (bsc#1012628).
  - arm64: dts: mediatek: mt7622: fix clock controllers
    (bsc#1012628).
  - arm64: dts: mediatek: mt8183-kukui: Use default min voltage
    for MT6358 (bsc#1012628).
  - arm64: dts: mediatek: mt8195-cherry: Update min voltage
    constraint for MT6315 (bsc#1012628).
  - arm64: dts: mediatek: mt8192-asurada: Update min voltage
    constraint for MT6315 (bsc#1012628).
  - arm64: dts: mediatek: cherry: Describe CPU supplies
    (bsc#1012628).
  - arm64: dts: mediatek: mt8195: Add missing gce-client-reg to
    mutex1 (bsc#1012628).
  - arm64: dts: mediatek: mt8195: Add missing gce-client-reg to
    mutex (bsc#1012628).
  - arm64: dts: mediatek: mt8195: Add missing gce-client-reg to
    vpp/vdosys (bsc#1012628).
  - arm64: dts: mediatek: mt8192: Add missing gce-client-reg to
    mutex (bsc#1012628).
  - arm64: dts: mediatek: mt8183: Add power-domains properity to
    mfgcfg (bsc#1012628).
  - arm64: dts: rockchip: Remove unsupported node from the Pinebook
    Pro dts (bsc#1012628).
  - arm64: dts: rockchip: Fix the i2c address of es8316 on Cool
    Pi CM5 (bsc#1012628).
  - arm64: dts: rockchip: enable internal pull-up on PCIE_WAKE#
    for RK3399 Puma (bsc#1012628).
  - arm64: dts: rockchip: fix alphabetical ordering RK3399 puma
    (bsc#1012628).
  - arm64: dts: rockchip: enable internal pull-up on Q7_USB_ID
    for RK3399 Puma (bsc#1012628).
  - arm64: dts: rockchip: set PHY address of MT7531 switch to 0x1f
    (bsc#1012628).
  - HID: logitech-dj: allow mice to use all types of reports
    (bsc#1012628).
  - HID: intel-ish-hid: ipc: Fix dev_err usage with uninitialized
    dev->devc (bsc#1012628).
  - cifs: reinstate original behavior again for forceuid/forcegid
    (bsc#1012628).
  - smb: client: fix rename(2) regression against samba
    (bsc#1012628).
  - cifs: Fix reacquisition of volume cookie on still-live
    connection (bsc#1012628).
  - commit 4bb6fc6
* Sun May 05 2024 mkubecek@suse.cz
  - update to 6.9-rc7
  - update configs
    - DRM_PANEL_ILITEK_ILI9341=n (x86_64)
  - commit df64d6f
* Tue Apr 30 2024 tiwai@suse.de
  - ALSA: hda/realtek: Fix conflicting PCI SSID 17aa:386f for
    Lenovo Legion models (bsc#1223462).
  - commit 12d1ee1
* Tue Apr 30 2024 tiwai@suse.de
  - usb: Fix regression caused by invalid ep0 maxpacket in virtual
    SuperSpeed device (bsc#1220569).
  - commit b2a1bf4
* Tue Apr 30 2024 jslaby@suse.cz
  - e1000e: change usleep_range to udelay in PHY mdic access
    (bsc#1223109).
  - commit e7fcfd8
* Mon Apr 29 2024 jslaby@suse.cz
  - Linux 6.8.8 (bsc#1012628).
  - thunderbolt: Reset only non-USB4 host routers in resume
    (bsc#1012628).
  - ksmbd: common: use struct_group_attr instead of struct_group
    for network_open_info (bsc#1012628).
  - ksmbd: clear RENAME_NOREPLACE before calling vfs_rename
    (bsc#1012628).
  - ksmbd: validate request buffer size in smb2_allocate_rsp_buf()
    (bsc#1012628).
  - ksmbd: fix slab-out-of-bounds in smb2_allocate_rsp_buf
    (bsc#1012628).
  - net: dsa: mt7530: fix enabling EEE on MT7531 switch on all
    boards (bsc#1012628).
  - net: dsa: mt7530: fix improper frames on all 25MHz and 40MHz
    XTAL MT7530 (bsc#1012628).
  - fork: defer linking file vma until vma is fully initialized
    (bsc#1012628).
  - nilfs2: fix OOB in nilfs_set_de_type (bsc#1012628).
  - Squashfs: check the inode number is not the invalid value of
    zero (bsc#1012628).
  - bootconfig: use memblock_free_late to free xbc memory to buddy
    (bsc#1012628).
  - nouveau: fix instmem race condition around ptr stores
    (bsc#1012628).
  - drm/vmwgfx: Fix crtc's atomic check conditional (bsc#1012628).
  - drm/vmwgfx: Sort primary plane formats by order of preference
    (bsc#1012628).
  - drm/vmwgfx: Fix prime import/export (bsc#1012628).
  - drm/xe: Fix bo leak in intel_fb_bo_framebuffer_init
    (bsc#1012628).
  - drm/ttm: stop pooling cached NUMA pages v2 (bsc#1012628).
  - drm/amdgpu: remove invalid resource->start check v2
    (bsc#1012628).
  - drm/amdkfd: Fix memory leak in create_process failure
    (bsc#1012628).
  - drm/amdgpu: validate the parameters of bo mapping operations
    more clearly (bsc#1012628).
  - fuse: fix leaked ENOSYS error on first statx call (bsc#1012628).
  - mm/shmem: inline shmem_is_huge() for disabled transparent
    hugepages (bsc#1012628).
  - mm/memory-failure: fix deadlock when hugetlb_optimize_vmemmap
    is enabled (bsc#1012628).
  - mm,swapops: update check in is_pfn_swap_entry for hwpoison
    entries (bsc#1012628).
  - mm/userfaultfd: allow hugetlb change protection upon poison
    entry (bsc#1012628).
  - mm/madvise: make MADV_POPULATE_(READ|WRITE) handle
    VM_FAULT_RETRY properly (bsc#1012628).
  - init/main.c: Fix potential static_command_line memory overflow
    (bsc#1012628).
  - arm64: hibernate: Fix level3 translation fault in swsusp_save()
    (bsc#1012628).
  - arm64/head: Disable MMU at EL2 before clearing HCR_EL2.E2H
    (bsc#1012628).
  - KVM: x86/mmu: Write-protect L2 SPTEs in TDP MMU when clearing
    dirty status (bsc#1012628).
  - KVM: x86/mmu: x86: Don't overflow lpage_info when checking
    attributes (bsc#1012628).
  - KVM: x86/pmu: Do not mask LVTPC when handling a PMI on AMD
    platforms (bsc#1012628).
  - KVM: x86/pmu: Disable support for adaptive PEBS (bsc#1012628).
  - KVM: x86: Snapshot if a vCPU's vendor model is AMD vs. Intel
    compatible (bsc#1012628).
  - sched: Add missing memory barrier in switch_mm_cid
    (bsc#1012628).
  - fs: sysfs: Fix reference leak in sysfs_break_active_protection()
    (bsc#1012628).
  - speakup: Avoid crash on very long word (bsc#1012628).
  - mei: me: disable RPL-S on SPS and IGN firmwares (bsc#1012628).
  - mei: vsc: Unregister interrupt handler for system suspend
    (bsc#1012628).
  - usb: typec: tcpm: Correct the PDO counting in pd_set
    (bsc#1012628).
  - usb: gadget: f_ncm: Fix UAF ncm object at re-bind after usb
    ep transport error (bsc#1012628).
  - usb: Disable USB3 LPM at shutdown (bsc#1012628).
  - usb: dwc2: host: Fix dereference issue in DDMA completion flow
    (bsc#1012628).
  - Revert "mei: vsc: Call wake_up() in the threaded IRQ handler"
    (bsc#1012628).
  - Revert "usb: cdc-wdm: close race between read and workqueue"
    (bsc#1012628).
  - USB: serial: option: add Telit FN920C04 rmnet compositions
    (bsc#1012628).
  - USB: serial: option: add Rolling RW101-GL and RW135-GL support
    (bsc#1012628).
  - USB: serial: option: support Quectel EM060K sub-models
    (bsc#1012628).
  - USB: serial: option: add Lonsung U8300/U9300 product
    (bsc#1012628).
  - USB: serial: option: add support for Fibocom FM650/FG650
    (bsc#1012628).
  - USB: serial: option: add Fibocom FM135-GL variants
    (bsc#1012628).
  - serial: core: Fix missing shutdown and startup for serial base
    port (bsc#1012628).
  - serial: core: Clearing the circular buffer before NULLifying it
    (bsc#1012628).
  - serial: core: Fix regression when runtime PM is not enabled
    (bsc#1012628).
  - serial: stm32: Reset .throttled state in .startup()
    (bsc#1012628).
  - serial: stm32: Return IRQ_NONE in the ISR if no handling happend
    (bsc#1012628).
  - serial: 8250_dw: Revert: Do not reclock if already at correct
    rate (bsc#1012628).
  - serial/pmac_zilog: Remove flawed mitigation for rx irq flood
    (bsc#1012628).
  - serial: mxs-auart: add spinlock around changing cts state
    (bsc#1012628).
  - comedi: vmk80xx: fix incomplete endpoint checking (bsc#1012628).
  - thunderbolt: Do not create DisplayPort tunnels on adapters of
    the same router (bsc#1012628).
  - thunderbolt: Fix wake configurations after device unplug
    (bsc#1012628).
  - thunderbolt: Avoid notify PM core about runtime PM resume
    (bsc#1012628).
  - binder: check offset alignment in binder_get_object()
    (bsc#1012628).
  - misc: rtsx: Fix rts5264 driver status incorrect when card
    removed (bsc#1012628).
  - usb: misc: onboard_usb_hub: Disable the USB hub clock on failure
    (bsc#1012628).
  - ALSA: hda/realtek - Enable audio jacks of Haier Boyue G42 with
    ALC269VC (bsc#1012628).
  - ALSA: hda/realtek: Add quirks for Huawei Matebook D14 NBLB-WAX9N
    (bsc#1012628).
  - ALSA: hda/tas2781: Add new vendor_id and subsystem_id to
    support ThinkPad ICE-1 (bsc#1012628).
  - ALSA: hda/tas2781: correct the register for pow calibrated data
    (bsc#1012628).
  - ALSA: seq: ump: Fix conversion from MIDI2 to MIDI1 UMP messages
    (bsc#1012628).
  - net/mlx5: E-switch, store eswitch pointer before registering
    devlink_param (bsc#1012628).
  - block: propagate partition scanning errors to the BLKRRPART
    ioctl (bsc#1012628).
  - x86/cpufeatures: Fix dependencies for GFNI, VAES, and VPCLMULQDQ
    (bsc#1012628).
  - x86/bugs: Fix BHI retpoline check (bsc#1012628).
  - selftests/powerpc/papr-vpd: Fix missing variable initialization
    (bsc#1012628).
  - clk: mediatek: mt7988-infracfg: fix clocks for 2nd PCIe port
    (bsc#1012628).
  - clk: mediatek: Do a runtime PM get on controllers during probe
    (bsc#1012628).
  - clk: Get runtime PM before walking tree for clk_summary
    (bsc#1012628).
  - clk: Get runtime PM before walking tree during disable_unused
    (bsc#1012628).
  - clk: Initialize struct clk_core kref earlier (bsc#1012628).
  - clk: Remove prepare_lock hold assertion in __clk_release()
    (bsc#1012628).
  - interconnect: Don't access req_list while it's being manipulated
    (bsc#1012628).
  - interconnect: qcom: x1e80100: Remove inexistent ACV_PERF BCM
    (bsc#1012628).
  - platform/x86/amd/pmc: Extend Framework 13 quirk to more BIOSes
    (bsc#1012628).
  - thermal/debugfs: Add missing count increment to
    thermal_debug_tz_trip_up() (bsc#1012628).
  - ALSA: hda/realtek: Fix volumn control of ThinkBook 16P Gen4
    (bsc#1012628).
  - drm/radeon: make -fstrict-flex-arrays=3 happy (bsc#1012628).
  - drm/panel: visionox-rm69299: don't unregister DSI device
    (bsc#1012628).
  - thunderbolt: Reset topology created by the boot firmware
    (bsc#1012628).
  - thunderbolt: Make tb_switch_reset() support Thunderbolt 2,
    3 and USB4 routers (bsc#1012628).
  - thunderbolt: Introduce tb_path_deactivate_hop() (bsc#1012628).
  - thunderbolt: Introduce tb_port_reset() (bsc#1012628).
  - userfaultfd: change src_folio after ensuring it's unpinned in
    UFFDIO_MOVE (bsc#1012628).
  - drm/v3d: Don't increment `enabled_ns` twice (bsc#1012628).
  - drm: nv04: Fix out of bounds access (bsc#1012628).
  - iommufd: Add config needed for iommufd_fail_nth (bsc#1012628).
  - iommufd: Add missing IOMMUFD_DRIVER kconfig for the selftest
    (bsc#1012628).
  - s390/cio: fix race condition during online processing
    (bsc#1012628).
  - s390/qdio: handle deferred cc1 (bsc#1012628).
  - perf lock contention: Add a missing NULL check (bsc#1012628).
  - perf annotate: Make sure to call symbol__annotate2() in TUI
    (bsc#1012628).
  - RDMA/mlx5: Fix port number for counter query in multi-port
    configuration (bsc#1012628).
  - RDMA/cm: Print the old state when cm_destroy_id gets timeout
    (bsc#1012628).
  - RDMA/rxe: Fix the problem "mutex_destroy missing" (bsc#1012628).
  - NFSD: fix endianness issue in nfsd4_encode_fattr4 (bsc#1012628).
  - net: ethernet: ti: am65-cpsw-nuss: cleanup DMA Channels before
    using them (bsc#1012628).
  - net: ravb: Allow RX loop to move past DMA mapping errors
    (bsc#1012628).
  - net: ravb: Count packets instead of descriptors in R-Car RX path
    (bsc#1012628).
  - ravb: Group descriptor types used in Rx ring (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix WED + wifi reset (bsc#1012628).
  - net/sched: Fix mirred deadlock on device recursion
    (bsc#1012628).
  - netfilter: nf_tables: fix memleak in map from abort path
    (bsc#1012628).
  - gpiolib: swnode: Remove wrong header inclusion (bsc#1012628).
  - netfilter: nf_tables: restore set elements when delete set fails
    (bsc#1012628).
  - netfilter: nf_tables: missing iterator type in lookup walk
    (bsc#1012628).
  - s390/ism: Properly fix receive message buffer allocation
    (bsc#1012628).
  - net: dsa: mt7530: fix port mirroring for MT7988 SoC switch
    (bsc#1012628).
  - net: dsa: mt7530: fix mirroring frames received on local port
    (bsc#1012628).
  - tun: limit printing rate when illegal packet received by tun
    dev (bsc#1012628).
  - ice: Fix checking for unsupported keys on non-tunnel device
    (bsc#1012628).
  - ice: tc: allow zero flags in parsing tc flower (bsc#1012628).
  - ice: tc: check src_vsi in case of traffic from VF (bsc#1012628).
  - net: stmmac: Fix IP-cores specific MAC capabilities
    (bsc#1012628).
  - net: stmmac: Fix max-speed being ignored on queue re-init
    (bsc#1012628).
  - net: stmmac: Apply half-duplex-less constraint for DW QoS Eth
    only (bsc#1012628).
  - selftests/tcp_ao: Printing fixes to confirm with format-security
    (bsc#1012628).
  - selftests/tcp_ao: Fix fscanf() call for format-security
    (bsc#1012628).
  - selftests/tcp_ao: Zero-init tcp_ao_info_opt (bsc#1012628).
  - selftests/tcp_ao: Make RST tests less flaky (bsc#1012628).
  - octeontx2-pf: fix FLOW_DIS_IS_FRAGMENT implementation
    (bsc#1012628).
  - net: change maximum number of UDP segments to 128 (bsc#1012628).
  - net/mlx5e: Prevent deadlock while disabling aRFS (bsc#1012628).
  - net/mlx5: Restore mistakenly dropped parts in register devlink
    flow (bsc#1012628).
  - net/mlx5: Lag, restore buckets number to default after hash
    LAG deactivation (bsc#1012628).
  - net: sparx5: flower: fix fragment flags handling (bsc#1012628).
  - af_unix: Don't peek OOB data without MSG_OOB (bsc#1012628).
  - af_unix: Call manage_oob() for every skb in
    unix_stream_read_generic() (bsc#1012628).
  - netfilter: flowtable: incorrect pppoe tuple (bsc#1012628).
  - netfilter: flowtable: validate pppoe header (bsc#1012628).
  - netfilter: nft_set_pipapo: do not free live element
    (bsc#1012628).
  - netfilter: nft_set_pipapo: walk over current view on netlink
    dump (bsc#1012628).
  - netfilter: nft_set_pipapo: constify lookup fn args where
    possible (bsc#1012628).
  - netfilter: br_netfilter: skip conntrack input hook for promisc
    packets (bsc#1012628).
  - netfilter: nf_tables: Fix potential data-race in
    __nft_obj_type_get() (bsc#1012628).
  - netfilter: nf_tables: Fix potential data-race in
    __nft_expr_type_get() (bsc#1012628).
  - scsi: ufs: qcom: Add missing interconnect bandwidth values
    for Gear 5 (bsc#1012628).
  - r8169: add missing conditional compiling for call to
    r8169_remove_leds (bsc#1012628).
  - r8169: fix LED-related deadlock on module removal (bsc#1012628).
  - btrfs: zoned: do not flag ZEROOUT on non-dirty extent buffer
    (bsc#1012628).
  - btrfs: do not wait for short bulk allocation (bsc#1012628).
  - net: usb: ax88179_178a: avoid writing the mac address before
    first reading (bsc#1012628).
  - scsi: core: Fix handling of SCMD_FAIL_IF_RECOVERING
    (bsc#1012628).
  - random: handle creditable entropy from atomic process context
    (bsc#1012628).
  - selftests/ftrace: Limit length in subsystem-enable tests
    (bsc#1012628).
  - SUNRPC: Fix rpcgss_context trace event acceptor field
    (bsc#1012628).
  - Revert "vmgenid: emit uevent when VMGENID updates"
    (bsc#1012628).
  - drm/i915/cdclk: Fix voltage_level programming edge case
    (bsc#1012628).
  - io_uring: Fix io_cqring_wait() not restoring sigmask on
    get_timespec64() failure (bsc#1012628).
  - commit 9d04f0e
* Sun Apr 28 2024 mkubecek@suse.cz
  - update to 6.9-rc6
  - update configs
    - CPU_MITIGATIONS=y (x86)
    - NTFS_FS=m (except s390x/zfcpdump)
    - ERRATA_THEAD_MAE=y (riscv64)
  - commit 5967f99
* Thu Apr 25 2024 jslaby@suse.cz
  - bootconfig: Fix the kerneldoc of _xbc_exit() (git-fixes).
  - commit 5cd3298
* Sun Apr 21 2024 mkubecek@suse.cz
  - update to 6.9-rc5
  - eliminate 1 patch
    - patches.suse/Workaround-broken-chacha-crypto-fallback.patch (69630926011c)
  - commit 7ee1174
* Fri Apr 19 2024 jslaby@suse.cz
  - Update config files. Disable N_GSM (bsc#1223134).
  - commit bbf9614
* Wed Apr 17 2024 jslaby@suse.cz
  - Linux 6.8.7 (bsc#1012628).
  - drm/amd/display: fix disable otg wa logic in DCN316
    (bsc#1012628).
  - drm/amd/display: always reset ODM mode in context when adding
    first plane (bsc#1012628).
  - drm/amd/display: Return max resolution supported by DWB
    (bsc#1012628).
  - drm/amd/display: Do not recursively call manual trigger
    programming (bsc#1012628).
  - drm/amd/display: Set VSC SDP Colorimetry same way for MST and
    SST (bsc#1012628).
  - drm/amd/display: Program VSC SDP colorimetry for all DP sinks >=
    1.4 (bsc#1012628).
  - drm/amdgpu: differentiate external rev id for gfx 11.5.0
    (bsc#1012628).
  - drm/amdgpu: fix incorrect number of active RBs for gfx11
    (bsc#1012628).
  - drm/amdgpu: always force full reset for SOC21 (bsc#1012628).
  - drm/amdgpu: Reset dGPU if suspend got aborted (bsc#1012628).
  - drm/i915: Disable live M/N updates when using bigjoiner
    (bsc#1012628).
  - drm/i915: Disable port sync when bigjoiner is used
    (bsc#1012628).
  - drm/i915/psr: Disable PSR when bigjoiner is used (bsc#1012628).
  - drm/i915/cdclk: Fix CDCLK programming order when pipes are
    active (bsc#1012628).
  - commit a2ed3b5
* Wed Apr 17 2024 jslaby@suse.cz
  -  x86/bugs: Replace CONFIG_SPECTRE_BHI_{ON,OFF} with
    CONFIG_MITIGATION_SPECTRE_BHI (bsc#1012628 bsc#1217339
    CVE-2024-2201).
  - Update config files.
  - x86/bugs: Remove CONFIG_BHI_MITIGATION_AUTO and spectre_bhi=auto
    (bsc#1012628).
  - x86/bugs: Clarify that syscall hardening isn't a BHI mitigation
    (bsc#1012628).
  - x86/bugs: Fix BHI handling of RRSBA (bsc#1012628).
  - x86/bugs: Rename various 'ia32_cap' variables to
    'x86_arch_cap_msr' (bsc#1012628).
  - x86/bugs: Cache the value of MSR_IA32_ARCH_CAPABILITIES
    (bsc#1012628).
  - x86/bugs: Fix BHI documentation (bsc#1012628).
  - x86/bugs: Fix return type of spectre_bhi_state() (bsc#1012628).
  - kernfs: annotate different lockdep class for of->mutex of
    writable files (bsc#1012628).
  - selftests: kselftest: Fix build failure with NOLIBC
    (bsc#1012628).
  - irqflags: Explicitly ignore lockdep_hrtimer_exit() argument
    (bsc#1012628).
  - x86/apic: Force native_apic_mem_read() to use the MOV
    instruction (bsc#1012628).
  - selftests: kselftest: Mark functions that unconditionally call
    exit() as __noreturn (bsc#1012628).
  - selftests: timers: Fix abs() warning in posix_timers test
    (bsc#1012628).
  - selftests: timers: Fix posix_timers ksft_print_msg() warning
    (bsc#1012628).
  - selftests/timers/posix_timers: Reimplement
    check_timer_distribution() (bsc#1012628).
  - x86/cpu: Actually turn off mitigations by default for
    SPECULATION_MITIGATIONS=n (bsc#1012628).
  - perf/x86: Fix out of range data (bsc#1012628).
  - vhost: Add smp_rmb() in vhost_enable_notify() (bsc#1012628).
  - vhost: Add smp_rmb() in vhost_vq_avail_empty() (bsc#1012628).
  - arm64: dts: imx8-ss-dma: fix spi lpcg indices (bsc#1012628).
  - arm64: dts: imx8-ss-lsio: fix pwm lpcg indices (bsc#1012628).
  - arm64: dts: imx8-ss-dma: fix pwm lpcg indices (bsc#1012628).
  - arm64: dts: imx8-ss-conn: fix usb lpcg indices (bsc#1012628).
  - arm64: dts: imx8-ss-dma: fix adc lpcg indices (bsc#1012628).
  - arm64: dts: imx8-ss-dma: fix can lpcg indices (bsc#1012628).
  - arm64: dts: imx8qm-ss-dma: fix can lpcg indices (bsc#1012628).
  - drm/amdgpu/umsch: reinitialize write pointer in hw init
    (bsc#1012628).
  - drm/msm/dp: fix runtime PM leak on connect failure
    (bsc#1012628).
  - drm/msm/dp: fix runtime PM leak on disconnect (bsc#1012628).
  - drm/client: Fully protect modes[] with dev->mode_config.mutex
    (bsc#1012628).
  - drm/panfrost: Fix the error path in
    panfrost_mmu_map_fault_addr() (bsc#1012628).
  - drm/ast: Fix soft lockup (bsc#1012628).
  - drm/amdkfd: Reset GPU on queue preemption failure (bsc#1012628).
  - drm/i915/vrr: Disable VRR when using bigjoiner (bsc#1012628).
  - drm/vmwgfx: Enable DMA mappings with SEV (bsc#1012628).
  - accel/ivpu: Fix deadlock in context_xa (bsc#1012628).
  - accel/ivpu: Return max freq for DRM_IVPU_PARAM_CORE_CLOCK_RATE
    (bsc#1012628).
  - accel/ivpu: Put NPU back to D3hot after failed resume
    (bsc#1012628).
  - accel/ivpu: Fix PCI D0 state entry in resume (bsc#1012628).
  - accel/ivpu: Check return code of ipc->lock init (bsc#1012628).
  - scsi: sg: Avoid race in error handling & drop bogus warn
    (bsc#1012628).
  - scsi: sg: Avoid sg device teardown race (bsc#1012628).
  - fs/proc: Skip bootloader comment if no embedded kernel
    parameters (bsc#1012628).
  - fs/proc: remove redundant comments from /proc/bootconfig
    (bsc#1012628).
  - kprobes: Fix possible use-after-free issue on kprobe
    registration (bsc#1012628).
  - io_uring/net: restore msg_control on sendzc retry (bsc#1012628).
  - btrfs: qgroup: convert PREALLOC to PERTRANS after
    record_root_in_trans (bsc#1012628).
  - btrfs: record delayed inode root in transaction (bsc#1012628).
  - btrfs: qgroup: fix qgroup prealloc rsv leak in subvolume
    operations (bsc#1012628).
  - btrfs: qgroup: correctly model root qgroup rsv in convert
    (bsc#1012628).
  - io_uring: disable io-wq execution of multishot NOWAIT requests
    (bsc#1012628).
  - io_uring: refactor DEFER_TASKRUN multishot checks (bsc#1012628).
  - iommu/vt-d: Fix WARN_ON in iommu probe path (bsc#1012628).
  - iommu/vt-d: Allocate local memory for page request queue
    (bsc#1012628).
  - iommu/vt-d: Fix wrong use of pasid config (bsc#1012628).
  - tracing: hide unused ftrace_event_id_fops (bsc#1012628).
  - drm/xe/hwmon: Cast result to output precision on left shift
    of operand (bsc#1012628).
  - drm/xe/display: Fix double mutex initialization (bsc#1012628).
  - net: ena: Set tx_info->xdpf value to NULL (bsc#1012628).
  - net: ena: Fix incorrect descriptor free behavior (bsc#1012628).
  - net: ena: Wrong missing IO completions check order
    (bsc#1012628).
  - net: ena: Fix potential sign extension issue (bsc#1012628).
  - af_unix: Fix garbage collector racing against connect()
    (bsc#1012628).
  - af_unix: Do not use atomic ops for unix_sk(sk)->inflight
    (bsc#1012628).
  - net: dsa: mt7530: trap link-local frames regardless of ST Port
    State (bsc#1012628).
  - Revert "s390/ism: fix receive message buffer allocation"
    (bsc#1012628).
  - net: sparx5: fix wrong config being used when reconfiguring PCS
    (bsc#1012628).
  - net/mlx5e: Do not produce metadata freelist entries in Tx port
    ts WQE xmit (bsc#1012628).
  - net/mlx5e: HTB, Fix inconsistencies with QoS SQs number
    (bsc#1012628).
  - net/mlx5e: Fix mlx5e_priv_init() cleanup flow (bsc#1012628).
  - net/mlx5e: RSS, Block changing channels number when RXFH is
    configured (bsc#1012628).
  - net/mlx5: Correctly compare pkt reformat ids (bsc#1012628).
  - net/mlx5: Properly link new fs rules into the tree
    (bsc#1012628).
  - net/mlx5: offset comp irq index in name by one (bsc#1012628).
  - net/mlx5: Register devlink first under devlink lock
    (bsc#1012628).
  - net/mlx5: SF, Stop waiting for FW as teardown was called
    (bsc#1012628).
  - netfilter: complete validation of user input (bsc#1012628).
  - Bluetooth: l2cap: Don't double set the HCI_CONN_MGMT_CONNECTED
    bit (bsc#1012628).
  - Bluetooth: hci_sock: Fix not validating setsockopt user input
    (bsc#1012628).
  - Bluetooth: ISO: Fix not validating setsockopt user input
    (bsc#1012628).
  - Bluetooth: L2CAP: Fix not validating setsockopt user input
    (bsc#1012628).
  - Bluetooth: RFCOMM: Fix not validating setsockopt user input
    (bsc#1012628).
  - Bluetooth: SCO: Fix not validating setsockopt user input
    (bsc#1012628).
  - Bluetooth: hci_sync: Fix using the same interval and window
    for Coded PHY (bsc#1012628).
  - Bluetooth: hci_sync: Use QoS to determine which PHY to scan
    (bsc#1012628).
  - Bluetooth: ISO: Don't reject BT_ISO_QOS if parameters are unset
    (bsc#1012628).
  - Bluetooth: ISO: Align broadcast sync_timeout with connection
    timeout (bsc#1012628).
  - pds_core: Fix pdsc_check_pci_health function to use work thread
    (bsc#1012628).
  - pds_core: use pci_reset_function for health reset (bsc#1012628).
  - ipv6: fix race condition between ipv6_get_ifaddr and
    ipv6_del_addr (bsc#1012628).
  - ipv4/route: avoid unused-but-set-variable warning (bsc#1012628).
  - ipv6: fib: hide unused 'pn' variable (bsc#1012628).
  - octeontx2-af: Fix NIX SQ mode and BP config (bsc#1012628).
  - af_unix: Clear stale u->oob_skb (bsc#1012628).
  - net: ks8851: Handle softirqs at the end of IRQ thread to fix
    hang (bsc#1012628).
  - net: ks8851: Inline ks8851_rx_skb() (bsc#1012628).
  - cxl: Fix retrieving of access_coordinates in PCIe path
    (bsc#1012628).
  - cxl: Remove checking of iter in
    cxl_endpoint_get_perf_coordinates() (bsc#1012628).
  - cxl: Split out host bridge access coordinates (bsc#1012628).
  - cxl: Split out combine_coordinates() for common shared usage
    (bsc#1012628).
  - ACPI: HMAT / cxl: Add retrieval of generic port coordinates
    for both access classes (bsc#1012628).
  - ACPI: HMAT: Introduce 2 levels of generic port access class
    (bsc#1012628).
  - base/node / ACPI: Enumerate node access class for 'struct
    access_coordinate' (bsc#1012628).
  - ACPI: bus: allow _UID matching for integer zero (bsc#1012628).
  - bnxt_en: Reset PTP tx_avail after possible firmware reset
    (bsc#1012628).
  - bnxt_en: Fix error recovery for RoCE ulp client (bsc#1012628).
  - bnxt_en: Fix possible memory leak in bnxt_rdma_aux_device_init()
    (bsc#1012628).
  - s390/ism: fix receive message buffer allocation (bsc#1012628).
  - geneve: fix header validation in geneve[6]_xmit_skb
    (bsc#1012628).
  - lib: checksum: hide unused expected_csum_ipv6_magic[]
    (bsc#1012628).
  - block: fix q->blkg_list corruption during disk rebind
    (bsc#1012628).
  - octeontx2-pf: Fix transmit scheduler resource leak
    (bsc#1012628).
  - xsk: validate user input for XDP_{UMEM|COMPLETION}_FILL_RING
    (bsc#1012628).
  - u64_stats: fix u64_stats_init() for lockdep when used repeatedly
    in one file (bsc#1012628).
  - net: openvswitch: fix unwanted error log on timeout policy
    probing (bsc#1012628).
  - scsi: qla2xxx: Fix off by one in qla_edif_app_getstats()
    (bsc#1012628).
  - scsi: hisi_sas: Modify the deadline for ata_wait_after_reset()
    (bsc#1012628).
  - drm/msm/adreno: Set highest_bank_bit for A619 (bsc#1012628).
  - nouveau: fix function cast warning (bsc#1012628).
  - Revert "drm/qxl: simplify qxl_fence_wait" (bsc#1012628).
  - cxl/core: Fix initialization of mbox_cmd.size_out in get event
    (bsc#1012628).
  - arm64: dts: imx8-ss-conn: fix usdhc wrong lpcg clock order
    (bsc#1012628).
  - dt-bindings: display/msm: sm8150-mdss: add DP node
    (bsc#1012628).
  - drm/msm/dpu: make error messages at
    dpu_core_irq_register_callback() more sensible (bsc#1012628).
  - drm/msm/dpu: don't allow overriding data from catalog
    (bsc#1012628).
  - drm/msm: Add newlines to some debug prints (bsc#1012628).
  - arm64: dts: freescale: imx8mp-venice-gw73xx-2x: fix USB vbus
    regulator (bsc#1012628).
  - arm64: dts: freescale: imx8mp-venice-gw72xx-2x: fix USB vbus
    regulator (bsc#1012628).
  - cxl/core/regs: Fix usage of map->reg_type in
    cxl_decode_regblock() before assigned (bsc#1012628).
  - cxl/mem: Fix for the index of Clear Event Record Handle
    (bsc#1012628).
  - firmware: arm_scmi: Make raw debugfs entries non-seekable
    (bsc#1012628).
  - firmware: arm_ffa: Fix the partition ID check in
    ffa_notification_info_get() (bsc#1012628).
  - ARM: OMAP2+: fix USB regression on Nokia N8x0 (bsc#1012628).
  - mmc: omap: restore original power up/down steps (bsc#1012628).
  - mmc: omap: fix deferred probe (bsc#1012628).
  - mmc: omap: fix broken slot switch lookup (bsc#1012628).
  - ARM: OMAP2+: fix N810 MMC gpiod table (bsc#1012628).
  - ARM: OMAP2+: fix bogus MMC GPIO labels on Nokia N8x0
    (bsc#1012628).
  - btrfs: tests: allocate dummy fs_info and root in
    test_find_delalloc() (bsc#1012628).
  - media: cec: core: remove length check of Timer Status
    (bsc#1012628).
  - PM: s2idle: Make sure CPUs will wakeup directly on resume
    (bsc#1012628).
  - ACPI: scan: Do not increase dep_unmet for already met
    dependencies (bsc#1012628).
  - platform/chrome: cros_ec_uart: properly fix race condition
    (bsc#1012628).
  - drm/amd/pm: fixes a random hang in S4 for SMU v13.0.4/11
    (bsc#1012628).
  - Bluetooth: Fix memory leak in hci_req_sync_complete()
    (bsc#1012628).
  - ring-buffer: Only update pages_touched when a new page is
    touched (bsc#1012628).
  - raid1: fix use-after-free for original bio in
    raid1_write_request() (bsc#1012628).
  - ARM: dts: imx7s-warp: Pass OV2680 link-frequencies
    (bsc#1012628).
  - arm64: tlb: Fix TLBI RANGE operand (bsc#1012628).
  - virtio_net: Do not send RSS key if it is not supported
    (bsc#1012628).
  - ceph: switch to use cap_delay_lock for the unlink delay list
    (bsc#1012628).
  - ceph: redirty page before returning AOP_WRITEPAGE_ACTIVATE
    (bsc#1012628).
  - batman-adv: Avoid infinite loop trying to resize local TT
    (bsc#1012628).
  - drm/amdgpu/vpe: power on vpe when hw_init (bsc#1012628).
  - ata: libata-scsi: Fix ata_scsi_dev_rescan() error path
    (bsc#1012628).
  - ata: libata-core: Allow command duration limits detection for
    ACS-4 drives (bsc#1012628).
  - smb3: fix Open files on server counter going negative
    (bsc#1012628).
  - commit 9e7200f
* Wed Apr 17 2024 macpaul.lin@mediatek.com
  - Update config files: re-enable arm64 regulator modules for MediaTek boards (bsc#1222818).
    This re-enable some regulator modules, pinctrl and RTC drivers for
    MediaTek boards which has been disabled when merging config file from
    master to stable (kernel 6.5.9->6.6) branch.
    This re-applies commit b197b3604a7b (Update config files: enable arm64
    regulator modules for MediaTek boards.)
    [js] set also KEYBOARD_MTK_PMIC=m, POWER_RESET_MT6323=n, LEDS_MT6323=n,
      and MFD_MT6397=m -- the same as commit b197b3604a7b.
  - commit d11a210
* Mon Apr 15 2024 duwe@suse.de
  - Update arm* configs to 6.9-rc4. Mostly new SoC and component support enabled as modules.
  - Re-enable arm in config.conf
  - commit 4804f5c
* Mon Apr 15 2024 jslaby@suse.cz
  - bus: mhi: host: Add mhi_power_down_keep_dev() API to support
    system suspend/hibernation (bsc#1207948).
    Update upstream status and move to soon-upstream section.
  - commit 114e4b9
* Mon Apr 15 2024 jslaby@suse.cz
  - Refresh
    patches.suse/Workaround-broken-chacha-crypto-fallback.patch.
    Update upstream status.
  - commit 85a400d
* Mon Apr 15 2024 jslaby@suse.cz
  - Linux 6.8.6 (bsc#1012628).
  - amdkfd: use calloc instead of kzalloc to avoid integer overflow
    (bsc#1012628).
  - wifi: ath9k: fix LNA selection in ath_ant_try_scan()
    (bsc#1012628).
  - wifi: rtw89: fix null pointer access when abort scan
    (bsc#1012628).
  - bnx2x: Fix firmware version string character counts
    (bsc#1012628).
  - net: stmmac: dwmac-starfive: Add support for JH7100 SoC
    (bsc#1012628).
  - net: phy: phy_device: Prevent nullptr exceptions on ISR
    (bsc#1012628).
  - wifi: rtw89: pci: validate RX tag for RXQ and RPQ (bsc#1012628).
  - wifi: rtw89: pci: enlarge RX DMA buffer to consider size of
    RX descriptor (bsc#1012628).
  - VMCI: Fix memcpy() run-time warning in dg_dispatch_as_host()
    (bsc#1012628).
  - wifi: iwlwifi: pcie: Add the PCI device id for new hardware
    (bsc#1012628).
  - arm64: dts: qcom: qcm6490-idp: Add definition for three LEDs
    (bsc#1012628).
  - net: dsa: qca8k: put MDIO controller OF node if unavailable
    (bsc#1012628).
  - arm64: dts: qcom: qrb2210-rb1: disable cluster power domains
    (bsc#1012628).
  - printk: For @suppress_panic_printk check for other CPU in panic
    (bsc#1012628).
  - panic: Flush kernel log buffer at the end (bsc#1012628).
  - dump_stack: Do not get cpu_sync for panic CPU (bsc#1012628).
  - wifi: iwlwifi: pcie: Add new PCI device id and CNVI
    (bsc#1012628).
  - cpuidle: Avoid potential overflow in integer multiplication
    (bsc#1012628).
  - ARM: dts: rockchip: fix rk3288 hdmi ports node (bsc#1012628).
  - ARM: dts: rockchip: fix rk322x hdmi ports node (bsc#1012628).
  - arm64: dts: rockchip: fix rk3328 hdmi ports node (bsc#1012628).
  - arm64: dts: rockchip: fix rk3399 hdmi ports node (bsc#1012628).
  - net: add netdev_lockdep_set_classes() to virtual drivers
    (bsc#1012628).
  - arm64: dts: qcom: qcs6490-rb3gen2: Declare GCC clocks protected
    (bsc#1012628).
  - pmdomain: ti: Add a null pointer check to the
    omap_prm_domain_init (bsc#1012628).
  - pmdomain: imx8mp-blk-ctrl: imx8mp_blk: Add fdcc clock to
    hdmimix domain (bsc#1012628).
  - ACPI: resource: Add IRQ override quirk for ASUS ExpertBook
    B2502FBA (bsc#1012628).
  - ionic: set adminq irq affinity (bsc#1012628).
  - net: skbuff: add overflow debug check to pull/push helpers
    (bsc#1012628).
  - firmware: tegra: bpmp: Return directly after a failed kzalloc()
    in get_filename() (bsc#1012628).
  - wifi: brcmfmac: Add DMI nvram filename quirk for ACEPC W5 Pro
    (bsc#1012628).
  - wifi: mt76: mt7915: add locking for accessing mapped registers
    (bsc#1012628).
  - wifi: mt76: mt7996: disable AMSDU for non-data frames
    (bsc#1012628).
  - wifi: mt76: mt7996: add locking for accessing mapped registers
    (bsc#1012628).
  - ACPI: x86: Move acpi_quirk_skip_serdev_enumeration() out of
    CONFIG_X86_ANDROID_TABLETS (bsc#1012628).
  - ACPI: x86: Add DELL0501 handling to
    acpi_quirk_skip_serdev_enumeration() (bsc#1012628).
  - pstore/zone: Add a null pointer check to the psz_kmsg_read
    (bsc#1012628).
  - tools/power x86_energy_perf_policy: Fix file leak in
    get_pkg_num() (bsc#1012628).
  - net: pcs: xpcs: Return EINVAL in the internal methods
    (bsc#1012628).
  - dma-direct: Leak pages on dma_set_decrypted() failure
    (bsc#1012628).
  - iommu/arm-smmu-v3: Hold arm_smmu_asid_lock during all of
    attach_dev (bsc#1012628).
  - cpufreq: Don't unregister cpufreq cooling on CPU hotplug
    (bsc#1012628).
  - overflow: Allow non-type arg to type_max() and type_min()
    (bsc#1012628).
  - wifi: cfg80211: check A-MSDU format more carefully
    (bsc#1012628).
  - btrfs: handle chunk tree lookup error in
    btrfs_relocate_sys_chunks() (bsc#1012628).
  - btrfs: export: handle invalid inode or root reference in
    btrfs_get_parent() (bsc#1012628).
  - btrfs: send: handle path ref underflow in header
    iterate_inode_ref() (bsc#1012628).
  - ice: use relative VSI index for VFs instead of PF VSI number
    (bsc#1012628).
  - net/smc: reduce rtnl pressure in smc_pnet_create_pnetids_list()
    (bsc#1012628).
  - netdev: let netlink core handle -EMSGSIZE errors (bsc#1012628).
  - Bluetooth: btintel: Fix null ptr deref in btintel_read_version
    (bsc#1012628).
  - Bluetooth: Add new quirk for broken read key length on ATS2851
    (bsc#1012628).
  - drm/vc4: don't check if plane->state->fb == state->fb
    (bsc#1012628).
  - drm/ci: uprev mesa version: fix kdl commit fetch (bsc#1012628).
  - drm/amdgpu: Skip do PCI error slot reset during RAS recovery
    (bsc#1012628).
  - Input: synaptics-rmi4 - fail probing if memory allocation for
    "phys" fails (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirk for GPD Win Mini
    (bsc#1012628).
  - ASoC: SOF: amd: Optimize quirk for Valve Galileo (bsc#1012628).
  - drm/ttm: return ENOSPC from ttm_bo_mem_space v3 (bsc#1012628).
  - scsi: ufs: qcom: Avoid re-init quirk when gears match
    (bsc#1012628).
  - drm/amd/display: increased min_dcfclk_mhz and min_fclk_mhz
    (bsc#1012628).
  - pinctrl: renesas: checker: Limit cfg reg enum checks to provided
    IDs (bsc#1012628).
  - sysv: don't call sb_bread() with pointers_lock held
    (bsc#1012628).
  - scsi: lpfc: Fix possible memory leak in lpfc_rcv_padisc()
    (bsc#1012628).
  - drm/amd/display: Disable idle reallow as part of command/gpint
    execution (bsc#1012628).
  - isofs: handle CDs with bad root inode but good Joliet root
    directory (bsc#1012628).
  - ASoC: Intel: sof_rt5682: dmi quirk cleanup for mtl boards
    (bsc#1012628).
  - ASoC: Intel: common: DMI remap for rebranded Intel NUC M15
    (LAPRC710) laptops (bsc#1012628).
  - rcu/nocb: Fix WARN_ON_ONCE() in the rcu_nocb_bypass_lock()
    (bsc#1012628).
  - rcu-tasks: Repair RCU Tasks Trace quiescence check
    (bsc#1012628).
  - Julia Lawall reported this null pointer dereference, this
    should fix it (bsc#1012628).
  - media: sta2x11: fix irq handler cast (bsc#1012628).
  - ALSA: firewire-lib: handle quirk to calculate payload quadlets
    as data block counter (bsc#1012628).
  - drm/panel: simple: Add BOE BP082WX1-100 8.2" panel
    (bsc#1012628).
  - x86/vdso: Fix rethunk patching for vdso-image-{32,64}.o
    (bsc#1012628).
  - ASoC: Intel: avs: Populate board selection with new I2S entries
    (bsc#1012628).
  - ext4: add a hint for block bitmap corrupt state in mb_groups
    (bsc#1012628).
  - ext4: forbid commit inconsistent quota data when
    errors=remount-ro (bsc#1012628).
  - drm/amd/display: Fix nanosec stat overflow (bsc#1012628).
  - accel/habanalabs: increase HL_MAX_STR to 64 bytes to avoid
    warnings (bsc#1012628).
  - i2c: designware: Fix RX FIFO depth define on Wangxun 10Gb NIC
    (bsc#1012628).
  - HID: input: avoid polling stylus battery on Chromebook Pompom
    (bsc#1012628).
  - drm/amd/amdgpu: Fix potential ioremap() memory leaks in
    amdgpu_device_init() (bsc#1012628).
  - drm: Check output polling initialized before disabling
    (bsc#1012628).
  - drm: Check polling initialized before enabling in
    drm_helper_probe_single_connector_modes (bsc#1012628).
  - SUNRPC: increase size of rpc_wait_queue.qlen from unsigned
    short to unsigned int (bsc#1012628).
  - PCI: Disable D3cold on Asus B1400 PCI-NVMe bridge (bsc#1012628).
  - Revert "ACPI: PM: Block ASUS B1400CEAE from suspend to idle
    by default" (bsc#1012628).
  - libperf evlist: Avoid out-of-bounds access (bsc#1012628).
  - crypto: iaa - Fix async_disable descriptor leak (bsc#1012628).
  - input/touchscreen: imagis: Correct the maximum touch area value
    (bsc#1012628).
  - drivers/perf: hisi: Enable HiSilicon Erratum 162700402 quirk
    for HIP09 (bsc#1012628).
  - block: prevent division by zero in blk_rq_stat_sum()
    (bsc#1012628).
  - RDMA/cm: add timeout to cm_destroy_id wait (bsc#1012628).
  - Input: imagis - use FIELD_GET where applicable (bsc#1012628).
  - Input: allocate keycode for Display refresh rate toggle
    (bsc#1012628).
  - platform/x86: acer-wmi: Add support for Acer PH16-71
    (bsc#1012628).
  - platform/x86: acer-wmi: Add predator_v4 module parameter
    (bsc#1012628).
  - platform/x86: touchscreen_dmi: Add an extra entry for a variant
    of the Chuwi Vi8 tablet (bsc#1012628).
  - perf/x86/amd/lbr: Discard erroneous branch entries
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Lenovo Yoga 9 14IMH9
    (bsc#1012628).
  - ktest: force $buildonly = 1 for 'make_warnings_file' test type
    (bsc#1012628).
  - Input: xpad - add support for Snakebyte GAMEPADs (bsc#1012628).
  - ring-buffer: use READ_ONCE() to read cpu_buffer->commit_page
    in concurrent environment (bsc#1012628).
  - tools: iio: replace seekdir() in iio_generic_buffer
    (bsc#1012628).
  - bus: mhi: host: Add MHI_PM_SYS_ERR_FAIL state (bsc#1012628).
  - kernfs: RCU protect kernfs_nodes and avoid kernfs_idr_lock in
    kernfs_find_and_get_node_by_id() (bsc#1012628).
  - usb: typec: ucsi: Add qcm6490-pmic-glink as needing PDOS quirk
    (bsc#1012628).
  - thunderbolt: Calculate DisplayPort tunnel bandwidth after DPRX
    capabilities read (bsc#1012628).
  - usb: gadget: uvc: refactor the check for a valid buffer in
    the pump worker (bsc#1012628).
  - usb: gadget: uvc: mark incomplete frames with UVC_STREAM_ERR
    (bsc#1012628).
  - usb: typec: ucsi: Limit read size on v1.2 (bsc#1012628).
  - serial: 8250_of: Drop quirk fot NPCM from 8250_port
    (bsc#1012628).
  - thunderbolt: Keep the domain powered when USB4 port is in
    redrive mode (bsc#1012628).
  - usb: typec: tcpci: add generic tcpci fallback compatible
    (bsc#1012628).
  - usb: sl811-hcd: only defined function checkdone if QUIRK2 is
    defined (bsc#1012628).
  - ASoC: amd: yc: Fix non-functional mic on ASUS M7600RE
    (bsc#1012628).
  - thermal/of: Assume polling-delay(-passive) 0 when absent
    (bsc#1012628).
  - ASoC: soc-core.c: Skip dummy codec when adding platforms
    (bsc#1012628).
  - x86/xen: attempt to inflate the memory balloon on PVH
    (bsc#1012628).
  - fbdev: viafb: fix typo in hw_bitblt_1 and hw_bitblt_2
    (bsc#1012628).
  - io_uring: clear opcode specific data for an early failure
    (bsc#1012628).
  - modpost: fix null pointer dereference (bsc#1012628).
  - drivers/nvme: Add quirks for device 126f:2262 (bsc#1012628).
  - fbmon: prevent division by zero in fb_videomode_from_videomode()
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirks for some Clevo laptops
    (bsc#1012628).
  - drm/amdgpu: Init zone device and drm client after mode-1 reset
    on reload (bsc#1012628).
  - media: mediatek: vcodec: Fix oops when HEVC init fails
    (bsc#1012628).
  - media: mediatek: vcodec: adding lock to protect decoder context
    list (bsc#1012628).
  - media: mediatek: vcodec: adding lock to protect encoder context
    list (bsc#1012628).
  - randomize_kstack: Improve entropy diffusion (bsc#1012628).
  - platform/x86/intel/hid: Don't wake on 5-button releases
    (bsc#1012628).
  - platform/x86: intel-vbtn: Update tablet mode switch at end of
    probe (bsc#1012628).
  - nouveau: fix devinit paths to only handle display on GSP
    (bsc#1012628).
  - Bluetooth: btintel: Fixe build regression (bsc#1012628).
  - net: mpls: error out if inner headers are not set (bsc#1012628).
  - VMCI: Fix possible memcpy() run-time warning in
    vmci_datagram_invoke_guest_handler() (bsc#1012628).
  - x86/vdso: Fix rethunk patching for vdso-image-x32.o too
    (bsc#1012628).
  - Revert "drm/amd/amdgpu: Fix potential ioremap() memory leaks
    in amdgpu_device_init()" (bsc#1012628).
  - Rename to
    patches.kernel.org/6.8.6-041-wifi-ath11k-decrease-MHI-channel-buffer-length-.patch.
  - Rename to
    patches.kernel.org/6.8.6-045-wifi-iwlwifi-Add-missing-MODULE_FIRMWARE-for-.p.patch.
  - Rename to
    patches.kernel.org/6.8.6-054-Bluetooth-btmtk-Add-MODULE_FIRMWARE-for-MT7922.patch.
  - Rename to
    patches.kernel.org/6.8.6-131-gcc-plugins-stackleak-Avoid-.head.text-section.patch.
  - commit 15f7a16
* Sun Apr 14 2024 mkubecek@suse.cz
  - update to 6.9-rc4
  - update configs
    - MITIGATION_SPECTRE_BHI=y (x86 only)
  - commit 750564f
* Thu Apr 11 2024 jslaby@suse.cz
  - gcc-plugins/stackleak: Avoid .head.text section (git-fixes).
  - commit 542f698
* Thu Apr 11 2024 jslaby@suse.cz
  - Linux 6.8.5 (bsc#1012628).
  - x86: set SPECTRE_BHI_ON as default (bsc#1012628).
  - KVM: x86: Add BHI_NO (bsc#1012628).
  - x86/bhi: Mitigate KVM by default (bsc#1012628).
  - x86/bhi: Add BHI mitigation knob (bsc#1012628 bsc#1217339 CVE-2024-2201).
  - Update config files (set SPECTRE_BHI_ON=y which is the default later).
  - x86/bhi: Enumerate Branch History Injection (BHI) bug
    (bsc#1012628).
  - x86/bhi: Define SPEC_CTRL_BHI_DIS_S (bsc#1012628).
  - x86/bhi: Add support for clearing branch history at syscall
    entry (bsc#1012628).
  - x86/syscall: Don't force use of indirect calls for system calls
    (bsc#1012628).
  - x86/bugs: Change commas to semicolons in 'spectre_v2' sysfs file
    (bsc#1012628).
  - x86/efistub: Remap kernel text read-only before dropping NX
    attribute (bsc#1012628).
  - x86/sev: Move early startup code into .head.text section
    (bsc#1012628).
  - x86/sme: Move early SME kernel encryption handling into
    .head.text (bsc#1012628).
  - x86/boot: Move mem_encrypt= parsing to the decompressor
    (bsc#1012628).
  - efi/libstub: Add generic support for parsing mem_encrypt=
    (bsc#1012628).
  - bpf: support deferring bpf_link dealloc to after RCU grace
    period (bsc#1012628).
  - bpf: put uprobe link's path and task in release callback
    (bsc#1012628).
  - Revert "x86/mpparse: Register APIC address only once"
    (bsc#1012628).
  - drm/xe: Rework rebinding (bsc#1012628).
  - drm/xe: Use ring ops TLB invalidation for rebinds (bsc#1012628).
  - drm/i915/gt: Enable only one CCS for compute workload
    (bsc#1012628).
  - drm/i915/gt: Do not generate the command streamer for all the
    CCS (bsc#1012628).
  - drm/i915/gt: Disable HW load balancing for CCS (bsc#1012628).
  - drm/i915/dp: Fix the computation for compressed_bpp for DISPLAY
    < 13 (bsc#1012628).
  - drm/i915/mst: Reject FEC+MST on ICL (bsc#1012628).
  - drm/i915/mst: Limit MST+DSC to TGL+ (bsc#1012628).
  - smb: client: fix potential UAF in
    cifs_signal_cifsd_for_reconnect() (bsc#1012628).
  - smb: client: fix potential UAF in smb2_is_network_name_deleted()
    (bsc#1012628).
  - smb: client: fix potential UAF in is_valid_oplock_break()
    (bsc#1012628).
  - smb: client: fix potential UAF in smb2_is_valid_lease_break()
    (bsc#1012628).
  - smb: client: fix potential UAF in smb2_is_valid_oplock_break()
    (bsc#1012628).
  - smb: client: fix potential UAF in cifs_dump_full_key()
    (bsc#1012628).
  - smb: client: fix potential UAF in cifs_stats_proc_show()
    (bsc#1012628).
  - smb: client: fix potential UAF in cifs_stats_proc_write()
    (bsc#1012628).
  - smb: client: fix potential UAF in cifs_debug_files_proc_show()
    (bsc#1012628).
  - smb3: retrying on failed server close (bsc#1012628).
  - smb: client: serialise cifs_construct_tcon() with
    cifs_mount_mutex (bsc#1012628).
  - smb: client: handle DFS tcons in cifs_construct_tcon()
    (bsc#1012628).
  - smb: client: refresh referral without acquiring refpath_lock
    (bsc#1012628).
  - smb: client: guarantee refcounted children from parent session
    (bsc#1012628).
  - smb: client: fix UAF in smb2_reconnect_server() (bsc#1012628).
  - riscv: process: Fix kernel gp leakage (bsc#1012628).
  - riscv: Fix spurious errors from __get/put_kernel_nofault
    (bsc#1012628).
  - s390/entry: align system call table on 8 bytes (bsc#1012628).
  - selftests/mm: include strings.h for ffsl (bsc#1012628).
  - mm/secretmem: fix GUP-fast succeeding on secretmem folios
    (bsc#1012628).
  - arm64/ptrace: Use saved floating point state type to determine
    SVE layout (bsc#1012628).
  - riscv: Fix vector state restore in rt_sigreturn() (bsc#1012628).
  - aio: Fix null ptr deref in aio_complete() wakeup (bsc#1012628).
  - perf/x86/intel/ds: Don't clear ->pebs_data_cfg for the last
    PEBS event (bsc#1012628).
  - x86/coco: Require seeding RNG with RDRAND on CoCo systems
    (bsc#1012628).
  - x86/mce: Make sure to grab mce_sysfs_mutex in set_bank()
    (bsc#1012628).
  - x86/mm/pat: fix VM_PAT handling in COW mappings (bsc#1012628).
  - of: module: prevent NULL pointer dereference in vsnprintf()
    (bsc#1012628).
  - of: dynamic: Synchronize of_changeset_destroy() with the
    devlink removals (bsc#1012628).
  - driver core: Introduce device_link_wait_removal() (bsc#1012628).
  - ASoC: SOF: Intel: hda: Compensate LLP in case it is not reset
    (bsc#1012628).
  - ASoC: SOF: ipc4-pcm: Correct the delay calculation
    (bsc#1012628).
  - ASoC: SOF: sof-pcm: Add pointer callback to sof_ipc_pcm_ops
    (bsc#1012628).
  - ASoC: SOF: ipc4-pcm: Invalidate the stream_start_offset in
    PAUSED state (bsc#1012628).
  - ASoC: SOF: ipc4-pcm: Combine the SOF_IPC4_PIPE_PAUSED cases
    in pcm_trigger (bsc#1012628).
  - ASoC: SOF: ipc4-pcm: Move struct sof_ipc4_timestamp_info
    definition locally (bsc#1012628).
  - ASoC: SOF: Remove the get_stream_position callback
    (bsc#1012628).
  - ASoC: SOF: ipc4-pcm: Use the snd_sof_pcm_get_dai_frame_counter()
    for pcm_delay (bsc#1012628).
  - ASoC: SOF: Intel: hda-common-ops: Do not set the
    get_stream_position callback (bsc#1012628).
  - ASoC: SOF: Intel: Set the dai/host get frame/byte counter
    callbacks (bsc#1012628).
  - ASoC: SOF: Introduce a new callback pair to be used for PCM
    delay reporting (bsc#1012628).
  - ASoC: SOF: Intel: mtl/lnl: Use the generic get_stream_position
    callback (bsc#1012628).
  - ASoC: SOF: Intel: hda: Implement get_stream_position (Linear
    Link Position) (bsc#1012628).
  - ASoC: SOF: Intel: hda-pcm: Use dsp_max_burst_size_in_ms to
    place constraint (bsc#1012628).
  - ASoC: SOF: ipc4-topology: Save the DMA maximum burst size for
    PCMs (bsc#1012628).
  - ASoC: SOF: Add dsp_max_burst_size_in_ms member to
    snd_sof_pcm_stream (bsc#1012628).
  - io_uring/kbuf: hold io_buffer_list reference over mmap
    (bsc#1012628).
  - io_uring: use private workqueue for exit work (bsc#1012628).
  - io_uring/rw: don't allow multishot reads without NOWAIT support
    (bsc#1012628).
  - io_uring/kbuf: protect io_buffer_list teardown with a reference
    (bsc#1012628).
  - io_uring/kbuf: get rid of bl->is_ready (bsc#1012628).
  - io_uring/kbuf: get rid of lower BGID lists (bsc#1012628).
  - ALSA: hda/realtek: Update Panasonic CF-SZ6 quirk to support
    headset with microphone (bsc#1012628).
  - ALSA: hda/realtek: cs35l41: Support ASUS ROG G634JYR
    (bsc#1012628).
  - ALSA: hda/realtek: Add sound quirks for Lenovo Legion slim 7
    16ARHA7 models (bsc#1012628).
  - ALSA: hda/realtek - Fix inactive headset mic jack (bsc#1012628).
  - ALSA: hda: Add pplcllpl/u members to hdac_ext_stream
    (bsc#1012628).
  - ksmbd: do not set SMB2_GLOBAL_CAP_ENCRYPTION for SMB 3.1.1
    (bsc#1012628).
  - ksmbd: validate payload size in ipc response (bsc#1012628).
  - ksmbd: don't send oplock break if rename fails (bsc#1012628).
  - gpio: cdev: fix missed label sanitizing in debounce_setup()
    (bsc#1012628).
  - gpio: cdev: check for NULL labels when sanitizing them for irqs
    (bsc#1012628).
  - Revert "drm/amd/display: Send DTBCLK disable message on first
    commit" (bsc#1012628).
  - x86/retpoline: Add NOENDBR annotation to the SRSO dummy return
    thunk (bsc#1012628).
  - stackdepot: rename pool_index to pool_index_plus_1
    (bsc#1012628).
  - lib/stackdepot: move stack_record struct definition into the
    header (bsc#1012628).
  - nfsd: hold a lighter-weight client reference over CB_RECALL_ANY
    (bsc#1012628).
  - riscv: Disable preemption when using patch_map() (bsc#1012628).
  - riscv: Fix warning by declaring arch_cpu_idle() as noinstr
    (bsc#1012628).
  - riscv: use KERN_INFO in do_trap (bsc#1012628).
  - SUNRPC: Fix a slow server-side memory leak with RPC-over-TCP
    (bsc#1012628).
  - ASoC: SOF: amd: fix for false dsp interrupts (bsc#1012628).
  - ata: sata_mv: Fix PCI device ID table declaration compilation
    warning (bsc#1012628).
  - drm/i915/gt: Limit the reserved VM space to only the platforms
    that need it (bsc#1012628).
  - thermal: gov_power_allocator: Allow binding without trip points
    (bsc#1012628).
  - thermal: gov_power_allocator: Allow binding without cooling
    devices (bsc#1012628).
  - s390/pai: fix sampling event removal for PMU device driver
    (bsc#1012628).
  - spi: mchp-pci1xxx: Fix a possible null pointer dereference in
    pci1xxx_spi_probe (bsc#1012628).
  - cifs: Fix caching to try to do open O_WRONLY as rdwr on server
    (bsc#1012628).
  - drm/i915/dp: Fix DSC state HW readout for SST connectors
    (bsc#1012628).
  - Revert "ALSA: emu10k1: fix synthesizer sample playback position
    and caching" (bsc#1012628).
  - scsi: sd: Unregister device if device_add_disk() failed in
    sd_probe() (bsc#1012628).
  - scsi: mylex: Fix sysfs buffer lengths (bsc#1012628).
  - ata: sata_sx4: fix pdc20621_get_from_dimm() on 64-bit
    (bsc#1012628).
  - regmap: maple: Fix uninitialized symbol 'ret' warnings
    (bsc#1012628).
  - ASoC: amd: acp: fix for acp_init function error handling
    (bsc#1012628).
  - spi: s3c64xx: Use DMA mode from fifo size (bsc#1012628).
  - spi: s3c64xx: determine the fifo depth only once (bsc#1012628).
  - spi: s3c64xx: allow full FIFO masks (bsc#1012628).
  - spi: s3c64xx: define a magic value (bsc#1012628).
  - spi: s3c64xx: remove else after return (bsc#1012628).
  - spi: s3c64xx: explicitly include <linux/bits.h> (bsc#1012628).
  - spi: s3c64xx: sort headers alphabetically (bsc#1012628).
  - spi: s3c64xx: Extract FIFO depth calculation to a dedicated
    macro (bsc#1012628).
  - ASoC: ops: Fix wraparound for mask in snd_soc_get_volsw
    (bsc#1012628).
  - ASoC: rt722-sdca-sdw: fix locking sequence (bsc#1012628).
  - ASoC: rt712-sdca-sdw: fix locking sequence (bsc#1012628).
  - ASoC: rt711-sdw: fix locking sequence (bsc#1012628).
  - ASoC: rt711-sdca: fix locking sequence (bsc#1012628).
  - ASoC: rt5682-sdw: fix locking sequence (bsc#1012628).
  - drm/prime: Unbreak virtgpu dma-buf export (bsc#1012628).
  - nouveau/uvmm: fix addr/range calcs for remap operations
    (bsc#1012628).
  - drm/panfrost: fix power transition timeout warnings
    (bsc#1012628).
  - ALSA: hda: cs35l56: Add ACPI device match tables (bsc#1012628).
  - regmap: maple: Fix cache corruption in regcache_maple_drop()
    (bsc#1012628).
  - ASoC: amd: acp: fix for acp pdm configuration check
    (bsc#1012628).
  - RISC-V: Update AT_VECTOR_SIZE_ARCH for new AT_MINSIGSTKSZ
    (bsc#1012628).
  - block: count BLK_OPEN_RESTRICT_WRITES openers (bsc#1012628).
  - drivers/perf: riscv: Disable PERF_SAMPLE_BRANCH_* while not
    supported (bsc#1012628).
  - riscv: hwprobe: do not produce frtace relocation (bsc#1012628).
  - riscv: mm: Fix prototype to avoid discarding const
    (bsc#1012628).
  - ASoC: cs42l43: Correct extraction of data pointer in
    suspend/resume (bsc#1012628).
  - ASoC: wm_adsp: Fix missing mutex_lock in wm_adsp_write_ctl()
    (bsc#1012628).
  - 9p: Fix read/write debug statements to report server reply
    (bsc#1012628).
  - mptcp: don't account accept() of non-MPC client as fallback
    to TCP (bsc#1012628).
  - selftests: mptcp: use += operator to append strings
    (bsc#1012628).
  - selftests: mptcp: connect: fix shellcheck warnings
    (bsc#1012628).
  - KVM: SVM: Add support for allowing zero SEV ASIDs (bsc#1012628).
  - KVM: SVM: Use unsigned integers when dealing with ASIDs
    (bsc#1012628).
  - net: ravb: Always update error counters (bsc#1012628).
  - net: ravb: Always process TX descriptor ring (bsc#1012628).
  - net: ravb: Let IP-specific receive function to interrogate
    descriptors (bsc#1012628).
  - mean_and_variance: Drop always failing tests (bsc#1012628).
  - e1000e: move force SMBUS from enable ulp function to avoid
    PHY loss issue (bsc#1012628).
  - e1000e: Minor flow correction in e1000_shutdown function
    (bsc#1012628).
  - drm/amd: Flush GFXOFF requests in prepare stage (bsc#1012628).
  - i40e: Enforce software interrupt during busy-poll exit
    (bsc#1012628).
  - i40e: fix vf may be used uninitialized in this function warning
    (bsc#1012628).
  - i40e: fix i40e_count_filters() to count only active/new filters
    (bsc#1012628).
  - octeontx2-af: Add array index check (bsc#1012628).
  - octeontx2-pf: check negative error code in otx2_open()
    (bsc#1012628).
  - octeontx2-af: Fix issue with loading coalesced KPU profiles
    (bsc#1012628).
  - udp: prevent local UDP tunnel packets from being GROed
    (bsc#1012628).
  - udp: do not transition UDP GRO fraglist partial checksums to
    unnecessary (bsc#1012628).
  - udp: do not accept non-tunnel GSO skbs landing in a tunnel
    (bsc#1012628).
  - r8169: skip DASH fw status checks when DASH is disabled
    (bsc#1012628).
  - mlxbf_gige: stop interface during shutdown (bsc#1012628).
  - ipv6: Fix infinite recursion in fib6_dump_done() (bsc#1012628).
  - e1000e: Workaround for sporadic MDI error on Meteor Lake systems
    (bsc#1012628).
  - ax25: fix use-after-free bugs caused by ax25_ds_del_timer
    (bsc#1012628).
  - tcp: Fix bind() regression for v6-only wildcard and
    v4(-mapped-v6) non-wildcard addresses (bsc#1012628).
  - selftests: reuseaddr_conflict: add missing new line at the
    end of the output (bsc#1012628).
  - erspan: make sure erspan_base_hdr is present in skb->head
    (bsc#1012628).
  - tcp: Fix bind() regression for v6-only wildcard and v4-mapped-v6
    non-wildcard addresses (bsc#1012628).
  - i40e: Fix VF MAC filter removal (bsc#1012628).
  - ice: fix enabling RX VLAN filtering (bsc#1012628).
  - idpf: fix kernel panic on unknown packet types (bsc#1012628).
  - gro: fix ownership transfer (bsc#1012628).
  - selftests: net: gro fwd: update vxlan GRO test expectations
    (bsc#1012628).
  - net: dsa: mv88e6xxx: fix usable ports on 88e6020 (bsc#1012628).
  - net: phy: micrel: Fix potential null pointer dereference
    (bsc#1012628).
  - net: fec: Set mac_managed_pm during probe (bsc#1012628).
  - net: txgbe: fix i2c dev name cannot match clkdev (bsc#1012628).
  - net: phy: micrel: lan8814: Fix when enabling/disabling 1-step
    timestamping (bsc#1012628).
  - net: stmmac: fix rx queue priority assignment (bsc#1012628).
  - net/sched: fix lockdep splat in qdisc_tree_reduce_backlog()
    (bsc#1012628).
  - net: dsa: sja1105: Fix parameters order in
    sja1110_pcs_mdio_write_c45() (bsc#1012628).
  - net/sched: act_skbmod: prevent kernel-infoleak (bsc#1012628).
  - KVM: arm64: Ensure target address is granule-aligned for range
    TLBI (bsc#1012628).
  - KVM: arm64: Use TLBI_TTL_UNKNOWN in __kvm_tlb_flush_vmid_range()
    (bsc#1012628).
  - x86/retpoline: Do the necessary fixup to the Zen3/4 srso return
    thunk for !SRSO (bsc#1012628).
  - mptcp: prevent BPF accessing lowat from a subflow socket
    (bsc#1012628).
  - bpf, sockmap: Prevent lock inversion deadlock in map delete elem
    (bsc#1012628).
  - vboxsf: Avoid an spurious warning if load_nls_xxx() fails
    (bsc#1012628).
  - netfilter: validate user input for expected length
    (bsc#1012628).
  - netfilter: nf_tables: discard table flag update with pending
    basechain deletion (bsc#1012628).
  - netfilter: nf_tables: Fix potential data-race in
    __nft_flowtable_type_get() (bsc#1012628).
  - netfilter: nf_tables: flush pending destroy work before exit_net
    release (bsc#1012628).
  - netfilter: nf_tables: reject new basechain after table flag
    update (bsc#1012628).
  - vsock/virtio: fix packet delivery to tap device (bsc#1012628).
  - net: mana: Fix Rx DMA datasize and skb_over_panic (bsc#1012628).
  - net: usb: ax88179_178a: avoid the interface always configured
    as random address (bsc#1012628).
  - net/rds: fix possible cp null dereference (bsc#1012628).
  - xen-netfront: Add missing skb_mark_for_recycle (bsc#1012628).
  - selftests: mptcp: join: fix dev in check_endpoint (bsc#1012628).
  - netfilter: nf_tables: release mutex after nft_gc_seq_end from
    abort path (bsc#1012628).
  - netfilter: nf_tables: release batch on table validation from
    abort path (bsc#1012628).
  - Bluetooth: Fix TOCTOU in HCI debugfs implementation
    (bsc#1012628).
  - Bluetooth: hci_event: set the conn encrypted before conn
    establishes (bsc#1012628).
  - Bluetooth: add quirk for broken address properties
    (bsc#1012628).
  - Bluetooth: qca: fix device-address endianness (bsc#1012628).
  - arm64: dts: qcom: sc7180-trogdor: mark bluetooth address as
    broken (bsc#1012628).
  - Revert "Bluetooth: hci_qca: Set BDA quirk bit if fwnode exists
    in DT" (bsc#1012628).
  - x86/bpf: Fix IP after emitting call depth accounting
    (bsc#1012628).
  - x86/cpufeatures: Add CPUID_LNX_5 to track recently added
    Linux-defined word (bsc#1012628).
  - x86/cpufeatures: Add new word for scattered features
    (bsc#1012628).
  - r8169: fix issue caused by buggy BIOS on certain boards with
    RTL8168d (bsc#1012628).
  - selinux: avoid dereference of garbage after mount failure
    (bsc#1012628).
  - KVM: arm64: Fix out-of-IPA space translation fault handling
    (bsc#1012628).
  - KVM: arm64: Fix host-programmed guest events in nVHE
    (bsc#1012628).
  - RISC-V: KVM: Fix APLIC in_clrip[x] read emulation (bsc#1012628).
  - RISC-V: KVM: Fix APLIC setipnum_le/be write emulation
    (bsc#1012628).
  - gpio: cdev: sanitize the label before requesting the interrupt
    (bsc#1012628).
  - Revert "x86/mm/ident_map: Use gbpages only where full GB page
    should be mapped." (bsc#1012628).
  - mm/treewide: replace pud_large() with pud_leaf() (bsc#1012628).
  - kbuild: make -Woverride-init warnings more consistent
    (bsc#1012628).
  - modpost: do not make find_tosym() return NULL (bsc#1012628).
  - dm integrity: fix out-of-range warning (bsc#1012628).
  - drm/i915: Do not print 'pxp init failed with 0' when it succeed
    (bsc#1012628).
  - drm/i915/mtl: Update workaround 14018575942 (bsc#1012628).
  - drm/i915/xelpg: Extend some workarounds/tuning to gfx version
    12.74 (bsc#1012628).
  - drm/i915/display: Disable AuxCCS framebuffers if built for Xe
    (bsc#1012628).
  - drm/i915: Stop doing double audio enable/disable on SDVO and
    g4x+ DP (bsc#1012628).
  - inet: inet_defrag: prevent sk release while still in use
    (bsc#1012628).
  - Octeontx2-af: fix pause frame configuration in GMP mode
    (bsc#1012628).
  - net: lan743x: Add set RFE read fifo threshold for PCI1x1x chips
    (bsc#1012628).
  - net: bcmasp: Bring up unimac after PHY link up (bsc#1012628).
  - iommu: Validate the PASID in iommu_attach_device_pasid()
    (bsc#1012628).
  - netfilter: nf_tables: skip netdev hook unregistration if table
    is dormant (bsc#1012628).
  - netfilter: nf_tables: reject table flag and netdev basechain
    updates (bsc#1012628).
  - netfilter: nf_tables: reject destroy command to remove basechain
    hooks (bsc#1012628).
  - cifs: Fix duplicate fscache cookie warnings (bsc#1012628).
  - bpf: Protect against int overflow for stack access size
    (bsc#1012628).
  - drm/amd/display: Send DTBCLK disable message on first commit
    (bsc#1012628).
  - drm/amd/display: Update P010 scaling cap (bsc#1012628).
  - mlxbf_gige: call request_irq() after NAPI initialized
    (bsc#1012628).
  - tls: get psock ref after taking rxlock to avoid leak
    (bsc#1012628).
  - tls: adjust recv return with async crypto and failed copy to
    userspace (bsc#1012628).
  - tls: recv: process_rx_list shouldn't use an offset with kvec
    (bsc#1012628).
  - net: hns3: mark unexcuted loopback test result as UNEXECUTED
    (bsc#1012628).
  - net: hns3: fix kernel crash when devlink reload during pf
    initialization (bsc#1012628).
  - net: hns3: fix index limit to support all queue stats
    (bsc#1012628).
  - ACPICA: debugger: check status of acpi_evaluate_object()
    in acpi_db_walk_for_fields() (bsc#1012628).
  - gpiolib: Fix debug messaging in gpiod_find_and_request()
    (bsc#1012628).
  - selftests: vxlan_mdb: Fix failures with old libnet
    (bsc#1012628).
  - drm/rockchip: vop2: Remove AR30 and AB30 format support
    (bsc#1012628).
  - net: wwan: t7xx: Split 64bit accesses to fix alignment issues
    (bsc#1012628).
  - tcp: properly terminate timers for kernel sockets (bsc#1012628).
  - net: hsr: hsr_slave: Fix the promiscuous mode in offload mode
    (bsc#1012628).
  - s390/qeth: handle deferred cc1 (bsc#1012628).
  - dpll: indent DPLL option type by a tab (bsc#1012628).
  - drm/xe/device: fix XE_MAX_TILES_PER_DEVICE check (bsc#1012628).
  - drm/xe/device: fix XE_MAX_GT_PER_TILE check (bsc#1012628).
  - drm/xe/queue: fix engine_class bounds check (bsc#1012628).
  - drm/xe/guc_submit: use jiffies for job timeout (bsc#1012628).
  - drm/xe: Add exec_queue.sched_props.job_timeout_ms (bsc#1012628).
  - drm/xe: Remove unused xe_bo->props struct (bsc#1012628).
  - igc: Remove stale comment about Tx timestamping (bsc#1012628).
  - ixgbe: avoid sleeping allocation in ixgbe_ipsec_vf_add_sa()
    (bsc#1012628).
  - ice: fix memory corruption bug with suspend and rebuild
    (bsc#1012628).
  - ice: Refactor FW data type and fix bitmap casting issue
    (bsc#1012628).
  - ALSA: hda: cs35l56: Set the init_done flag before
    component_add() (bsc#1012628).
  - wifi: iwlwifi: mvm: include link ID when releasing frames
    (bsc#1012628).
  - wifi: iwlwifi: mvm: rfi: fix potential response leaks
    (bsc#1012628).
  - wifi: iwlwifi: mvm: pick the version of SESSION_PROTECTION_NOTIF
    (bsc#1012628).
  - mlxbf_gige: stop PHY during open() error paths (bsc#1012628).
  - tools: ynl: fix setting presence bits in simple nests
    (bsc#1012628).
  - nfsd: Fix error cleanup path in nfsd_rename() (bsc#1012628).
  - nfc: nci: Fix uninit-value in nci_dev_up and nci_ntf_packet
    (bsc#1012628).
  - arm64: bpf: fix 32bit unconditional bswap (bsc#1012628).
  - dma-buf: Fix NULL pointer dereference in sanitycheck()
    (bsc#1012628).
  - bpf, arm64: fix bug in BPF_LDX_MEMSX (bsc#1012628).
  - s390/bpf: Fix bpf_plt pointer arithmetic (bsc#1012628).
  - xsk: Don't assume metadata is always requested in TX completion
    (bsc#1012628).
  - scripts/bpf_doc: Use silent mode when exec make cmd
    (bsc#1012628).
  - commit 0ff7778
* Sun Apr 07 2024 mkubecek@suse.cz
  - update to 6.9-rc3
  - commit 761535f
* Fri Apr 05 2024 tiwai@suse.de
  - Input: psmouse: add NULL check to psmouse_from_serio()
    (bsc#1219522).
  - commit 2e2b394
* Fri Apr 05 2024 jslaby@suse.cz
  - Linux 6.8.4 (bsc#1012628).
  - Refresh
    patches.kernel.org/6.8.4-002-Revert-workqueue-Don-t-call-cpumask_test_cpu-wi.patch.
  - Refresh
    patches.kernel.org/6.8.4-003-Revert-workqueue-Implement-system-wide-nr_activ.patch.
  - Refresh
    patches.kernel.org/6.8.4-005-Revert-workqueue-RCU-protect-wq-dfl_pwq-and-imp.patch.
  - Refresh
    patches.kernel.org/6.8.4-008-Revert-workqueue-Replace-pwq_activate_inactive_.patch.
  - Refresh
    patches.kernel.org/6.8.4-009-Revert-workqueue-Factor-out-pwq_is_empty.patch.
  - Refresh
    patches.kernel.org/6.8.4-010-Revert-workqueue-Move-pwq-max_active-to-wq-max_.patch.
  - Refresh
    patches.kernel.org/6.8.4-011-Revert-workqueue.c-Increase-workqueue-name-leng.patch.
  - Delete patches.kernel.org/6.8.4-012-Linux-6.8.4-rc1.patch.
    Update patches to 6.8.4-final.
  - commit f362b5c
* Thu Apr 04 2024 jslaby@suse.cz
  - Linux 6.8.4-rc1 (bsc#1012628).
  - Revert "workqueue.c: Increase workqueue name length"
    (bsc#1012628).
  - Revert "workqueue: Move pwq->max_active to wq->max_active"
    (bsc#1012628).
  - Revert "workqueue: Factor out pwq_is_empty()" (bsc#1012628).
  - Revert "workqueue: Replace pwq_activate_inactive_work() with
    [__]pwq_activate_work()" (bsc#1012628).
  - Revert "workqueue: Move nr_active handling into helpers"
    (bsc#1012628).
  - Revert "workqueue: Make wq_adjust_max_active() round-robin
    pwqs while activating" (bsc#1012628).
  - Revert "workqueue: RCU protect wq->dfl_pwq and implement
    accessors for it" (bsc#1012628).
  - Revert "workqueue: Introduce struct wq_node_nr_active"
    (bsc#1012628).
  - Revert "workqueue: Implement system-wide nr_active enforcement
    for unbound workqueues" (bsc#1012628).
  - Revert "workqueue: Don't call cpumask_test_cpu() with -1 CPU
    in wq_update_node_max_active()" (bsc#1012628).
  - Revert "workqueue: Shorten events_freezable_power_efficient
    name" (bsc#1012628).
  - commit 1089550
* Wed Apr 03 2024 jslaby@suse.cz
  - Linux 6.8.3 (bsc#1012628).
  - drm/vmwgfx: Unmap the surface before resetting it on a plane
    state (bsc#1012628).
  - wifi: brcmfmac: avoid invalid list operation when vendor attach
    fails (bsc#1012628).
  - media: staging: ipu3-imgu: Set fields before
    media_entity_pads_init() (bsc#1012628).
  - arm64: dts: qcom: sc7280: Add additional MSI interrupts
    (bsc#1012628).
  - remoteproc: virtio: Fix wdg cannot recovery remote processor
    (bsc#1012628).
  - clk: qcom: gcc-sdm845: Add soft dependency on rpmhpd
    (bsc#1012628).
  - smack: Set SMACK64TRANSMUTE only for dirs in
    smack_inode_setxattr() (bsc#1012628).
  - smack: Handle SMACK64TRANSMUTE in smack_inode_setsecurity()
    (bsc#1012628).
  - arm: dts: marvell: Fix maxium->maxim typo in brownstone dts
    (bsc#1012628).
  - drm/vmwgfx: Fix possible null pointer derefence with invalid
    contexts (bsc#1012628).
  - arm64: dts: qcom: sm8450-hdk: correct AMIC4 and AMIC5
    microphones (bsc#1012628).
  - serial: max310x: fix NULL pointer dereference in I2C
    instantiation (bsc#1012628).
  - drm/vmwgfx: Fix the lifetime of the bo cursor memory
    (bsc#1012628).
  - pci_iounmap(): Fix MMIO mapping leak (bsc#1012628).
  - media: xc4000: Fix atomicity violation in xc4000_get_frequency
    (bsc#1012628).
  - media: mc: Add local pad to pipeline regardless of the link
    state (bsc#1012628).
  - media: mc: Fix flags handling when creating pad links
    (bsc#1012628).
  - media: nxp: imx8-isi: Check whether crossbar pad is non-NULL
    before access (bsc#1012628).
  - media: mc: Add num_links flag to media_pad (bsc#1012628).
  - media: mc: Rename pad variable to clarify intent (bsc#1012628).
  - media: mc: Expand MUST_CONNECT flag to always require an
    enabled link (bsc#1012628).
  - media: nxp: imx8-isi: Mark all crossbar sink pads as
    MUST_CONNECT (bsc#1012628).
  - md: use RCU lock to protect traversal in md_spares_need_change()
    (bsc#1012628).
  - KVM: Always flush async #PF workqueue when vCPU is being
    destroyed (bsc#1012628).
  - arm64: dts: qcom: sm8550-qrd: correct WCD9385 TX port mapping
    (bsc#1012628).
  - arm64: dts: qcom: sm8550-mtp: correct WCD9385 TX port mapping
    (bsc#1012628).
  - cpufreq: amd-pstate: Fix min_perf assignment in
    amd_pstate_adjust_perf() (bsc#1012628).
  - thermal/intel: Fix intel_tcc_get_temp() to support negative
    CPU temperature (bsc#1012628).
  - powercap: intel_rapl: Fix a NULL pointer dereference
    (bsc#1012628).
  - powercap: intel_rapl: Fix locking in TPMI RAPL (bsc#1012628).
  - powercap: intel_rapl_tpmi: Fix a register bug (bsc#1012628).
  - powercap: intel_rapl_tpmi: Fix System Domain probing
    (bsc#1012628).
  - powerpc/smp: Adjust nr_cpu_ids to cover all threads of a core
    (bsc#1012628).
  - powerpc/smp: Increase nr_cpu_ids to include the boot CPU
    (bsc#1012628).
  - sparc64: NMI watchdog: fix return value of __setup handler
    (bsc#1012628).
  - sparc: vDSO: fix return value of __setup handler (bsc#1012628).
  - crypto: qat - change SLAs cleanup flow at shutdown
    (bsc#1012628).
  - crypto: qat - resolve race condition during AER recovery
    (bsc#1012628).
  - selftests/mqueue: Set timeout to 180 seconds (bsc#1012628).
  - pinctrl: qcom: sm8650-lpass-lpi: correct Kconfig name
    (bsc#1012628).
  - ext4: correct best extent lstart adjustment logic (bsc#1012628).
  - drm/amdgpu/display: Address kdoc for 'is_psr_su' in
    'fill_dc_dirty_rects' (bsc#1012628).
  - block: Clear zone limits for a non-zoned stacked queue
    (bsc#1012628).
  - kasan/test: avoid gcc warning for intentional overflow
    (bsc#1012628).
  - bounds: support non-power-of-two CONFIG_NR_CPUS (bsc#1012628).
  - fat: fix uninitialized field in nostale filehandles
    (bsc#1012628).
  - fuse: fix VM_MAYSHARE and direct_io_allow_mmap (bsc#1012628).
  - mfd: twl: Select MFD_CORE (bsc#1012628).
  - ubifs: Set page uptodate in the correct place (bsc#1012628).
  - ubi: Check for too small LEB size in VTBL code (bsc#1012628).
  - ubi: correct the calculation of fastmap size (bsc#1012628).
  - ubifs: ubifs_symlink: Fix memleak of inode->i_link in error path
    (bsc#1012628).
  - mtd: rawnand: meson: fix scrambling mode value in command macro
    (bsc#1012628).
  - md/md-bitmap: fix incorrect usage for sb_index (bsc#1012628).
  - x86/nmi: Fix the inverse "in NMI handler" check (bsc#1012628).
  - parisc/unaligned: Rewrite 64-bit inline assembly of
    emulate_ldd() (bsc#1012628).
  - parisc: Avoid clobbering the C/B bits in the PSW with tophys
    and tovirt macros (bsc#1012628).
  - parisc: Fix ip_fast_csum (bsc#1012628).
  - parisc: Fix csum_ipv6_magic on 32-bit systems (bsc#1012628).
  - parisc: Fix csum_ipv6_magic on 64-bit systems (bsc#1012628).
  - parisc: Strip upper 32 bit of sum in csum_ipv6_magic for 64-bit
    builds (bsc#1012628).
  - iio: adc: rockchip_saradc: fix bitmask for channels on SARADCv2
    (bsc#1012628).
  - iio: adc: rockchip_saradc: use mask for write_enable bitfield
    (bsc#1012628).
  - docs: Restore "smart quotes" for quotes (bsc#1012628).
  - cpufreq: Limit resolving a frequency to policy min/max
    (bsc#1012628).
  - PM: suspend: Set mem_sleep_current during kernel command line
    setup (bsc#1012628).
  - vfio/pds: Always clear the save/restore FDs on reset
    (bsc#1012628).
  - clk: qcom: gcc-ipq5018: fix terminating of frequency table
    arrays (bsc#1012628).
  - clk: qcom: gcc-ipq6018: fix terminating of frequency table
    arrays (bsc#1012628).
  - clk: qcom: gcc-ipq8074: fix terminating of frequency table
    arrays (bsc#1012628).
  - clk: qcom: gcc-ipq9574: fix terminating of frequency table
    arrays (bsc#1012628).
  - clk: qcom: camcc-sc8280xp: fix terminating of frequency table
    arrays (bsc#1012628).
  - clk: qcom: mmcc-apq8084: fix terminating of frequency table
    arrays (bsc#1012628).
  - clk: qcom: mmcc-msm8974: fix terminating of frequency table
    arrays (bsc#1012628).
  - usb: xhci: Add error handling in xhci_map_urb_for_dma
    (bsc#1012628).
  - powerpc/fsl: Fix mfpmr build errors with newer binutils
    (bsc#1012628).
  - USB: serial: ftdi_sio: add support for GMC Z216C Adapter IR-USB
    (bsc#1012628).
  - USB: serial: add device ID for VeriFone adapter (bsc#1012628).
  - USB: serial: cp210x: add ID for MGP Instruments PDS100
    (bsc#1012628).
  - wifi: mac80211: track capability/opmode NSS separately
    (bsc#1012628).
  - USB: serial: option: add MeiG Smart SLM320 product
    (bsc#1012628).
  - KVM: x86/xen: inject vCPU upcall vector when local APIC is
    enabled (bsc#1012628).
  - USB: serial: cp210x: add pid/vid for TDK NC0110013M and
    MM0110113M (bsc#1012628).
  - PM: sleep: wakeirq: fix wake irq warning in system suspend
    (bsc#1012628).
  - mmc: tmio: avoid concurrent runs of mmc_request_done()
    (bsc#1012628).
  - fuse: replace remaining make_bad_inode() with fuse_make_bad()
    (bsc#1012628).
  - fuse: fix root lookup with nonzero generation (bsc#1012628).
  - fuse: don't unhash root (bsc#1012628).
  - usb: typec: ucsi: Clean up UCSI_CABLE_PROP macros (bsc#1012628).
  - usb: dwc3-am62: fix module unload/reload behavior (bsc#1012628).
  - usb: dwc3-am62: Disable wakeup at remove (bsc#1012628).
  - serial: core: only stop transmit when HW fifo is empty
    (bsc#1012628).
  - serial: Lock console when calling into driver before
    registration (bsc#1012628).
  - btrfs: qgroup: always free reserved space for extent records
    (bsc#1012628).
  - btrfs: fix off-by-one chunk length calculation at
    contains_pending_extent() (bsc#1012628).
  - wifi: rtw88: Add missing VID/PIDs for 8811CU and 8821CU
    (bsc#1012628).
  - docs: Makefile: Add dependency to $(YNL_INDEX) for targets
    other than htmldocs (bsc#1012628).
  - PCI/PM: Drain runtime-idle callbacks before driver removal
    (bsc#1012628).
  - PCI/DPC: Quirk PIO log size for Intel Raptor Lake Root Ports
    (bsc#1012628).
  - Revert "Revert "md/raid5: Wait for MD_SB_CHANGE_PENDING in
    raid5d"" (bsc#1012628).
  - md: don't clear MD_RECOVERY_FROZEN for new dm-raid until resume
    (bsc#1012628).
  - md: export helpers to stop sync_thread (bsc#1012628).
  - md: export helper md_is_rdwr() (bsc#1012628).
  - md: add a new helper reshape_interrupted() (bsc#1012628).
  - dm-raid: really frozen sync_thread during suspend (bsc#1012628).
  - md/dm-raid: don't call md_reap_sync_thread() directly
    (bsc#1012628).
  - dm-raid: add a new helper prepare_suspend() in md_personality
    (bsc#1012628).
  - dm-raid456, md/raid456: fix a deadlock for dm-raid456 while
    io concurrent with reshape (bsc#1012628).
  - dm-raid: fix lockdep waring in "pers->hot_add_disk"
    (bsc#1012628).
  - powerpc: xor_vmx: Add '-mhard-float' to CFLAGS (bsc#1012628).
  - block: Fix page refcounts for unaligned buffers in
    __bio_release_pages() (bsc#1012628).
  - mac802154: fix llsec key resources release in
    mac802154_llsec_key_del (bsc#1012628).
  - mm: swap: fix race between free_swap_and_cache() and swapoff()
    (bsc#1012628).
  - mmc: core: Fix switch on gp3 partition (bsc#1012628).
  - Bluetooth: btnxpuart: Fix btnxpuart_close (bsc#1012628).
  - leds: trigger: netdev: Fix kernel panic on interface rename
    trig notify (bsc#1012628).
  - drm/etnaviv: Restore some id values (bsc#1012628).
  - landlock: Warn once if a Landlock action is requested while
    disabled (bsc#1012628).
  - io_uring: fix mshot read defer taskrun cqe posting
    (bsc#1012628).
  - hwmon: (amc6821) add of_match table (bsc#1012628).
  - io_uring: fix io_queue_proc modifying req->flags (bsc#1012628).
  - ext4: fix corruption during on-line resize (bsc#1012628).
  - nvmem: meson-efuse: fix function pointer type mismatch
    (bsc#1012628).
  - slimbus: core: Remove usage of the deprecated ida_simple_xx()
    API (bsc#1012628).
  - phy: tegra: xusb: Add API to retrieve the port number of phy
    (bsc#1012628).
  - usb: gadget: tegra-xudc: Fix USB3 PHY retrieval logic
    (bsc#1012628).
  - speakup: Fix 8bit characters from direct synth (bsc#1012628).
  - debugfs: fix wait/cancellation handling during remove
    (bsc#1012628).
  - PCI/AER: Block runtime suspend when handling errors
    (bsc#1012628).
  - io_uring/net: correctly handle multishot recvmsg retry setup
    (bsc#1012628).
  - io_uring: fix mshot io-wq checks (bsc#1012628).
  - PCI: qcom: Disable ASPM L0s for sc8280xp, sa8540p and sa8295p
    (bsc#1012628).
  - sparc32: Fix parport build with sparc32 (bsc#1012628).
  - nfs: fix UAF in direct writes (bsc#1012628).
  - NFS: Read unlock folio on nfs_page_create_from_folio() error
    (bsc#1012628).
  - kbuild: Move -Wenum-{compare-conditional,enum-conversion}
    into W=1 (bsc#1012628).
  - PCI: qcom: Enable BDF to SID translation properly (bsc#1012628).
  - PCI: dwc: endpoint: Fix advertised resizable BAR size
    (bsc#1012628).
  - PCI: hv: Fix ring buffer size calculation (bsc#1012628).
  - cifs: prevent updating file size from server if we have a
    read/write lease (bsc#1012628).
  - cifs: allow changing password during remount (bsc#1012628).
  - thermal/drivers/mediatek: Fix control buffer enablement on
    MT7896 (bsc#1012628).
  - vfio/pci: Disable auto-enable of exclusive INTx IRQ
    (bsc#1012628).
  - vfio/pci: Lock external INTx masking ops (bsc#1012628).
  - vfio/platform: Disable virqfds on cleanup (bsc#1012628).
  - vfio/platform: Create persistent IRQ handlers (bsc#1012628).
  - vfio/fsl-mc: Block calling interrupt handler without trigger
    (bsc#1012628).
  - tpm,tpm_tis: Avoid warning splat at shutdown (bsc#1012628).
  - ksmbd: replace generic_fillattr with vfs_getattr (bsc#1012628).
  - ksmbd: retrieve number of blocks using vfs_getattr in
    set_file_allocation_info (bsc#1012628).
  - platform/x86/intel/tpmi: Change vsec offset to u64
    (bsc#1012628).
  - io_uring/rw: return IOU_ISSUE_SKIP_COMPLETE for multishot retry
    (bsc#1012628).
  - io_uring: clean rings on NO_MMAP alloc fail (bsc#1012628).
  - ring-buffer: Do not set shortest_full when full target is hit
    (bsc#1012628).
  - ring-buffer: Fix full_waiters_pending in poll (bsc#1012628).
  - ring-buffer: Use wait_event_interruptible() in
    ring_buffer_wait() (bsc#1012628).
  - tracing/ring-buffer: Fix wait_on_pipe() race (bsc#1012628).
  - dlm: fix user space lkb refcounting (bsc#1012628).
  - soc: fsl: qbman: Always disable interrupts when taking cgr_lock
    (bsc#1012628).
  - soc: fsl: qbman: Use raw spinlock for cgr_lock (bsc#1012628).
  - s390/zcrypt: fix reference counting on zcrypt card objects
    (bsc#1012628).
  - drm/probe-helper: warn about negative .get_modes()
    (bsc#1012628).
  - drm/panel: do not return negative error codes from
    drm_panel_get_modes() (bsc#1012628).
  - drm/exynos: do not return negative values from .get_modes()
    (bsc#1012628).
  - drm/imx/ipuv3: do not return negative values from .get_modes()
    (bsc#1012628).
  - drm/vc4: hdmi: do not return negative values from .get_modes()
    (bsc#1012628).
  - clocksource/drivers/timer-riscv: Clear timer interrupt on
    timer initialization (bsc#1012628).
  - memtest: use {READ,WRITE}_ONCE in memory scanning (bsc#1012628).
  - Revert "block/mq-deadline: use correct way to throttling write
    requests" (bsc#1012628).
  - lsm: use 32-bit compatible data types in LSM syscalls
    (bsc#1012628).
  - lsm: handle the NULL buffer case in lsm_fill_user_ctx()
    (bsc#1012628).
  - f2fs: mark inode dirty for FI_ATOMIC_COMMITTED flag
    (bsc#1012628).
  - f2fs: truncate page cache before clearing flags when aborting
    atomic write (bsc#1012628).
  - nilfs2: fix failure to detect DAT corruption in btree and
    direct mappings (bsc#1012628).
  - nilfs2: prevent kernel bug at submit_bh_wbc() (bsc#1012628).
  - cifs: make sure server interfaces are requested only for SMB3+
    (bsc#1012628).
  - cifs: reduce warning log level for server not advertising
    interfaces (bsc#1012628).
  - cifs: open_cached_dir(): add FILE_READ_EA to desired access
    (bsc#1012628).
  - mtd: rawnand: Fix and simplify again the continuous read
    derivations (bsc#1012628).
  - mtd: rawnand: Add a helper for calculating a page index
    (bsc#1012628).
  - mtd: rawnand: Ensure all continuous terms are always in sync
    (bsc#1012628).
  - mtd: rawnand: Constrain even more when continuous reads are
    enabled (bsc#1012628).
  - cpufreq: dt: always allocate zeroed cpumask (bsc#1012628).
  - io_uring/futex: always remove futex entry for cancel all
    (bsc#1012628).
  - io_uring/waitid: always remove waitid entry for cancel all
    (bsc#1012628).
  - x86/CPU/AMD: Update the Zenbleed microcode revisions
    (bsc#1012628).
  - ksmbd: fix slab-out-of-bounds in smb_strndup_from_utf16()
    (bsc#1012628).
  - net: esp: fix bad handling of pages from page_pool
    (bsc#1012628).
  - NFSD: Fix nfsd_clid_class use of __string_len() macro
    (bsc#1012628).
  - drm/i915: Add missing ; to __assign_str() macros in tracepoint
    code (bsc#1012628).
  - net: hns3: tracing: fix hclgevf trace event strings
    (bsc#1012628).
  - cxl/trace: Properly initialize cxl_poison region name
    (bsc#1012628).
  - ksmbd: fix potencial out-of-bounds when buffer offset is invalid
    (bsc#1012628).
  - virtio: reenable config if freezing device failed (bsc#1012628).
  - LoongArch: Change __my_cpu_offset definition to avoid
    mis-optimization (bsc#1012628).
  - LoongArch: Define the __io_aw() hook as mmiowb() (bsc#1012628).
  - LoongArch/crypto: Clean up useless assignment operations
    (bsc#1012628).
  - wireguard: netlink: check for dangling peer via is_dead instead
    of empty list (bsc#1012628).
  - wireguard: netlink: access device through ctx instead of peer
    (bsc#1012628).
  - wireguard: selftests: set RISCV_ISA_FALLBACK on riscv{32,64}
    (bsc#1012628).
  - ahci: asm1064: asm1166: don't limit reported ports
    (bsc#1012628).
  - drm/amd/display: Change default size for dummy plane in DML2
    (bsc#1012628).
  - drm/amdgpu: amdgpu_ttm_gart_bind set gtt bound flag
    (bsc#1012628).
  - drm/amdgpu/pm: Fix NULL pointer dereference when get power limit
    (bsc#1012628).
  - drm/amdgpu/pm: Check the validity of overdiver power limit
    (bsc#1012628).
  - drm/amd/display: Override min required DCFCLK in dml1_validate
    (bsc#1012628).
  - drm/amd/display: Allow dirty rects to be sent to dmub when
    abm is active (bsc#1012628).
  - drm/amd/display: Init DPPCLK from SMU on dcn32 (bsc#1012628).
  - drm/amd/display: Update odm when ODM combine is changed on an
    otg master pipe with no plane (bsc#1012628).
  - drm/amd/display: Fix idle check for shared firmware state
    (bsc#1012628).
  - drm/amd/display: Amend coasting vtotal for replay low hz
    (bsc#1012628).
  - drm/amd/display: Lock all enabled otg pipes even with no planes
    (bsc#1012628).
  - drm/amd/display: Implement wait_for_odm_update_pending_complete
    (bsc#1012628).
  - drm/amd/display: Return the correct HDCP error code
    (bsc#1012628).
  - drm/amd/display: Add a dc_state NULL check in dc_state_release
    (bsc#1012628).
  - drm/amd/display: Fix noise issue on HDMI AV mute (bsc#1012628).
  - dm snapshot: fix lockup in dm_exception_table_exit
    (bsc#1012628).
  - x86/pm: Work around false positive kmemleak report in
    msr_build_context() (bsc#1012628).
  - wifi: brcmfmac: add per-vendor feature detection callback
    (bsc#1012628).
  - wifi: brcmfmac: cfg80211: Use WSEC to set SAE password
    (bsc#1012628).
  - wifi: brcmfmac: Demote vendor-specific attach/detach messages
    to info (bsc#1012628).
  - drm/ttm: Make sure the mapped tt pages are decrypted when needed
    (bsc#1012628).
  - drm/amd/display: Unify optimize_required flags and VRR
    adjustments (bsc#1012628).
  - drm/amd/display: Add more checks for exiting idle in DC
    (bsc#1012628).
  - btrfs: add set_folio_extent_mapped() helper (bsc#1012628).
  - btrfs: replace sb::s_blocksize by fs_info::sectorsize
    (bsc#1012628).
  - btrfs: add helpers to get inode from page/folio pointers
    (bsc#1012628).
  - btrfs: add helpers to get fs_info from page/folio pointers
    (bsc#1012628).
  - btrfs: add helper to get fs_info from struct inode pointer
    (bsc#1012628).
  - btrfs: qgroup: validate btrfs_qgroup_inherit parameter
    (bsc#1012628).
  - vfio: Introduce interface to flush virqfd inject workqueue
    (bsc#1012628).
  - vfio/pci: Create persistent INTx handler (bsc#1012628).
  - drm/bridge: add ->edid_read hook and drm_bridge_edid_read()
    (bsc#1012628).
  - drm/bridge: lt8912b: use drm_bridge_edid_read() (bsc#1012628).
  - drm/bridge: lt8912b: clear the EDID property on failures
    (bsc#1012628).
  - drm/bridge: lt8912b: do not return negative values from
    .get_modes() (bsc#1012628).
  - drm/amd/display: Remove pixle rate limit for subvp
    (bsc#1012628).
  - drm/amd/display: Revert Remove pixle rate limit for subvp
    (bsc#1012628).
  - workqueue: Shorten events_freezable_power_efficient name
    (bsc#1012628).
  - drm/amd/display: Use freesync when
    `DRM_EDID_FEATURE_CONTINUOUS_FREQ` found (bsc#1012628).
  - netfilter: nf_tables: reject constant set with timeout
    (bsc#1012628).
  - Revert "crypto: pkcs7 - remove sha1 support" (bsc#1012628).
  - x86/efistub: Call mixed mode boot services on the firmware's
    stack (bsc#1012628).
  - ASoC: amd: yc: Revert "Fix non-functional mic on Lenovo 21J2"
    (bsc#1012628).
  - ASoC: amd: yc: Revert "add new YC platform variant (0x63)
    support" (bsc#1012628).
  - Fix memory leak in posix_clock_open() (bsc#1012628).
  - wifi: rtw88: 8821cu: Fix connection failure (bsc#1012628).
  - x86/Kconfig: Remove CONFIG_AMD_MEM_ENCRYPT_ACTIVE_BY_DEFAULT
    (bsc#1012628).
  - x86/sev: Fix position dependent variable references in startup
    code (bsc#1012628).
  - clocksource/drivers/arm_global_timer: Fix maximum prescaler
    value (bsc#1012628).
  - ARM: 9352/1: iwmmxt: Remove support for PJ4/PJ4B cores
    (bsc#1012628).
  - ARM: 9359/1: flush: check if the folio is reserved for
    no-mapping addresses (bsc#1012628).
  - entry: Respect changes to system call number by
    trace_sys_enter() (bsc#1012628).
  - swiotlb: Fix double-allocation of slots due to broken alignment
    handling (bsc#1012628).
  - swiotlb: Honour dma_alloc_coherent() alignment in
    swiotlb_alloc() (bsc#1012628).
  - swiotlb: Fix alignment checks when both allocation and DMA
    masks are present (bsc#1012628).
  - iommu/dma: Force swiotlb_max_mapping_size on an untrusted device
    (bsc#1012628).
  - printk: Update @console_may_schedule in
    console_trylock_spinning() (bsc#1012628).
  - irqchip/renesas-rzg2l: Flush posted write in irq_eoi()
    (bsc#1012628).
  - irqchip/renesas-rzg2l: Rename rzg2l_tint_eoi() (bsc#1012628).
  - irqchip/renesas-rzg2l: Rename rzg2l_irq_eoi() (bsc#1012628).
  - irqchip/renesas-rzg2l: Prevent spurious interrupts when setting
    trigger type (bsc#1012628).
  - kprobes/x86: Use copy_from_kernel_nofault() to read from unsafe
    address (bsc#1012628).
  - efi/libstub: fix efi_random_alloc() to allocate memory at
    alloc_min or higher address (bsc#1012628).
  - x86/mpparse: Register APIC address only once (bsc#1012628).
  - x86/fpu: Keep xfd_state in sync with MSR_IA32_XFD (bsc#1012628).
  - efi: fix panic in kdump kernel (bsc#1012628).
  - pwm: img: fix pwm clock lookup (bsc#1012628).
  - selftests/mm: Fix build with _FORTIFY_SOURCE (bsc#1012628).
  - btrfs: handle errors returned from unpin_extent_cache()
    (bsc#1012628).
  - btrfs: fix warning messages not printing interval at
    unpin_extent_range() (bsc#1012628).
  - btrfs: do not skip re-registration for the mounted device
    (bsc#1012628).
  - mfd: intel-lpss: Switch to generalized quirk table
    (bsc#1012628).
  - mfd: intel-lpss: Introduce QUIRK_CLOCK_DIVIDER_UNITY for XPS
    9530 (bsc#1012628).
  - drm/i915: Replace a memset() with zero initialization
    (bsc#1012628).
  - drm/i915: Try to preserve the current shared_dpll for fastset
    on type-c ports (bsc#1012628).
  - drm/i915: Include the PLL name in the debug messages
    (bsc#1012628).
  - drm/i915: Suppress old PLL pipe_mask checks for MG/TC/TBT PLLs
    (bsc#1012628).
  - crypto: iaa - Fix nr_cpus < nr_iaa case (bsc#1012628).
  - drm/amd/display: Prevent crash when disable stream
    (bsc#1012628).
  - ALSA: hda/tas2781: remove digital gain kcontrol (bsc#1012628).
  - ALSA: hda/tas2781: add locks to kcontrols (bsc#1012628).
  - mm: zswap: fix writeback shinker GFP_NOIO/GFP_NOFS recursion
    (bsc#1012628).
  - init: open /initrd.image with O_LARGEFILE (bsc#1012628).
  - x86/efistub: Add missing boot_params for mixed mode compat entry
    (bsc#1012628).
  - efi/libstub: Cast away type warning in use of max()
    (bsc#1012628).
  - x86/efistub: Reinstate soft limit for initrd loading
    (bsc#1012628).
  - prctl: generalize PR_SET_MDWE support check to be per-arch
    (bsc#1012628).
  - ARM: prctl: reject PR_SET_MDWE on pre-ARMv6 (bsc#1012628).
  - tmpfs: fix race on handling dquot rbtree (bsc#1012628).
  - btrfs: validate device maj:min during open (bsc#1012628).
  - btrfs: fix race in read_extent_buffer_pages() (bsc#1012628).
  - btrfs: zoned: don't skip block groups with 100% zone unusable
    (bsc#1012628).
  - btrfs: zoned: use zone aware sb location for scrub
    (bsc#1012628).
  - btrfs: zoned: fix use-after-free in do_zone_finish()
    (bsc#1012628).
  - wifi: mac80211: check/clear fast rx for non-4addr sta VLAN
    changes (bsc#1012628).
  - wifi: cfg80211: add a flag to disable wireless extensions
    (bsc#1012628).
  - wifi: iwlwifi: mvm: disable MLO for the time being
    (bsc#1012628).
  - wifi: iwlwifi: fw: don't always use FW dump trig (bsc#1012628).
  - wifi: iwlwifi: mvm: handle debugfs names more carefully
    (bsc#1012628).
  - Revert "drm/amd/display: Fix sending VSC (+ colorimetry)
    packets for DP/eDP displays without PSR" (bsc#1012628).
  - fbdev: Select I/O-memory framebuffer ops for SBus (bsc#1012628).
  - exec: Fix NOMMU linux_binprm::exec in transfer_args_to_stack()
    (bsc#1012628).
  - hexagon: vmlinux.lds.S: handle attributes section (bsc#1012628).
  - mm: cachestat: fix two shmem bugs (bsc#1012628).
  - selftests/mm: sigbus-wp test requires
    UFFD_FEATURE_WP_HUGETLBFS_SHMEM (bsc#1012628).
  - selftests/mm: fix ARM related issue with fork after
    pthread_create (bsc#1012628).
  - mmc: sdhci-omap: re-tuning is needed after a pm transition to
    support emmc HS200 mode (bsc#1012628).
  - mmc: core: Initialize mmc_blk_ioc_data (bsc#1012628).
  - mmc: core: Avoid negative index with array access (bsc#1012628).
  - sdhci-of-dwcmshc: disable PM runtime in dwcmshc_remove()
    (bsc#1012628).
  - block: Do not force full zone append completion in
    req_bio_endio() (bsc#1012628).
  - thermal: devfreq_cooling: Fix perf state when calculate dfc
    res_util (bsc#1012628).
  - Revert "thermal: core: Don't update trip points inside the
    hysteresis range" (bsc#1012628).
  - nouveau/dmem: handle kcalloc() allocation failure (bsc#1012628).
  - net: ll_temac: platform_get_resource replaced by wrong function
    (bsc#1012628).
  - net: wan: framer: Add missing static inline qualifiers
    (bsc#1012628).
  - net: phy: qcom: at803x: fix kernel panic with at8031_probe
    (bsc#1012628).
  - drm/xe/query: fix gt_id bounds check (bsc#1012628).
  - drm/dp: Fix divide-by-zero regression on DP MST unplug with
    nouveau (bsc#1012628).
  - drm/vmwgfx: Create debugfs ttm_resource_manager entry only if
    needed (bsc#1012628).
  - drm/amdkfd: fix TLB flush after unmap for GFX9.4.2
    (bsc#1012628).
  - drm/amdgpu: fix deadlock while reading mqd from debugfs
    (bsc#1012628).
  - drm/amd/display: Remove MPC rate control logic from DCN30 and
    above (bsc#1012628).
  - drm/amd/display: Set DCN351 BB and IP the same as DCN35
    (bsc#1012628).
  - drm/i915/hwmon: Fix locking inversion in sysfs getter
    (bsc#1012628).
  - drm/i915/vma: Fix UAF on destroy against retire race
    (bsc#1012628).
  - drm/i915/bios: Tolerate devdata==NULL in
    intel_bios_encoder_supports_dp_dual_mode() (bsc#1012628).
  - drm/i915/vrr: Generate VRR "safe window" for DSB (bsc#1012628).
  - drm/i915/dsi: Go back to the previous INIT_OTP/DISPLAY_ON order,
    mostly (bsc#1012628).
  - drm/i915/dsb: Fix DSB vblank waits when using VRR (bsc#1012628).
  - drm/i915: Do not match JSL in ehl_combo_pll_div_frac_wa_needed()
    (bsc#1012628).
  - drm/i915: Pre-populate the cursor physical dma address
    (bsc#1012628).
  - drm/i915/gt: Reset queue_priority_hint on parking (bsc#1012628).
  - drm/amd/display: Fix bounds check for dcn35 DcfClocks
    (bsc#1012628).
  - mtd: spinand: Add support for 5-byte IDs (bsc#1012628).
  - Revert "usb: phy: generic: Get the vbus supply" (bsc#1012628).
  - usb: cdc-wdm: close race between read and workqueue
    (bsc#1012628).
  - usb: misc: ljca: Fix double free in error handling path
    (bsc#1012628).
  - USB: UAS: return ENODEV when submit urbs fail with device not
    attached (bsc#1012628).
  - vfio/pds: Make sure migration file isn't accessed after reset
    (bsc#1012628).
  - ring-buffer: Make wake once of ring_buffer_wait() more robust
    (bsc#1012628).
  - btrfs: fix extent map leak in unexpected scenario at
    unpin_extent_cache() (bsc#1012628).
  - ALSA: sh: aica: reorder cleanup operations to avoid UAF bugs
    (bsc#1012628).
  - scsi: ufs: qcom: Provide default cycles_in_1us value
    (bsc#1012628).
  - scsi: sd: Fix TCG OPAL unlock on system resume (bsc#1012628).
  - scsi: core: Fix unremoved procfs host directory regression
    (bsc#1012628).
  - staging: vc04_services: changen strncpy() to strscpy_pad()
    (bsc#1012628).
  - staging: vc04_services: fix information leak in
    create_component() (bsc#1012628).
  - genirq: Introduce IRQF_COND_ONESHOT and use it in pinctrl-amd
    (bsc#1012628).
  - usb: dwc3: Properly set system wakeup (bsc#1012628).
  - USB: core: Fix deadlock in usb_deauthorize_interface()
    (bsc#1012628).
  - USB: core: Add hub_get() and hub_put() routines (bsc#1012628).
  - USB: core: Fix deadlock in port "disable" sysfs attribute
    (bsc#1012628).
  - usb: dwc2: host: Fix remote wakeup from hibernation
    (bsc#1012628).
  - usb: dwc2: host: Fix hibernation flow (bsc#1012628).
  - usb: dwc2: host: Fix ISOC flow in DDMA mode (bsc#1012628).
  - usb: dwc2: gadget: Fix exiting from clock gating (bsc#1012628).
  - usb: dwc2: gadget: LPM flow fix (bsc#1012628).
  - usb: udc: remove warning when queue disabled ep (bsc#1012628).
  - usb: typec: ucsi: Fix race between typec_switch and role_switch
    (bsc#1012628).
  - usb: typec: tcpm: fix double-free issue in
    tcpm_port_unregister_pd() (bsc#1012628).
  - usb: typec: tcpm: Correct port source pdo array in pd_set
    callback (bsc#1012628).
  - usb: typec: tcpm: Update PD of Type-C port upon pd_set
    (bsc#1012628).
  - usb: typec: Return size of buffer if pd_set operation succeeds
    (bsc#1012628).
  - usb: typec: ucsi: Clear EVENT_PENDING under PPM lock
    (bsc#1012628).
  - usb: typec: ucsi: Ack unsupported commands (bsc#1012628).
  - usb: typec: ucsi_acpi: Refactor and fix DELL quirk
    (bsc#1012628).
  - usb: typec: ucsi: Clear UCSI_CCI_RESET_COMPLETE before reset
    (bsc#1012628).
  - scsi: qla2xxx: Prevent command send on chip reset (bsc#1012628).
  - scsi: qla2xxx: Fix N2N stuck connection (bsc#1012628).
  - scsi: qla2xxx: Split FCE|EFT trace control (bsc#1012628).
  - scsi: qla2xxx: Update manufacturer detail (bsc#1012628).
  - scsi: qla2xxx: NVME|FCP prefer flag not being honored
    (bsc#1012628).
  - scsi: qla2xxx: Fix command flush on cable pull (bsc#1012628).
  - scsi: qla2xxx: Fix double free of the ha->vp_map pointer
    (bsc#1012628).
  - scsi: qla2xxx: Fix double free of fcport (bsc#1012628).
  - scsi: qla2xxx: Change debug message during driver unload
    (bsc#1012628).
  - scsi: qla2xxx: Delay I/O Abort on PCI error (bsc#1012628).
  - x86/bugs: Fix the SRSO mitigation on Zen3/4 (bsc#1012628).
  - crash: use macro to add crashk_res into iomem early for specific
    arch (bsc#1012628).
  - drm/amd/display: fix IPX enablement (bsc#1012628).
  - x86/bugs: Use fixed addressing for VERW operand (bsc#1012628).
  - Revert "x86/bugs: Use fixed addressing for VERW operand"
    (bsc#1012628).
  - usb: dwc3: pci: Drop duplicate ID (bsc#1012628).
  - scsi: lpfc: Correct size for cmdwqe/rspwqe for memset()
    (bsc#1012628).
  - scsi: lpfc: Correct size for wqe for memset() (bsc#1012628).
  - scsi: libsas: Add a helper sas_get_sas_addr_and_dev_type()
    (bsc#1012628).
  - scsi: libsas: Fix disk not being scanned in after being removed
    (bsc#1012628).
  - perf/x86/amd/core: Update and fix stalled-cycles-* events for
    Zen 2 and later (bsc#1012628).
  - x86/sev: Skip ROM range scans and validation for SEV-SNP guests
    (bsc#1012628).
  - tools/resolve_btfids: fix build with musl libc (bsc#1012628).
  - drm/amdgpu: fix use-after-free bug (bsc#1012628).
  - drm/sched: fix null-ptr-deref in init entity (bsc#1012628).
  - Update config files.
  - Refresh
    patches.suse/0001-security-lockdown-expose-a-hook-to-lock-the-kernel-down.patch.
  - Refresh
    patches.suse/0003-efi-Lock-down-the-kernel-if-booted-in-secure-boot-mode.patch.
  - Rename to
    patches.kernel.org/6.8.3-002-wifi-brcmfmac-Fix-use-after-free-bug-in-brcmf_c.patch.
  - Rename to
    patches.kernel.org/6.8.3-063-md-raid5-fix-atomicity-violation-in-raid5_cache.patch.
  - Rename to
    patches.kernel.org/6.8.3-338-Bluetooth-hci_sync-Fix-not-checking-error-on-hc.patch.
  - commit b5e6a9b
* Wed Apr 03 2024 jslaby@suse.cz
  - Reapply "io_uring: remove unconditional looping in local
    This reverts commit 5b857cbdea3a310ec703341b4586e4f4f7748b04, liburing
    was fixed.
  - commit 27b1222
* Tue Apr 02 2024 jslaby@suse.cz
  - Bluetooth: hci_sync: Fix not checking error on
    hci_cmd_sync_cancel_sync (bluetooth-fix).
  - commit 2eb0f0f
* Tue Apr 02 2024 jslaby@suse.cz
  - Revert "io_uring: remove unconditional looping in local
    task_work handling" (liburing_failure).
  - commit 5b857cb
* Mon Apr 01 2024 mkubecek@suse.cz
  - update to 6.9-rc2
  - refresh
    - patches.suse/0003-efi-Lock-down-the-kernel-if-booted-in-secure-boot-mode.patch
  - update configs
  - commit 0788112
* Thu Mar 28 2024 msuchanek@suse.de
  - powerpc/crypto/chacha-p10: Fix failure on non Power10
    (boo#1218114).
  - commit 47aaf44
* Thu Mar 28 2024 jslaby@suse.cz
  - Linux 6.8.2 (bsc#1012628).
  - do_sys_name_to_handle(): use kzalloc() to fix kernel-infoleak
    (bsc#1012628).
  - workqueue.c: Increase workqueue name length (bsc#1012628).
  - workqueue: Move pwq->max_active to wq->max_active (bsc#1012628).
  - workqueue: Factor out pwq_is_empty() (bsc#1012628).
  - workqueue: Replace pwq_activate_inactive_work() with
    [__]pwq_activate_work() (bsc#1012628).
  - workqueue: Move nr_active handling into helpers (bsc#1012628).
  - workqueue: Make wq_adjust_max_active() round-robin pwqs while
    activating (bsc#1012628).
  - workqueue: RCU protect wq->dfl_pwq and implement accessors
    for it (bsc#1012628).
  - workqueue: Introduce struct wq_node_nr_active (bsc#1012628).
  - workqueue: Implement system-wide nr_active enforcement for
    unbound workqueues (bsc#1012628).
  - workqueue: Don't call cpumask_test_cpu() with -1 CPU in
    wq_update_node_max_active() (bsc#1012628).
  - iomap: clear the per-folio dirty bits on all writeback failures
    (bsc#1012628).
  - fs: Fix rw_hint validation (bsc#1012628).
  - io_uring: remove looping around handling traditional task_work
    (bsc#1012628).
  - io_uring: remove unconditional looping in local task_work
    handling (bsc#1012628).
  - s390/dasd: Use dev_*() for device log messages (bsc#1012628).
  - s390/dasd: fix double module refcount decrement (bsc#1012628).
  - fs/hfsplus: use better @opf description (bsc#1012628).
  - md: fix kmemleak of rdev->serial (bsc#1012628).
  - rcu/exp: Fix RCU expedited parallel grace period kworker
    allocation failure recovery (bsc#1012628).
  - rcu/exp: Handle RCU expedited grace period kworker allocation
    failure (bsc#1012628).
  - nbd: null check for nla_nest_start (bsc#1012628).
  - fs/select: rework stack allocation hack for clang (bsc#1012628).
  - block: fix deadlock between bd_link_disk_holder and partition
    scan (bsc#1012628).
  - md: Don't clear MD_CLOSING when the raid is about to stop
    (bsc#1012628).
  - kunit: Setup DMA masks on the kunit device (bsc#1012628).
  - ovl: Always reject mounting over case-insensitive directories
    (bsc#1012628).
  - kunit: test: Log the correct filter string in executor_test
    (bsc#1012628).
  - lib/cmdline: Fix an invalid format specifier in an assertion
    msg (bsc#1012628).
  - lib: memcpy_kunit: Fix an invalid format specifier in an
    assertion msg (bsc#1012628).
  - time: test: Fix incorrect format specifier (bsc#1012628).
  - rtc: test: Fix invalid format specifier (bsc#1012628).
  - net: test: Fix printf format specifier in skb_segment kunit test
    (bsc#1012628).
  - drm/xe/tests: Fix printf format specifiers in xe_migrate test
    (bsc#1012628).
  - drm: tests: Fix invalid printf format specifiers in KUnit tests
    (bsc#1012628).
  - md/raid1: factor out helpers to add rdev to conf (bsc#1012628).
  - md/raid1: record nonrot rdevs while adding/removing rdevs to
    conf (bsc#1012628).
  - md/raid1: fix choose next idle in read_balance() (bsc#1012628).
  - io_uring/net: unify how recvmsg and sendmsg copy in the msghdr
    (bsc#1012628).
  - io_uring/net: move receive multishot out of the generic msghdr
    path (bsc#1012628).
  - io_uring/net: fix overflow check in io_recvmsg_mshot_prep()
    (bsc#1012628).
  - nvme: host: fix double-free of struct nvme_id_ns in
    ns_update_nuse() (bsc#1012628).
  - x86/mm: Ensure input to pfn_to_kaddr() is treated as a 64-bit
    type (bsc#1012628).
  - x86/resctrl: Remove hard-coded memory bandwidth limit
    (bsc#1012628).
  - x86/resctrl: Read supported bandwidth sources from CPUID
    (bsc#1012628).
  - x86/resctrl: Implement new mba_MBps throttling heuristic
    (bsc#1012628).
  - x86/sme: Fix memory encryption setting if enabled by default
    and not overridden (bsc#1012628).
  - timekeeping: Fix cross-timestamp interpolation on counter wrap
    (bsc#1012628).
  - timekeeping: Fix cross-timestamp interpolation corner case
    decision (bsc#1012628).
  - timekeeping: Fix cross-timestamp interpolation for non-x86
    (bsc#1012628).
  - x86/asm: Remove the __iomem annotation of movdir64b()'s dst
    argument (bsc#1012628).
  - sched/fair: Take the scheduling domain into account in
    select_idle_smt() (bsc#1012628).
  - sched/fair: Take the scheduling domain into account in
    select_idle_core() (bsc#1012628).
  - wifi: b43: Stop/wake correct queue in DMA Tx path when QoS is
    disabled (bsc#1012628).
  - wifi: b43: Stop/wake correct queue in PIO Tx path when QoS is
    disabled (bsc#1012628).
  - wifi: b43: Stop correct queue in DMA worker when QoS is disabled
    (bsc#1012628).
  - wifi: b43: Disable QoS for bcm4331 (bsc#1012628).
  - wifi: wilc1000: fix declarations ordering (bsc#1012628).
  - wifi: wilc1000: fix RCU usage in connect path (bsc#1012628).
  - wifi: ath11k: add support to select 6 GHz regulatory type
    (bsc#1012628).
  - wifi: ath11k: store cur_regulatory_info for each radio
    (bsc#1012628).
  - wifi: ath11k: fix a possible dead lock caused by ab->base_lock
    (bsc#1012628).
  - wifi: rtl8xxxu: add cancel_work_sync() for c2hcmd_work
    (bsc#1012628).
  - wifi: wilc1000: do not realloc workqueue everytime an interface
    is added (bsc#1012628).
  - wifi: wilc1000: fix multi-vif management when deleting a vif
    (bsc#1012628).
  - wifi: mwifiex: debugfs: Drop unnecessary error check for
    debugfs_create_dir() (bsc#1012628).
  - ARM: dts: renesas: r8a73a4: Fix external clocks and clock rate
    (bsc#1012628).
  - arm64: dts: qcom: x1e80100: drop qcom,drv-count (bsc#1012628).
  - arm64: dts: qcom: sc8180x: Hook up VDD_CX as GCC parent domain
    (bsc#1012628).
  - arm64: dts: qcom: sc8180x: Fix up big CPU idle state entry
    latency (bsc#1012628).
  - arm64: dts: qcom: sc8180x: Add missing CPU off state
    (bsc#1012628).
  - arm64: dts: qcom: sc8180x: Fix eDP PHY power-domains
    (bsc#1012628).
  - arm64: dts: qcom: sc8180x: Don't hold MDP core clock at FMAX
    (bsc#1012628).
  - arm64: dts: qcom: sc8180x: Require LOW_SVS vote for MMCX if
    DISPCC is on (bsc#1012628).
  - arm64: dts: qcom: sc8180x: Add missing CPU<->MDP_CFG path
    (bsc#1012628).
  - arm64: dts: qcom: sc8180x: Shrink aoss_qmp register space size
    (bsc#1012628).
  - cpufreq: brcmstb-avs-cpufreq: add check for cpufreq_cpu_get's
    return value (bsc#1012628).
  - cpufreq: mediatek-hw: Wait for CPU supplies before probing
    (bsc#1012628).
  - sock_diag: annotate data-races around sock_diag_handlers[family]
    (bsc#1012628).
  - inet_diag: annotate data-races around inet_diag_table[]
    (bsc#1012628).
  - bpftool: Silence build warning about calloc() (bsc#1012628).
  - selftests/bpf: Fix potential premature unload in bpf_testmod
    (bsc#1012628).
  - libbpf: Apply map_set_def_max_entries() for inner_maps on
    creation (bsc#1012628).
  - selftest/bpf: Add map_in_maps with BPF_MAP_TYPE_PERF_EVENT_ARRAY
    values (bsc#1012628).
  - bpftool: Fix wrong free call in do_show_link (bsc#1012628).
  - wifi: ath12k: Fix issues in channel list update (bsc#1012628).
  - selftests/bpf: Fix the flaky tc_redirect_dtime test
    (bsc#1012628).
  - selftests/bpf: Wait for the netstamp_needed_key static key to
    be turned on (bsc#1012628).
  - wifi: cfg80211: add RNR with reporting AP information
    (bsc#1012628).
  - wifi: mac80211: use deflink and fix typo in link ID check
    (bsc#1012628).
  - wifi: iwlwifi: change link id in time event to s8 (bsc#1012628).
  - af_unix: Annotate data-race of gc_in_progress in
    wait_for_unix_gc() (bsc#1012628).
  - arm64: dts: qcom: sm8450: Add missing interconnects to serial
    (bsc#1012628).
  - soc: qcom: socinfo: rename PM2250 to PM4125 (bsc#1012628).
  - arm64: dts: qcom: sc7280: Add static properties to cryptobam
    (bsc#1012628).
  - arm64: dts: qcom: qcm6490-fairphone-fp5: Add missing
    reserved-memory (bsc#1012628).
  - arm64: dts: qcom: sdm845-oneplus-common: improve DAI node naming
    (bsc#1012628).
  - arm64: dts: qcom: rename PM2250 to PM4125 (bsc#1012628).
  - cpufreq: mediatek-hw: Don't error out if supply is not found
    (bsc#1012628).
  - libbpf: Fix faccessat() usage on Android (bsc#1012628).
  - libbpf: fix __arg_ctx type enforcement for perf_event programs
    (bsc#1012628).
  - pmdomain: qcom: rpmhpd: Drop SA8540P gfx.lvl (bsc#1012628).
  - arm64: dts: qcom: sa8540p: Drop gfx.lvl as power-domain for
    gpucc (bsc#1012628).
  - arm64: dts: renesas: r8a779g0: Restore sort order (bsc#1012628).
  - arm64: dts: renesas: r8a779g0: Add missing SCIF_CLK2
    (bsc#1012628).
  - selftests/bpf: Disable IPv6 for lwt_redirect test (bsc#1012628).
  - arm64: dts: imx8mm-kontron: Disable pullups for I2C signals
    on OSM-S i.MX8MM (bsc#1012628).
  - arm64: dts: imx8mm-kontron: Disable pullups for I2C signals
    on SL/BL i.MX8MM (bsc#1012628).
  - arm64: dts: imx8mm-kontron: Disable pullups for onboard UART
    signals on BL OSM-S board (bsc#1012628).
  - arm64: dts: imx8mm-kontron: Disable pullups for onboard UART
    signals on BL board (bsc#1012628).
  - arm64: dts: imx8mm-kontron: Disable pull resistors for SD card
    signals on BL OSM-S board (bsc#1012628).
  - arm64: dts: imx8mm-kontron: Disable pull resistors for SD card
    signals on BL board (bsc#1012628).
  - arm64: dts: imx8mm-kontron: Fix interrupt for RTC on OSM-S
    i.MX8MM module (bsc#1012628).
  - arm64: dts: imx8qm: Align edma3 power-domains resources
    indentation (bsc#1012628).
  - arm64: dts: imx8qm: Correct edma3 power-domains and interrupt
    numbers (bsc#1012628).
  - libbpf: Add missing LIBBPF_API annotation to
    libbpf_set_memlock_rlim API (bsc#1012628).
  - wifi: ath9k: delay all of ath9k_wmi_event_tasklet() until init
    is complete (bsc#1012628).
  - wifi: ath11k: change to move WMI_VDEV_PARAM_SET_HEMU_MODE
    before WMI_PEER_ASSOC_CMDID (bsc#1012628).
  - wifi: ath12k: fix fetching MCBC flag for QCN9274 (bsc#1012628).
  - wifi: iwlwifi: mvm: report beacon protection failures
    (bsc#1012628).
  - wifi: iwlwifi: dbg-tlv: ensure NUL termination (bsc#1012628).
  - wifi: iwlwifi: acpi: fix WPFC reading (bsc#1012628).
  - wifi: iwlwifi: mvm: initialize rates in FW earlier
    (bsc#1012628).
  - wifi: iwlwifi: fix EWRD table validity check (bsc#1012628).
  - wifi: iwlwifi: mvm: d3: fix IPN byte order (bsc#1012628).
  - wifi: iwlwifi: always have 'uats_enabled' (bsc#1012628).
  - wifi: iwlwifi: mvm: fix the TLC command after ADD_STA
    (bsc#1012628).
  - wifi: iwlwifi: read BIOS PNVM only for non-Intel SKU
    (bsc#1012628).
  - gpio: vf610: allow disabling the vf610 driver (bsc#1012628).
  - selftests/bpf: trace_helpers.c: do not use poisoned type
    (bsc#1012628).
  - bpf: make sure scalar args don't accept __arg_nonnull tag
    (bsc#1012628).
  - bpf: don't emit warnings intended for global subprogs for
    static subprogs (bsc#1012628).
  - arm64: dts: imx8mm-venice-gw71xx: fix USB OTG VBUS
    (bsc#1012628).
  - pwm: atmel-hlcdc: Fix clock imbalance related to suspend support
    (bsc#1012628).
  - net: blackhole_dev: fix build warning for ethh set but not used
    (bsc#1012628).
  - spi: consolidate setting message->spi (bsc#1012628).
  - spi: move split xfers for CS_WORD emulation (bsc#1012628).
  - arm64: dts: ti: k3-am62p5-sk: Enable CPSW MDIO node
    (bsc#1012628).
  - arm64: dts: ti: k3-j721s2: Fix power domain for VTM node
    (bsc#1012628).
  - arm64: dts: ti: k3-j784s4: Fix power domain for VTM node
    (bsc#1012628).
  - wifi: ath11k: initialize rx_mcs_80 and rx_mcs_160 before use
    (bsc#1012628).
  - wifi: libertas: fix some memleaks in lbs_allocate_cmd_buffer()
    (bsc#1012628).
  - arm64: dts: ti: k3-am69-sk: remove assigned-clock-parents for
    unused VP (bsc#1012628).
  - libbpf: fix return value for PERF_EVENT __arg_ctx type fix up
    check (bsc#1012628).
  - arm64: dts: ti: k3-am62p-mcu/wakeup: Disable MCU and wakeup
    R5FSS nodes (bsc#1012628).
  - arm64: dts: qcom: x1e80100-qcp: Fix supplies for LDOs 3E and 2J
    (bsc#1012628).
  - libbpf: Use OPTS_SET() macro in bpf_xdp_query() (bsc#1012628).
  - wifi: wfx: fix memory leak when starting AP (bsc#1012628).
  - arm64: dts: qcom: qcm2290: declare VLS CLAMP register for USB3
    PHY (bsc#1012628).
  - arm64: dts: qcom: sm6115: declare VLS CLAMP register for USB3
    PHY (bsc#1012628).
  - arm64: dts: qcom: sm8650: Fix UFS PHY clocks (bsc#1012628).
  - wifi: ath12k: fix incorrect logic of calculating vdev_stats_id
    (bsc#1012628).
  - printk: nbcon: Relocate 32bit seq macros (bsc#1012628).
  - printk: ringbuffer: Do not skip non-finalized records with
    prb_next_seq() (bsc#1012628).
  - printk: Wait for all reserved records with pr_flush()
    (bsc#1012628).
  - printk: Add this_cpu_in_panic() (bsc#1012628).
  - printk: ringbuffer: Cleanup reader terminology (bsc#1012628).
  - printk: ringbuffer: Skip non-finalized records in panic
    (bsc#1012628).
  - printk: Disable passing console lock owner completely during
    panic() (bsc#1012628).
  - pwm: sti: Fix capture for st,pwm-num-chan < st,capture-num-chan
    (bsc#1012628).
  - tools/resolve_btfids: Refactor set sorting with types from
    btf_ids.h (bsc#1012628).
  - tools/resolve_btfids: Fix cross-compilation to non-host
    endianness (bsc#1012628).
  - wifi: iwlwifi: support EHT for WH (bsc#1012628).
  - wifi: iwlwifi: properly check if link is active (bsc#1012628).
  - wifi: iwlwifi: mvm: fix erroneous queue index mask
    (bsc#1012628).
  - wifi: iwlwifi: mvm: don't set the MFP flag for the GTK
    (bsc#1012628).
  - wifi: iwlwifi: mvm: don't set replay counters to 0xff
    (bsc#1012628).
  - s390/pai: fix attr_event_free upper limit for pai device drivers
    (bsc#1012628).
  - s390/vdso: drop '-fPIC' from LDFLAGS (bsc#1012628).
  - arm64: dts: qcom: qcm6490-idp: Correct the voltage setting
    for vph_pwr (bsc#1012628).
  - arm64: dts: qcom: qcs6490-rb3gen2: Correct the voltage setting
    for vph_pwr (bsc#1012628).
  - selftests: forwarding: Add missing config entries (bsc#1012628).
  - selftests: forwarding: Add missing multicast routing config
    entries (bsc#1012628).
  - arm64: dts: qcom: sm6115: drop pipe clock selection
    (bsc#1012628).
  - ipv6: mcast: remove one synchronize_net() barrier in
    ipv6_mc_down() (bsc#1012628).
  - arm64: dts: mt8183: Move CrosEC base detection node to
    kukui-based DTs (bsc#1012628).
  - arm64: dts: mediatek: mt7986: fix reference to PWM in fan node
    (bsc#1012628).
  - arm64: dts: mediatek: mt7986: drop crypto's unneeded/invalid
    clock name (bsc#1012628).
  - arm64: dts: mediatek: mt7986: fix SPI bus width properties
    (bsc#1012628).
  - arm64: dts: mediatek: mt7986: fix SPI nodename (bsc#1012628).
  - arm64: dts: mediatek: mt7986: drop "#clock-cells" from PWM
    (bsc#1012628).
  - arm64: dts: mediatek: mt7986: add "#reset-cells" to infracfg
    (bsc#1012628).
  - arm64: dts: mediatek: mt8192-asurada: Remove CrosEC base
    detection node (bsc#1012628).
  - arm64: dts: mediatek: mt8192: fix vencoder clock name
    (bsc#1012628).
  - arm64: dts: mediatek: mt8186: fix VENC power domain clocks
    (bsc#1012628).
  - arm64: dts: mediatek: mt7622: add missing "device_type" to
    memory nodes (bsc#1012628).
  - can: m_can: Start/Cancel polling timer together with interrupts
    (bsc#1012628).
  - wifi: iwlwifi: mvm: Fix the listener MAC filter flags
    (bsc#1012628).
  - bpf: Mark bpf_spin_{lock,unlock}() helpers with notrace
    correctly (bsc#1012628).
  - arm64: dts: qcom: sdm845: Use the Low Power Island CX/MX for
    SLPI (bsc#1012628).
  - soc: qcom: llcc: Check return value on Broadcast_OR reg read
    (bsc#1012628).
  - ARM: dts: qcom: msm8974: correct qfprom node size (bsc#1012628).
  - arm64: dts: mediatek: mt8186: Add missing clocks to ssusb
    power domains (bsc#1012628).
  - arm64: dts: mediatek: mt8186: Add missing xhci clock to usb
    controllers (bsc#1012628).
  - arm64: dts: ti: am65x: Fix dtbs_install for Rocktech OLDI
    overlay (bsc#1012628).
  - cpufreq: qcom-hw: add CONFIG_COMMON_CLK dependency
    (bsc#1012628).
  - wifi: wilc1000: prevent use-after-free on vif when cleaning
    up all interfaces (bsc#1012628).
  - pwm: dwc: use pm_sleep_ptr() macro (bsc#1012628).
  - arm64: dts: ti: k3-am69-sk: fix PMIC interrupt number
    (bsc#1012628).
  - arm64: dts: ti: k3-j721e-sk: fix PMIC interrupt number
    (bsc#1012628).
  - arm64: dts: ti: k3-am62-main: disable usb lpm (bsc#1012628).
  - ACPI: processor_idle: Fix memory leak in
    acpi_processor_power_exit() (bsc#1012628).
  - bus: tegra-aconnect: Update dependency to ARCH_TEGRA
    (bsc#1012628).
  - iommu/amd: Mark interrupt as managed (bsc#1012628).
  - wifi: brcmsmac: avoid function pointer casts (bsc#1012628).
  - arm64: dts: qcom: sdm845-db845c: correct PCIe wake-gpios
    (bsc#1012628).
  - arm64: dts: qcom: sm8150: correct PCIe wake-gpios (bsc#1012628).
  - powercap: dtpm_cpu: Fix error check against
    freq_qos_add_request() (bsc#1012628).
  - net: ena: Remove ena_select_queue (bsc#1012628).
  - arm64: dts: ti: k3-j7200-common-proc-board: Modify Pinmux for
    wkup_uart0 and mcu_uart0 (bsc#1012628).
  - arm64: dts: ti: k3-j7200-common-proc-board: Remove
    clock-frequency from mcu_uart0 (bsc#1012628).
  - arm64: dts: ti: k3-j721s2-common-proc-board: Remove Pinmux
    for CTS and RTS in wkup_uart0 (bsc#1012628).
  - arm64: dts: ti: k3-j784s4-evm: Remove Pinmux for CTS and RTS
    in wkup_uart0 (bsc#1012628).
  - arm64: dts: ti: k3-am64-main: Fix ITAP/OTAP values for MMC
    (bsc#1012628).
  - arm64: dts: mt8195-cherry-tomato: change watchdog reset boot
    flow (bsc#1012628).
  - arm64: dts: ti: Add common1 register space for AM65x SoC
    (bsc#1012628).
  - arm64: dts: ti: Add common1 register space for AM62x SoC
    (bsc#1012628).
  - firmware: arm_scmi: Fix double free in SMC transport cleanup
    path (bsc#1012628).
  - wifi: cfg80211: set correct param change count in ML element
    (bsc#1012628).
  - arm64: dts: ti: k3-j721e: Fix mux-reg-masks in hbmc_mux
    (bsc#1012628).
  - arm64: dts: ti: k3-j784s4-main: Fix mux-reg-masks in
    serdes_ln_ctrl (bsc#1012628).
  - arm64: dts: ti: k3-am62p: Fix memory ranges for DMSS
    (bsc#1012628).
  - wifi: wilc1000: revert reset line logic flip (bsc#1012628).
  - ARM: dts: arm: realview: Fix development chip ROM compatible
    value (bsc#1012628).
  - memory: tegra: Correct DLA client names (bsc#1012628).
  - wifi: mt76: mt7996: fix fw loading timeout (bsc#1012628).
  - wifi: mt76: mt7925: fix connect to 80211b mode fail in 2Ghz band
    (bsc#1012628).
  - wifi: mt76: mt7925: fix SAP no beacon issue in 5Ghz and 6Ghz
    band (bsc#1012628).
  - wifi: mt76: mt7925: fix mcu query command fail (bsc#1012628).
  - wifi: mt76: mt7925: fix wmm queue mapping (bsc#1012628).
  - wifi: mt76: mt7925: fix fw download fail (bsc#1012628).
  - wifi: mt76: mt7925: fix WoW failed in encrypted mode
    (bsc#1012628).
  - wifi: mt76: mt7925: fix the wrong header translation config
    (bsc#1012628).
  - wifi: mt76: mt7925: add flow to avoid chip bt function fail
    (bsc#1012628).
  - wifi: mt76: mt7925: add support to set ifs time by mcu command
    (bsc#1012628).
  - wifi: mt76: mt7925: update PCIe DMA settings (bsc#1012628).
  - wifi: mt76: mt7996: check txs format before getting skb by pid
    (bsc#1012628).
  - wifi: mt76: mt7996: fix TWT issues (bsc#1012628).
  - wifi: mt76: mt7996: fix incorrect interpretation of EHT MCS caps
    (bsc#1012628).
  - wifi: mt76: mt7996: fix HE beamformer phy cap for station vif
    (bsc#1012628).
  - wifi: mt76: mt7996: fix efuse reading issue (bsc#1012628).
  - wifi: mt76: mt7996: fix HIF_TXD_V2_1 value (bsc#1012628).
  - wifi: mt76: mt792x: fix ethtool warning (bsc#1012628).
  - wifi: mt76: mt7921e: fix use-after-free in free_irq()
    (bsc#1012628).
  - wifi: mt76: mt7925e: fix use-after-free in free_irq()
    (bsc#1012628).
  - wifi: mt76: mt7921: fix incorrect type conversion for CLC
    command (bsc#1012628).
  - wifi: mt76: mt792x: fix a potential loading failure of the
    6Ghz channel config from ACPI (bsc#1012628).
  - wifi: mt76: fix the issue of missing txpwr settings from ch153
    to ch177 (bsc#1012628).
  - arm64: dts: renesas: rzg2l: Add missing interrupts to IRQC nodes
    (bsc#1012628).
  - arm64: dts: renesas: r9a08g045: Add missing interrupts to IRQC
    node (bsc#1012628).
  - arm64: dts: renesas: rzg3s-smarc-som: Guard Ethernet IRQ GPIO
    hogs (bsc#1012628).
  - arm64: dts: renesas: r8a779a0: Correct avb[01] reg sizes
    (bsc#1012628).
  - arm64: dts: renesas: r8a779g0: Correct avb[01] reg sizes
    (bsc#1012628).
  - net: mctp: copy skb ext data when fragmenting (bsc#1012628).
  - pstore: inode: Only d_invalidate() is needed (bsc#1012628).
  - arm64: dts: allwinner: h6: Add RX DMA channel for SPDIF
    (bsc#1012628).
  - ARM: dts: imx6dl-yapp4: Fix typo in the QCA switch register
    address (bsc#1012628).
  - ARM: dts: imx6dl-yapp4: Move the internal switch PHYs under
    the switch node (bsc#1012628).
  - arm64: dts: imx8mp: Set SPI NOR to max 40 MHz on Data Modul
    i.MX8M Plus eDM SBC (bsc#1012628).
  - arm64: dts: imx8mp-evk: Fix hdmi@3d node (bsc#1012628).
  - regulator: userspace-consumer: add module device table
    (bsc#1012628).
  - gpiolib: Pass consumer device through to core in
    devm_fwnode_gpiod_get_index() (bsc#1012628).
  - arm64: dts: marvell: reorder crypto interrupts on Armada SoCs
    (bsc#1012628).
  - ACPI: resource: Do IRQ override on Lunnen Ground laptops
    (bsc#1012628).
  - ACPI: resource: Add MAIBENBEN X577 to
    irq1_edge_low_force_override (bsc#1012628).
  - ACPI: scan: Fix device check notification handling
    (bsc#1012628).
  - arm64: dts: rockchip: add missing interrupt-names for rk356x
    vdpu (bsc#1012628).
  - arm64: dts: rockchip: fix reset-names for rk356x i2s2 controller
    (bsc#1012628).
  - arm64: dts: rockchip: drop rockchip,trcm-sync-tx-only from
    rk3588 i2s (bsc#1012628).
  - objtool: Fix UNWIND_HINT_{SAVE,RESTORE} across basic blocks
    (bsc#1012628).
  - x86, relocs: Ignore relocations in .notes section (bsc#1012628).
  - SUNRPC: fix a memleak in gss_import_v2_context (bsc#1012628).
  - SUNRPC: fix some memleaks in gssx_dec_option_array
    (bsc#1012628).
  - arm64: dts: qcom: sm8550: Fix SPMI channels size (bsc#1012628).
  - arm64: dts: qcom: sm8650: Fix SPMI channels size (bsc#1012628).
  - mmc: wmt-sdmmc: remove an incorrect release_mem_region()
    call in the .remove function (bsc#1012628).
  - ACPI: CPPC: enable AMD CPPC V2 support for family 17h processors
    (bsc#1012628).
  - wifi: rtw88: 8821cu: Fix firmware upload fail (bsc#1012628).
  - wifi: rtw88: 8821c: Fix beacon loss and disconnect
    (bsc#1012628).
  - wifi: rtw88: 8821c: Fix false alarm count (bsc#1012628).
  - wifi: brcm80211: handle pmk_op allocation failure (bsc#1012628).
  - riscv: dts: starfive: jh7100: fix root clock names
    (bsc#1012628).
  - PCI: Make pci_dev_is_disconnected() helper public for other
    drivers (bsc#1012628).
  - iommu/vt-d: Don't issue ATS Invalidation request when device
    is disconnected (bsc#1012628).
  - iommu/vt-d: Use rbtree to track iommu probed devices
    (bsc#1012628).
  - iommu/vt-d: Improve ITE fault handling if target device isn't
    present (bsc#1012628).
  - iommu/vt-d: Use device rbtree in iopf reporting path
    (bsc#1012628).
  - iommu: Add static iommu_ops->release_domain (bsc#1012628).
  - iommu/vt-d: Fix NULL domain on device release (bsc#1012628).
  - igc: Fix missing time sync events (bsc#1012628).
  - igb: Fix missing time sync events (bsc#1012628).
  - ice: fix stats being updated by way too large values
    (bsc#1012628).
  - Bluetooth: Remove HCI_POWER_OFF_TIMEOUT (bsc#1012628).
  - Bluetooth: mgmt: Remove leftover queuing of power_off work
    (bsc#1012628).
  - Bluetooth: Remove superfluous call to hci_conn_check_pending()
    (bsc#1012628).
  - Bluetooth: Remove BT_HS (bsc#1012628).
  - Bluetooth: hci_event: Fix not indicating new connection for
    BIG Sync (bsc#1012628).
  - Bluetooth: hci_qca: don't use IS_ERR_OR_NULL() with
    gpiod_get_optional() (bsc#1012628).
  - Bluetooth: hci_core: Cancel request on command timeout
    (bsc#1012628).
  - Bluetooth: hci_sync: Fix overwriting request callback
    (bsc#1012628).
  - Bluetooth: hci_h5: Add ability to allocate memory for private
    data (bsc#1012628).
  - Bluetooth: btrtl: fix out of bounds memory access (bsc#1012628).
  - Bluetooth: hci_core: Fix possible buffer overflow (bsc#1012628).
  - Bluetooth: msft: Fix memory leak (bsc#1012628).
  - Bluetooth: btusb: Fix memory leak (bsc#1012628).
  - Bluetooth: af_bluetooth: Fix deadlock (bsc#1012628).
  - Bluetooth: fix use-after-free in accessing skb after sending it
    (bsc#1012628).
  - sr9800: Add check for usbnet_get_endpoints (bsc#1012628).
  - s390/cache: prevent rebuild of shared_cpu_list (bsc#1012628).
  - bpf: Fix DEVMAP_HASH overflow check on 32-bit arches
    (bsc#1012628).
  - bpf: Fix hashtab overflow check on 32-bit arches (bsc#1012628).
  - bpf: Fix stackmap overflow check on 32-bit arches (bsc#1012628).
  - net: dsa: microchip: make sure drive strength configuration
    is not lost by soft reset (bsc#1012628).
  - dpll: spec: use proper enum for pin capabilities attribute
    (bsc#1012628).
  - iommu: Fix compilation without CONFIG_IOMMU_INTEL (bsc#1012628).
  - ipv6: fib6_rules: flush route cache when rule is changed
    (bsc#1012628).
  - net: ip_tunnel: make sure to pull inner header in
    ip_tunnel_rcv() (bsc#1012628).
  - octeontx2-af: Fix devlink params (bsc#1012628).
  - net: phy: fix phy_get_internal_delay accessing an empty array
    (bsc#1012628).
  - dpll: fix dpll_xa_ref_*_del() for multiple registrations
    (bsc#1012628).
  - net: hns3: fix wrong judgment condition issue (bsc#1012628).
  - net: hns3: fix kernel crash when 1588 is received on HIP08
    devices (bsc#1012628).
  - net: hns3: fix port duplex configure error in IMP reset
    (bsc#1012628).
  - Bluetooth: Fix eir name length (bsc#1012628).
  - net: phy: dp83822: Fix RGMII TX delay configuration
    (bsc#1012628).
  - erofs: fix lockdep false positives on initializing
    erofs_pseudo_mnt (bsc#1012628).
  - OPP: debugfs: Fix warning around icc_get_name() (bsc#1012628).
  - tcp: fix incorrect parameter validation in the
    do_tcp_getsockopt() function (bsc#1012628).
  - ipmr: fix incorrect parameter validation in the
    ip_mroute_getsockopt() function (bsc#1012628).
  - l2tp: fix incorrect parameter validation in the
    pppol2tp_getsockopt() function (bsc#1012628).
  - udp: fix incorrect parameter validation in the
    udp_lib_getsockopt() function (bsc#1012628).
  - net: kcm: fix incorrect parameter validation in the
    kcm_getsockopt) function (bsc#1012628).
  - net/x25: fix incorrect parameter validation in the
    x25_getsockopt() function (bsc#1012628).
  - devlink: Fix length of eswitch inline-mode (bsc#1012628).
  - r8152: fix unknown device for choose_configuration
    (bsc#1012628).
  - nfp: flower: handle acti_netdevs allocation failure
    (bsc#1012628).
  - bpf: hardcode BPF_PROG_PACK_SIZE to 2MB * num_possible_nodes()
    (bsc#1012628).
  - dm raid: fix false positive for requeue needed during reshape
    (bsc#1012628).
  - dm: call the resume method on internal suspend (bsc#1012628).
  - fbdev/simplefb: change loglevel when the power domains cannot
    be parsed (bsc#1012628).
  - drm/tegra: dsi: Add missing check for of_find_device_by_node
    (bsc#1012628).
  - drm/tegra: dpaux: Fix PM disable depth imbalance in
    tegra_dpaux_probe (bsc#1012628).
  - drm/tegra: dsi: Fix some error handling paths in
    tegra_dsi_probe() (bsc#1012628).
  - drm/tegra: dsi: Fix missing pm_runtime_disable() in the error
    handling path of tegra_dsi_probe() (bsc#1012628).
  - drm/tegra: hdmi: Fix some error handling paths in
    tegra_hdmi_probe() (bsc#1012628).
  - drm/tegra: rgb: Fix some error handling paths in
    tegra_dc_rgb_probe() (bsc#1012628).
  - drm/tegra: rgb: Fix missing clk_put() in the error handling
    paths of tegra_dc_rgb_probe() (bsc#1012628).
  - drm/tegra: output: Fix missing i2c_put_adapter() in the error
    handling paths of tegra_output_probe() (bsc#1012628).
  - drm/rockchip: inno_hdmi: Fix video timing (bsc#1012628).
  - drm: Don't treat 0 as -1 in drm_fixp2int_ceil (bsc#1012628).
  - drm/vkms: Avoid reading beyond LUT array (bsc#1012628).
  - drm/vmwgfx: fix a memleak in vmw_gmrid_man_get_node
    (bsc#1012628).
  - drm/rockchip: lvds: do not overwrite error code (bsc#1012628).
  - drm/rockchip: lvds: do not print scary message when probing
    defer (bsc#1012628).
  - drm/panel-edp: use put_sync in unprepare (bsc#1012628).
  - drm/lima: fix a memleak in lima_heap_alloc (bsc#1012628).
  - ASoC: amd: acp: Add missing error handling in sof-mach
    (bsc#1012628).
  - ASoC: SOF: amd: Fix memory leak in amd_sof_acp_probe()
    (bsc#1012628).
  - ASoC: SOF: core: Skip firmware test for custom loaders
    (bsc#1012628).
  - ASoC: SOF: amd: Compute file paths on firmware load
    (bsc#1012628).
  - soundwire: stream: add missing const to Documentation
    (bsc#1012628).
  - dmaengine: tegra210-adma: Update dependency to ARCH_TEGRA
    (bsc#1012628).
  - media: tc358743: register v4l2 async device only after
    successful setup (bsc#1012628).
  - media: cadence: csi2rx: use match fwnode for media link
    (bsc#1012628).
  - PCI/DPC: Print all TLP Prefixes, not just the first
    (bsc#1012628).
  - perf record: Fix possible incorrect free in
    record__switch_output() (bsc#1012628).
  - perf record: Check conflict between '--timestamp-filename'
    option and pipe mode before recording (bsc#1012628).
  - HID: lenovo: Add middleclick_workaround sysfs knob for cptkbd
    (bsc#1012628).
  - drm/amd/display: Fix a potential buffer overflow in
    'dp_dsc_clock_en_read()' (bsc#1012628).
  - perf pmu: Treat the msr pmu as software (bsc#1012628).
  - crypto: qat - avoid memcpy() overflow warning (bsc#1012628).
  - ALSA: hda: cs35l41: Set Channel Index correctly when system
    is missing _DSD (bsc#1012628).
  - drm/amd/display: Fix potential NULL pointer dereferences in
    'dcn10_set_output_transfer_func()' (bsc#1012628).
  - ASoC: sh: rz-ssi: Fix error message print (bsc#1012628).
  - drm/vmwgfx: Fix vmw_du_get_cursor_mob fencing of newly-created
    MOBs (bsc#1012628).
  - clk: renesas: r8a779g0: Fix PCIe clock name (bsc#1012628).
  - pinctrl: renesas: rzg2l: Fix locking in
    rzg2l_dt_subnode_to_map() (bsc#1012628).
  - pinctrl: renesas: r8a779g0: Add missing SCIF_CLK2 pin
    group/function (bsc#1012628).
  - clk: samsung: exynos850: Propagate SPI IPCLK rate change
    (bsc#1012628).
  - media: v4l2: cci: print leading 0 on error (bsc#1012628).
  - perf evsel: Fix duplicate initialization of data->id in
    evsel__parse_sample() (bsc#1012628).
  - perf bpf: Clean up the generated/copied vmlinux.h (bsc#1012628).
  - clk: meson: Add missing clocks to axg_clk_regmaps (bsc#1012628).
  - media: em28xx: annotate unchecked call to
    media_device_register() (bsc#1012628).
  - media: v4l2-tpg: fix some memleaks in tpg_alloc (bsc#1012628).
  - media: v4l2-mem2mem: fix a memleak in v4l2_m2m_register_entity
    (bsc#1012628).
  - media: dt-bindings: techwell,tw9900: Fix port schema ref
    (bsc#1012628).
  - mtd: spinand: esmt: Extend IDs to 5 bytes (bsc#1012628).
  - media: edia: dvbdev: fix a use-after-free (bsc#1012628).
  - pinctrl: mediatek: Drop bogus slew rate register range for
    MT8186 (bsc#1012628).
  - pinctrl: mediatek: Drop bogus slew rate register range for
    MT8192 (bsc#1012628).
  - drm/amdgpu: Fix potential out-of-bounds access in
    'amdgpu_discovery_reg_base_init()' (bsc#1012628).
  - clk: qcom: reset: Commonize the de/assert functions
    (bsc#1012628).
  - clk: qcom: reset: Ensure write completion on reset de/assertion
    (bsc#1012628).
  - quota: Fix potential NULL pointer dereference (bsc#1012628).
  - quota: Fix rcu annotations of inode dquot pointers
    (bsc#1012628).
  - quota: Properly annotate i_dquot arrays with __rcu
    (bsc#1012628).
  - ASoC: Intel: ssp-common: Add stub for sof_ssp_get_codec_name
    (bsc#1012628).
  - PCI/P2PDMA: Fix a sleeping issue in a RCU read section
    (bsc#1012628).
  - PCI: switchtec: Fix an error handling path in
    switchtec_pci_probe() (bsc#1012628).
  - crypto: xilinx - call finalize with bh disabled (bsc#1012628).
  - drivers/ps3: select VIDEO to provide cmdline functions
    (bsc#1012628).
  - perf thread_map: Free strlist on normal path in
    thread_map__new_by_tid_str() (bsc#1012628).
  - perf srcline: Add missed addr2line closes (bsc#1012628).
  - dt-bindings: msm: qcom, mdss: Include ommited fam-b compatible
    (bsc#1012628).
  - drm/msm/dpu: fix the programming of INTF_CFG2_DATA_HCTL_EN
    (bsc#1012628).
  - drm/msm/dpu: Only enable DSC_MODE_MULTIPLEX if dsc_merge is
    enabled (bsc#1012628).
  - drm/radeon/ni: Fix wrong firmware size logging in
    ni_init_microcode() (bsc#1012628).
  - drm/amd/display: fix NULL checks for adev->dm.dc in
    amdgpu_dm_fini() (bsc#1012628).
  - clk: renesas: r8a779g0: Correct PFC/GPIO parent clocks
    (bsc#1012628).
  - clk: renesas: r8a779f0: Correct PFC/GPIO parent clock
    (bsc#1012628).
  - clk: renesas: r9a07g04[34]: Use SEL_SDHI1_STS status
    configuration for SD1 mux (bsc#1012628).
  - ALSA: seq: fix function cast warnings (bsc#1012628).
  - perf expr: Fix "has_event" function for metric style events
    (bsc#1012628).
  - perf stat: Avoid metric-only segv (bsc#1012628).
  - perf metric: Don't remove scale from counts (bsc#1012628).
  - ASoC: meson: aiu: fix function pointer type mismatch
    (bsc#1012628).
  - ASoC: meson: t9015: fix function pointer type mismatch
    (bsc#1012628).
  - powerpc: Force inlining of arch_vmap_p{u/m}d_supported()
    (bsc#1012628).
  - ASoC: SOF: Add some bounds checking to firmware data
    (bsc#1012628).
  - drm: ci: use clk_ignore_unused for apq8016 (bsc#1012628).
  - NTB: fix possible name leak in ntb_register_device()
    (bsc#1012628).
  - media: cedrus: h265: Fix configuring bitstream size
    (bsc#1012628).
  - media: sun8i-di: Fix coefficient writes (bsc#1012628).
  - media: sun8i-di: Fix power on/off sequences (bsc#1012628).
  - media: sun8i-di: Fix chroma difference threshold (bsc#1012628).
  - staging: media: starfive: Set 16 bpp for capture_raw device
    (bsc#1012628).
  - media: imx: csc/scaler: fix v4l2_ctrl_handler memory leak
    (bsc#1012628).
  - media: go7007: add check of return value of go7007_read_addr()
    (bsc#1012628).
  - media: pvrusb2: remove redundant NULL check (bsc#1012628).
  - media: videobuf2: Add missing doc comment for waiting_in_dqbuf
    (bsc#1012628).
  - media: pvrusb2: fix pvr2_stream_callback casts (bsc#1012628).
  - clk: qcom: dispcc-sdm845: Adjust internal GDSC wait times
    (bsc#1012628).
  - drm/amd/display: Add 'replay' NULL check in
    'edp_set_replay_allow_active()' (bsc#1012628).
  - drm/panel: boe-tv101wum-nl6: make use of prepare_prev_first
    (bsc#1012628).
  - drm/msm/dpu: finalise global state object (bsc#1012628).
  - drm/mediatek: dsi: Fix DSI RGB666 formats and definitions
    (bsc#1012628).
  - PCI: Mark 3ware-9650SE Root Port Extended Tags as broken
    (bsc#1012628).
  - drm/bridge: adv7511: fix crash on irq during probe
    (bsc#1012628).
  - pinctrl: renesas: Allow the compiler to optimize away sh_pfc_pm
    (bsc#1012628).
  - clk: hisilicon: hi3519: Release the correct number of gates
    in hi3519_clk_unregister() (bsc#1012628).
  - clk: hisilicon: hi3559a: Fix an erroneous devm_kfree()
    (bsc#1012628).
  - clk: mediatek: mt8135: Fix an error handling path in
    clk_mt8135_apmixed_probe() (bsc#1012628).
  - clk: mediatek: mt7622-apmixedsys: Fix an error handling path
    in clk_mt8135_apmixed_probe() (bsc#1012628).
  - clk: mediatek: mt8183: Correct parent of CLK_INFRA_SSPM_32K_SELF
    (bsc#1012628).
  - clk: mediatek: mt7981-topckgen: flag SGM_REG_SEL as critical
    (bsc#1012628).
  - drm/tegra: put drm_gem_object ref on error in tegra_fb_create
    (bsc#1012628).
  - tty: mips_ejtag_fdc: Fix passing incompatible pointer type
    warning (bsc#1012628).
  - media: ivsc: csi: Swap SINK and SOURCE pads (bsc#1012628).
  - media: i2c: imx290: Fix IMX920 typo (bsc#1012628).
  - mfd: syscon: Call of_node_put() only when of_parse_phandle()
    takes a ref (bsc#1012628).
  - mfd: altera-sysmgr: Call of_node_put() only when
    of_parse_phandle() takes a ref (bsc#1012628).
  - perf print-events: make is_event_supported() more robust
    (bsc#1012628).
  - crypto: arm/sha - fix function cast warnings (bsc#1012628).
  - crypto: ccp - Avoid discarding errors in
    psp_send_platform_access_msg() (bsc#1012628).
  - crypto: qat - remove unused macros in qat_comp_alg.c
    (bsc#1012628).
  - crypto: qat - removed unused macro in adf_cnv_dbgfs.c
    (bsc#1012628).
  - crypto: qat - avoid division by zero (bsc#1012628).
  - crypto: qat - remove double initialization of value
    (bsc#1012628).
  - crypto: qat - fix ring to service map for dcc in 4xxx
    (bsc#1012628).
  - crypto: qat - fix ring to service map for dcc in 420xx
    (bsc#1012628).
  - crypto: jitter - fix CRYPTO_JITTERENTROPY help text
    (bsc#1012628).
  - drm/tidss: Fix initial plane zpos values (bsc#1012628).
  - drm/tidss: Fix sync-lost issue with two displays (bsc#1012628).
  - clk: imx: imx8mp: Fix SAI_MCLK_SEL definition (bsc#1012628).
  - mtd: maps: physmap-core: fix flash size larger than 32-bit
    (bsc#1012628).
  - mtd: rawnand: lpc32xx_mlc: fix irq handler prototype
    (bsc#1012628).
  - mtd: rawnand: brcmnand: exec_op helper functions return type
    fixes (bsc#1012628).
  - ASoC: meson: axg-tdm-interface: fix mclk setup without mclk-fs
    (bsc#1012628).
  - ASoC: meson: axg-tdm-interface: add frame rate constraint
    (bsc#1012628).
  - drm/msm/a6xx: specify UBWC config for sc7180 (bsc#1012628).
  - drm/msm/a7xx: Fix LLC typo (bsc#1012628).
  - dt-bindings: arm-smmu: fix SM8[45]50 GPU SMMU if condition
    (bsc#1012628).
  - perf pmu: Fix a potential memory leak in perf_pmu__lookup()
    (bsc#1012628).
  - HID: amd_sfh: Update HPD sensor structure elements
    (bsc#1012628).
  - HID: amd_sfh: Avoid disabling the interrupt (bsc#1012628).
  - drm/amdgpu: Fix missing break in ATOM_ARG_IMM Case of
    atom_get_src_int() (bsc#1012628).
  - media: pvrusb2: fix uaf in pvr2_context_set_notify
    (bsc#1012628).
  - media: dvb-frontends: avoid stack overflow warnings with clang
    (bsc#1012628).
  - media: go7007: fix a memleak in go7007_load_encoder
    (bsc#1012628).
  - media: ttpci: fix two memleaks in budget_av_attach
    (bsc#1012628).
  - media: mediatek: vcodec: avoid -Wcast-function-type-strict
    warning (bsc#1012628).
  - arm64: ftrace: Don't forbid CALL_OPS+CC_OPTIMIZE_FOR_SIZE with
    Clang (bsc#1012628).
  - drm/tests: helpers: Include missing drm_drv header
    (bsc#1012628).
  - drm/amd/pm: Fix esm reg mask use to get pcie speed
    (bsc#1012628).
  - gpio: nomadik: fix offset bug in nmk_pmx_set() (bsc#1012628).
  - drm/mediatek: Fix a null pointer crash in
    mtk_drm_crtc_finish_page_flip (bsc#1012628).
  - mfd: cs42l43: Fix wrong register defaults (bsc#1012628).
  - powerpc/32: fix ADB_CUDA kconfig warning (bsc#1012628).
  - powerpc/pseries: Fix potential memleak in papr_get_attr()
    (bsc#1012628).
  - powerpc/hv-gpci: Fix the H_GET_PERF_COUNTER_INFO hcall return
    value checks (bsc#1012628).
  - clk: qcom: gcc-ipq5018: fix 'enable_reg' offset of
    'gcc_gmac0_sys_clk' (bsc#1012628).
  - clk: qcom: gcc-ipq5018: fix 'halt_reg' offset of
    'gcc_pcie1_pipe_clk' (bsc#1012628).
  - clk: qcom: gcc-ipq5018: fix register offset for
    GCC_UBI0_AXI_ARES reset (bsc#1012628).
  - perf vendor events amd: Fix Zen 4 cache latency events
    (bsc#1012628).
  - drm/msm/dpu: allow certain formats for CDM for DP (bsc#1012628).
  - drm/msm/dpu: add division of drm_display_mode's hskew parameter
    (bsc#1012628).
  - media: usbtv: Remove useless locks in usbtv_video_free()
    (bsc#1012628).
  - drm/xe: Fix ref counting leak on page fault (bsc#1012628).
  - drm/xe: Replace 'grouped target' in Makefile with pattern rule
    (bsc#1012628).
  - lib/stackdepot: fix first entry having a 0-handle (bsc#1012628).
  - lib/stackdepot: off by one in depot_fetch_stack() (bsc#1012628).
  - modules: wait do_free_init correctly (bsc#1012628).
  - mfd: cs42l43: Fix wrong GPIO_FN_SEL and SPI_CLK_CONFIG1 defaults
    (bsc#1012628).
  - power: supply: mm8013: fix "not charging" detection
    (bsc#1012628).
  - powerpc/embedded6xx: Fix no previous prototype for
    avr_uart_send() etc (bsc#1012628).
  - powerpc/4xx: Fix warp_gpio_leds build failure (bsc#1012628).
  - RISC-V: KVM: Forward SEED CSR access to user space
    (bsc#1012628).
  - leds: aw2013: Unlock mutex before destroying it (bsc#1012628).
  - leds: sgm3140: Add missing timer cleanup and flash gpio control
    (bsc#1012628).
  - backlight: hx8357: Fix potential NULL pointer dereference
    (bsc#1012628).
  - backlight: ktz8866: Correct the check for of_property_read_u32
    (bsc#1012628).
  - backlight: lm3630a: Initialize backlight_properties on init
    (bsc#1012628).
  - backlight: lm3630a: Don't set bl->props.brightness in
    get_brightness (bsc#1012628).
  - backlight: da9052: Fully initialize backlight_properties during
    probe (bsc#1012628).
  - backlight: lm3639: Fully initialize backlight_properties during
    probe (bsc#1012628).
  - backlight: lp8788: Fully initialize backlight_properties during
    probe (bsc#1012628).
  - sparc32: Use generic cmpdi2/ucmpdi2 variants (bsc#1012628).
  - mtd: maps: sun_uflash: Declare uflash_devinit static
    (bsc#1012628).
  - sparc32: Do not select GENERIC_ISA_DMA (bsc#1012628).
  - sparc32: Fix section mismatch in leon_pci_grpci (bsc#1012628).
  - clk: Fix clk_core_get NULL dereference (bsc#1012628).
  - clk: zynq: Prevent null pointer dereference caused by kmalloc
    failure (bsc#1012628).
  - PCI: brcmstb: Fix broken brcm_pcie_mdio_write() polling
    (bsc#1012628).
  - cifs: Fix writeback data corruption (bsc#1012628).
  - ALSA: hda/realtek: fix ALC285 issues on HP Envy x360 laptops
    (bsc#1012628).
  - ALSA: hda/tas2781: use dev_dbg in system_resume (bsc#1012628).
  - ALSA: hda/tas2781: add lock to system_suspend (bsc#1012628).
  - ALSA: hda/tas2781: do not reset cur_* values in runtime_suspend
    (bsc#1012628).
  - ALSA: hda/tas2781: do not call pm_runtime_force_* in
    system_resume/suspend (bsc#1012628).
  - ALSA: hda/tas2781: restore power state after system_resume
    (bsc#1012628).
  - ALSA: scarlett2: Fix Scarlett 4th Gen 4i4 low-voltage detection
    (bsc#1012628).
  - ALSA: scarlett2: Fix Scarlett 4th Gen autogain status values
    (bsc#1012628).
  - ALSA: scarlett2: Fix Scarlett 4th Gen input gain range
    (bsc#1012628).
  - ALSA: scarlett2: Fix Scarlett 4th Gen input gain range again
    (bsc#1012628).
  - mips: cm: Convert __mips_cm_l2sync_phys_base() to weak function
    (bsc#1012628).
  - platform/x86/intel/pmc/lnl: Remove SSRAM support (bsc#1012628).
  - platform/x86/intel/pmc/arl: Put GNA device in D3 (bsc#1012628).
  - platform/x86/amd/pmf: Do not use readl() for policy buffer
    access (bsc#1012628).
  - ALSA: usb-audio: Stop parsing channels bits when all channels
    are found (bsc#1012628).
  - phy: qcom: qmp-usb: split USB-C PHY driver (bsc#1012628).
  - phy: qcom: qmp-usbc: add support for the Type-C handling
    (bsc#1012628).
  - phy: qcom: qmp-usbc: handle CLAMP register in a correct way
    (bsc#1012628).
  - scsi: hisi_sas: Fix a deadlock issue related to automatic dump
    (bsc#1012628).
  - RDMA/irdma: Remove duplicate assignment (bsc#1012628).
  - RDMA/srpt: Do not register event handler until srpt device is
    fully setup (bsc#1012628).
  - f2fs: compress: fix to guarantee persisting compressed blocks
    by CP (bsc#1012628).
  - f2fs: compress: fix to cover normal cluster write with cp_rwsem
    (bsc#1012628).
  - f2fs: compress: fix to check unreleased compressed cluster
    (bsc#1012628).
  - f2fs: compress: fix to avoid inconsistence bewteen i_blocks
    and dnode (bsc#1012628).
  - f2fs: fix to remove unnecessary f2fs_bug_on() to avoid panic
    (bsc#1012628).
  - f2fs: zone: fix to wait completion of last bio in zone correctly
    (bsc#1012628).
  - f2fs: fix NULL pointer dereference in f2fs_submit_page_write()
    (bsc#1012628).
  - f2fs: compress: fix to cover f2fs_disable_compressed_file()
    w/ i_sem (bsc#1012628).
  - f2fs: fix to avoid potential panic during recovery
    (bsc#1012628).
  - scsi: csiostor: Avoid function pointer casts (bsc#1012628).
  - i3c: dw: Disable IBI IRQ depends on hot-join and SIR enabling
    (bsc#1012628).
  - RDMA/hns: Fix mis-modifying default congestion control algorithm
    (bsc#1012628).
  - RDMA/device: Fix a race between mad_client and cm_client init
    (bsc#1012628).
  - RDMA/rtrs-clt: Check strnlen return len in sysfs
    mpath_policy_store() (bsc#1012628).
  - scsi: bfa: Fix function pointer type mismatch for hcb_qe->cbfn
    (bsc#1012628).
  - f2fs: fix to create selinux label during whiteout initialization
    (bsc#1012628).
  - f2fs: compress: fix to check zstd compress level correctly in
    mount option (bsc#1012628).
  - net: sunrpc: Fix an off by one in rpc_sockaddr2uaddr()
    (bsc#1012628).
  - NFSv4.2: fix nfs4_listxattr kernel BUG at mm/usercopy.c:102
    (bsc#1012628).
  - NFSv4.2: fix listxattr maximum XDR buffer size (bsc#1012628).
  - f2fs: compress: fix to check compress flag w/ .i_sem lock
    (bsc#1012628).
  - f2fs: check number of blocks in a current section (bsc#1012628).
  - watchdog: starfive: Check pm_runtime_enabled() before
    decrementing usage counter (bsc#1012628).
  - watchdog: stm32_iwdg: initialize default timeout (bsc#1012628).
  - f2fs: fix to use correct segment type in
    f2fs_allocate_data_block() (bsc#1012628).
  - f2fs: ro: compress: fix to avoid caching unaligned extent
    (bsc#1012628).
  - RDMA/mana_ib: Fix bug in creation of dma regions (bsc#1012628).
  - RDMA/mana_ib: Introduce mdev_to_gc helper function
    (bsc#1012628).
  - RDMA/mana_ib: Introduce mana_ib_get_netdev helper function
    (bsc#1012628).
  - RDMA/mana_ib: Introduce mana_ib_install_cq_cb helper function
    (bsc#1012628).
  - RDMA/mana_ib: Use virtual address in dma regions for MRs
    (bsc#1012628).
  - Input: iqs7222 - add support for IQS7222D v1.1 and v1.2
    (bsc#1012628).
  - NFS: Fix nfs_netfs_issue_read() xarray locking for writeback
    interrupt (bsc#1012628).
  - NFS: Fix an off by one in root_nfs_cat() (bsc#1012628).
  - NFSv4.1/pnfs: fix NFS with TLS in pnfs (bsc#1012628).
  - ACPI: HMAT: Remove register of memory node for generic target
    (bsc#1012628).
  - f2fs: compress: relocate some judgments in
    f2fs_reserve_compress_blocks (bsc#1012628).
  - f2fs: compress: fix reserve_cblocks counting error when out
    of space (bsc#1012628).
  - f2fs: fix to truncate meta inode pages forcely (bsc#1012628).
  - f2fs: zone: fix to remove pow2 check condition for zoned block
    device (bsc#1012628).
  - cxl: Fix the incorrect assignment of SSLBIS entry pointer
    initial location (bsc#1012628).
  - perf/x86/amd/core: Avoid register reset when CPU is dead
    (bsc#1012628).
  - afs: Revert "afs: Hide silly-rename files from userspace"
    (bsc#1012628).
  - afs: Don't cache preferred address (bsc#1012628).
  - afs: Fix occasional rmdir-then-VNOVNODE with generic/011
    (bsc#1012628).
  - f2fs: fix to avoid use-after-free issue in f2fs_filemap_fault
    (bsc#1012628).
  - nfs: fix panic when nfs4_ff_layout_prepare_ds() fails
    (bsc#1012628).
  - ovl: relax WARN_ON in ovl_verify_area() (bsc#1012628).
  - io_uring/net: correct the type of variable (bsc#1012628).
  - remoteproc: stm32: Fix incorrect type in assignment for va
    (bsc#1012628).
  - remoteproc: stm32: Fix incorrect type assignment returned by
    stm32_rproc_get_loaded_rsc_tablef (bsc#1012628).
  - iio: pressure: mprls0025pa fix off-by-one enum (bsc#1012628).
  - usb: phy: generic: Get the vbus supply (bsc#1012628).
  - tty: vt: fix 20 vs 0x20 typo in EScsiignore (bsc#1012628).
  - serial: max310x: fix syntax error in IRQ error message
    (bsc#1012628).
  - tty: serial: samsung: fix tx_empty() to return TIOCSER_TEMT
    (bsc#1012628).
  - arm64: dts: broadcom: bcmbca: bcm4908: drop invalid switch cells
    (bsc#1012628).
  - coresight: Fix issue where a source device's helpers aren't
    disabled (bsc#1012628).
  - coresight: etm4x: Set skip_power_up in etm4_init_arch_data
    function (bsc#1012628).
  - xhci: Add interrupt pending autoclear flag to each interrupter
    (bsc#1012628).
  - xhci: make isoc_bei_interval variable interrupter specific
    (bsc#1012628).
  - xhci: remove unnecessary event_ring_deq parameter from
    xhci_handle_event() (bsc#1012628).
  - xhci: update event ring dequeue pointer position to controller
    correctly (bsc#1012628).
  - coccinelle: device_attr_show: Remove useless expression STR
    (bsc#1012628).
  - kconfig: fix infinite loop when expanding a macro at the end
    of file (bsc#1012628).
  - iio: gts-helper: Fix division loop (bsc#1012628).
  - bus: mhi: ep: check the correct variable in
    mhi_ep_register_controller() (bsc#1012628).
  - hwtracing: hisi_ptt: Move type check to the beginning of
    hisi_ptt_pmu_event_init() (bsc#1012628).
  - rtc: mt6397: select IRQ_DOMAIN instead of depending on it
    (bsc#1012628).
  - rtc: max31335: fix interrupt status reg (bsc#1012628).
  - serial: 8250_exar: Don't remove GPIO device on suspend
    (bsc#1012628).
  - staging: greybus: fix get_channel_from_mode() failure path
    (bsc#1012628).
  - mei: vsc: Call wake_up() in the threaded IRQ handler
    (bsc#1012628).
  - mei: vsc: Don't use sleeping condition in wait_event_timeout()
    (bsc#1012628).
  - usb: gadget: net2272: Use irqflags in the call to
    net2272_probe_fin (bsc#1012628).
  - char: xilinx_hwicap: Fix NULL vs IS_ERR() bug (bsc#1012628).
  - x86/hyperv: Use per cpu initial stack for vtl context
    (bsc#1012628).
  - ASoC: tlv320adc3xxx: Don't strip remove function when driver
    is builtin (bsc#1012628).
  - thermal/drivers/mediatek/lvts_thermal: Fix a memory leak in
    an error handling path (bsc#1012628).
  - thermal/drivers/qoriq: Fix getting tmu range (bsc#1012628).
  - io_uring: don't save/restore iowait state (bsc#1012628).
  - spi: lpspi: Avoid potential use-after-free in probe()
    (bsc#1012628).
  - spi: Restore delays for non-GPIO chip select (bsc#1012628).
  - ASoC: rockchip: i2s-tdm: Fix inaccurate sampling rates
    (bsc#1012628).
  - nouveau: reset the bo resource bus info after an eviction
    (bsc#1012628).
  - tcp: Fix NEW_SYN_RECV handling in inet_twsk_purge()
    (bsc#1012628).
  - rds: tcp: Fix use-after-free of net in reqsk_timer_handler()
    (bsc#1012628).
  - octeontx2-af: Use matching wake_up API variant in CGX command
    interface (bsc#1012628).
  - s390/vtime: fix average steal time calculation (bsc#1012628).
  - net/sched: taprio: proper TCA_TAPRIO_TC_ENTRY_INDEX check
    (bsc#1012628).
  - devlink: Fix devlink parallel commands processing (bsc#1012628).
  - riscv: Only check online cpus for emulated accesses
    (bsc#1012628).
  - soc: fsl: dpio: fix kcalloc() argument order (bsc#1012628).
  - cpufreq: Fix per-policy boost behavior on SoCs using
    cpufreq_boost_set_sw() (bsc#1012628).
  - io_uring: Fix release of pinned pages when __io_uaddr_map fails
    (bsc#1012628).
  - tcp: Fix refcnt handling in __inet_hash_connect() (bsc#1012628).
  - vmxnet3: Fix missing reserved tailroom (bsc#1012628).
  - hsr: Fix uninit-value access in hsr_get_node() (bsc#1012628).
  - net: txgbe: fix clk_name exceed MAX_DEV_ID limits (bsc#1012628).
  - spi: spi-mem: add statistics support to ->exec_op() calls
    (bsc#1012628).
  - spi: Fix error code checking in spi_mem_exec_op() (bsc#1012628).
  - nvme: fix reconnection fail due to reserved tag allocation
    (bsc#1012628).
  - drm/xe: Invalidate userptr VMA on page pin fault (bsc#1012628).
  - drm/xe: Skip VMAs pin when requesting signal to the last XE_EXEC
    (bsc#1012628).
  - net: mediatek: mtk_eth_soc: clear MAC_MCR_FORCE_LINK only when
    MAC is up (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix PPE hanging issue (bsc#1012628).
  - io_uring: fix poll_remove stalled req completion (bsc#1012628).
  - ASoC: SOF: amd: Move signed_fw_image to struct acp_quirk_entry
    (bsc#1012628).
  - ASoC: SOF: amd: Skip IRAM/DRAM size modification for Steam
    Deck OLED (bsc#1012628).
  - riscv: Fix compilation error with FAST_GUP and rv32
    (bsc#1012628).
  - xen/evtchn: avoid WARN() when unbinding an event channel
    (bsc#1012628).
  - xen/events: increment refcnt only if event channel is refcounted
    (bsc#1012628).
  - packet: annotate data-races around ignore_outgoing
    (bsc#1012628).
  - xfrm: Allow UDP encapsulation only in offload modes
    (bsc#1012628).
  - net: veth: do not manipulate GRO when using XDP (bsc#1012628).
  - net: dsa: mt7530: prevent possible incorrect XTAL frequency
    selection (bsc#1012628).
  - spi: spi-imx: fix off-by-one in mx51 CPU mode burst length
    (bsc#1012628).
  - drm: Fix drm_fixp2int_round() making it add 0.5 (bsc#1012628).
  - virtio: uapi: Drop __packed attribute in linux/virtio_pci.h
    (bsc#1012628).
  - vdpa_sim: reset must not run (bsc#1012628).
  - vdpa/mlx5: Allow CVQ size changes (bsc#1012628).
  - virtio: packed: fix unmap leak for indirect desc table
    (bsc#1012628).
  - net: move dev->state into net_device_read_txrx group
    (bsc#1012628).
  - wireguard: receive: annotate data-race around
    receiving_counter.counter (bsc#1012628).
  - rds: introduce acquire/release ordering in
    acquire/release_in_xmit() (bsc#1012628).
  - hsr: Handle failures in module init (bsc#1012628).
  - ipv4: raw: Fix sending packets from raw sockets via IPsec
    tunnels (bsc#1012628).
  - nouveau/gsp: don't check devinit disable on GSP (bsc#1012628).
  - ceph: stop copying to iter at EOF on sync reads (bsc#1012628).
  - net: phy: fix phy_read_poll_timeout argument type in
    genphy_loopback (bsc#1012628).
  - dm-integrity: fix a memory leak when rechecking the data
    (bsc#1012628).
  - net/bnx2x: Prevent access to a freed page in page_pool
    (bsc#1012628).
  - devlink: fix port new reply cmd type (bsc#1012628).
  - octeontx2: Detect the mbox up or down message via register
    (bsc#1012628).
  - octeontx2-pf: Wait till detach_resources msg is complete
    (bsc#1012628).
  - octeontx2-pf: Use default max_active works instead of one
    (bsc#1012628).
  - octeontx2-pf: Send UP messages to VF only when VF is up
    (bsc#1012628).
  - octeontx2-af: Use separate handlers for interrupts
    (bsc#1012628).
  - drm/amdgpu: add MMHUB 3.3.1 support (bsc#1012628).
  - drm/amdgpu: fix mmhub client id out-of-bounds access
    (bsc#1012628).
  - drm/amdgpu: drop setting buffer funcs in sdma442 (bsc#1012628).
  - netfilter: nft_set_pipapo: release elements in clone only from
    destroy path (bsc#1012628).
  - netfilter: nf_tables: do not compare internal table flags on
    updates (bsc#1012628).
  - rcu: add a helper to report consolidated flavor QS
    (bsc#1012628).
  - net: report RCU QS on threaded NAPI repolling (bsc#1012628).
  - bpf: report RCU QS in cpumap kthread (bsc#1012628).
  - net: dsa: mt7530: fix link-local frames that ingress vlan
    filtering ports (bsc#1012628).
  - net: dsa: mt7530: fix handling of all link-local frames
    (bsc#1012628).
  - netfilter: nf_tables: Fix a memory leak in nf_tables_updchain
    (bsc#1012628).
  - spi: spi-mt65xx: Fix NULL pointer access in interrupt handler
    (bsc#1012628).
  - selftests: forwarding: Fix ping failure due to short timeout
    (bsc#1012628).
  - dm io: Support IO priority (bsc#1012628).
  - dm-integrity: align the outgoing bio in integrity_recheck
    (bsc#1012628).
  - x86/efistub: Clear decompressor BSS in native EFI entrypoint
    (bsc#1012628).
  - x86/efistub: Don't clear BSS twice in mixed mode (bsc#1012628).
  - printk: Adjust mapping for 32bit seq macros (bsc#1012628).
  - printk: Use prb_first_seq() as base for 32bit seq macros
    (bsc#1012628).
  - Update config files.
  - Rename to
    patches.kernel.org/6.8.2-043-aoe-fix-the-potential-use-after-free-problem-in.patch.
  - Rename to
    patches.kernel.org/6.8.2-055-wifi-ath10k-fix-NULL-pointer-dereference-in-ath.patch.
  - Rename to
    patches.kernel.org/6.8.2-280-btrfs-fix-race-when-detecting-delalloc-ranges-d.patch.
  - commit f53ef05
* Tue Mar 26 2024 colyli@suse.de
  - md/raid5: fix atomicity violation in raid5_cache_count
    (bsc#1219169, CVE-2024-23307).
  - commit c5176b2
* Tue Mar 26 2024 jlee@suse.com
  - wifi: ath10k: fix NULL pointer dereference in
    ath10k_wmi_tlv_op_pull_mgmt_tx_compl_ev() (bsc#1218336
    CVE-2023-7042).
  - commit 7ab0c97
* Mon Mar 25 2024 mkubecek@suse.cz
  - update to 6.9-rc1
  - drop 19 patches (14 mainline, 5 stable)
    - patches.kernel.org/*
    - patches.suse/Bluetooth-btmtk-Add-MODULE_FIRMWARE-for-MT7922.patch
    - patches.suse/btrfs-fix-race-when-detecting-delalloc-ranges-during.patch
    - patches.suse/iwlwifi-cfg-Add-missing-MODULE_FIRMWARE-for-pnvm.patch
    - patches.suse/net-mdio-add-2.5g-and-5g-related-PMA-speed-constants.patch
    - patches.suse/net-phy-realtek-add-5Gbps-support-to-rtl822x_config_.patch
    - patches.suse/net-phy-realtek-add-support-for-RTL8126A-integrated-.patch
    - patches.suse/net-phy-realtek-use-generic-MDIO-constants.patch
    - patches.suse/r8169-add-support-for-RTL8126A.patch
    - patches.suse/wifi-ath11k-do-not-dump-SRNG-statistics-during-resum.patch
    - patches.suse/wifi-ath11k-fix-warning-on-DMA-ring-capabilities-eve.patch
    - patches.suse/wifi-ath11k-rearrange-IRQ-enable-disable-in-reset-pa.patch
    - patches.suse/wifi-ath11k-remove-MHI-LOOPBACK-channels.patch
    - patches.suse/wifi-ath11k-thermal-don-t-try-to-register-multiple-t.patch
    - patches.suse/wifi-brcmfmac-Fix-use-after-free-bug-in-brcmf_cfg802.patch
  - refresh
    - patches.suse/0001-security-lockdown-expose-a-hook-to-lock-the-kernel-down.patch
    - patches.suse/Restore-kABI-for-NVidia-vGPU-driver.patch
    - patches.suse/add-product-identifying-information-to-vmcoreinfo.patch
    - patches.suse/bus-mhi-host-add-mhi_power_down_no_destroy.patch
    - patches.suse/drivers-firmware-skip-simpledrm-if-nvidia-drm.modese.patch
    - patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch
    - patches.suse/vfs-add-super_operations-get_inode_dev
  - disable ARM architectures (need config update)
  - new config options
    - Processor type and features
    - X86_FRED=y
    - Mitigations for speculative execution vulnerabilities
    - MITIGATION_PAGE_TABLE_ISOLATION=y
    - MITIGATION_RETPOLINE=y
    - MITIGATION_RETHUNK=y
    - MITIGATION_UNRET_ENTRY=y
    - MITIGATION_CALL_DEPTH_TRACKING=y
    - MITIGATION_IBPB_ENTRY=y
    - MITIGATION_IBRS_ENTRY=y
    - MITIGATION_SRSO=y
    - MITIGATION_SLS=y
    - MITIGATION_GDS_FORCE=n
    - Power management and ACPI options
    - HIBERNATION_COMP_LZO=y
    - HIBERNATION_COMP_LZ4=n
    - General architecture-dependent options
    - PAGE_SIZE_4KB=y
    - File systems
    - FUSE_PASSTHROUGH=y
    - Cryptographic API
    - CRYPTO_DEV_QAT_ERROR_INJECTION=n
    - Network device support
    - OCTEON_EP_VF=m
    - QCA83XX_PHY=m
    - QCA808X_PHY=m
    - CAN_ESD_402_PCI=m
    - Input device support
    - TOUCHSCREEN_GOODIX_BERLIN_I2C=m
    - TOUCHSCREEN_GOODIX_BERLIN_SPI=m
    - Hardware Monitoring support
    - SENSORS_ASUS_ROG_RYUJIN=m
    - SENSORS_CHIPCAP2=m
    - SENSORS_LTC4282=m
    - SENSORS_NZXT_KRAKEN3=m
    - SENSORS_MPQ8785=m
    - SENSORS_PT5161L=m
    - SENSORS_SURFACE_FAN=m
    - Graphics support
    - MAX6959=n
    - SEG_LED_GPIO=n
    - DRM_I915_DP_TUNNEL=y
    - BACKLIGHT_KTD2801=m
    - Sound card support
    - SND_SOC_AMD_SOUNDWIRE=m
    - SND_SOC_SOF_AMD_SOUNDWIRE=m
    - SND_SOC_WCD939X_SDW=n
    - USB support
    - USB_DEFAULT_AUTHORIZATION_MODE=1
    - TYPEC_MUX_IT5205=m
    - Industrial I/O support
    - AD9467=n
    - ADI_AXI_ADC=n
    - PAC1934=n
    - TI_ADS1298=n
    - ADMFM2000=n
    - Reliability, Availability and Serviceability (RAS) features
    - AMD_ATL=m
    - RAS_FMPM=m
    - Misc drivers
    - MTD_UBI_NVMEM=m
    - DM_VDO=m
    - PTP_1588_CLOCK_FC3W=m
    - GPIO_CROS_EC=m
    - W1_MASTER_UART=m
    - CROS_EC_WATCHDOG=m
    - QCOM_PBS=m
    - RESET_GPIO=m
    - OF dependent (i386, ppc64/ppc64le, riscv64)
    - DRM_PANEL_BOE_TH101MB31UIG002_28A=n
    - DRM_PANEL_HIMAX_HX83112A=n
    - DRM_PANEL_NOVATEK_NT36672E=n
    - LEDS_NCP5623=m
    - i386
    - QCA807X_PHY=m
    - PINCTRL_AW9523=m
    - DRM_XE=m
    - DRM_XE_* copied from x86_64
    - SND_SOC_FRAMER=m
    - AF8133J=n
    - ppc64le
    - NET_DSA_REALTEK_MDIO=y
    - NET_DSA_REALTEK_SMI=y
    - QCA807X_PHY=m
    - PINCTRL_AW9523=m
    - s390x
    - DRM_DEBUG_MM=n
    - DEBUG_VIRTUAL=n
    - riscv64
    - RISCV_PROBE_UNALIGNED_ACCESS=y
    - HIBERNATION=y
    - HIBERNATION_SNAPSHOT_DEV=y
    - PM_STD_PARTITION=""
    - ACPI_CPPC_CPUFREQ=m
    - ACPI_CPPC_CPUFREQ_FIE=y
    - ACPI_PROCESSOR=y
    - ACPI_THERMAL=m
    - RANDOMIZE_KSTACK_OFFSET=y
    - RANDOMIZE_KSTACK_OFFSET_DEFAULT=y
    - NET_DSA_REALTEK_MDIO=y
    - NET_DSA_REALTEK_SMI=y
    - SND_SOC_FRAMER=m
    - MMC_DW_HI3798MV200=m
    - AF8133J=n
    - STARFIVE_JH8100_INTC=y
    - STARFIVE_STARLINK_PMU=y
    - ANDES_CUSTOM_PMU=y
    - CRYPTO_*_RISCV64=m
  - commit ffd2471
* Mon Mar 25 2024 tiwai@suse.de
  - wifi: ath11k: decrease MHI channel buffer length to 8KB
    (bsc#1207948).
  - commit 6ca52f8
* Thu Mar 21 2024 jlee@suse.com
  - aoe: fix the potential use-after-free problem in aoecmd_cfg_pkts
    (bsc#1218562 CVE-2023-6270).
  - commit 525e9e7
* Thu Mar 21 2024 msuchanek@suse.de
  - Workaround broken chacha crypto fallback (boo#1218114).
  - commit 6a29422
* Tue Mar 19 2024 jslaby@suse.cz
  - Revert "btrfs: remove code for inode_cache and recovery mount
    options" (https://github.com/yast/yast-update/issues/197).
  - commit d922afa
* Mon Mar 18 2024 jslaby@suse.cz
  - Linux 6.8.1 (bsc#1012628).
  - Rename to
    patches.kernel.org/6.8.1-001-x86-mmio-Disable-KVM-mitigation-when-X86_FEATUR.patch.
  - Rename to
    patches.kernel.org/6.8.1-002-Documentation-hw-vuln-Add-documentation-for-RFD.patch.
  - Rename to
    patches.kernel.org/6.8.1-003-x86-rfds-Mitigate-Register-File-Data-Sampling-R.patch.
  - Rename to
    patches.kernel.org/6.8.1-004-KVM-x86-Export-RFDS_NO-and-RFDS_CLEAR-to-guests.patch.
  - commit 74a8025
* Thu Mar 14 2024 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream references and move into sorted section:
    - patches.suse/iwlwifi-cfg-Add-missing-MODULE_FIRMWARE-for-pnvm.patch
    - patches.suse/wifi-ath11k-do-not-dump-SRNG-statistics-during-resum.patch
    - patches.suse/wifi-ath11k-fix-warning-on-DMA-ring-capabilities-eve.patch
    - patches.suse/wifi-ath11k-rearrange-IRQ-enable-disable-in-reset-pa.patch
    - patches.suse/wifi-ath11k-remove-MHI-LOOPBACK-channels.patch
    - patches.suse/wifi-ath11k-thermal-don-t-try-to-register-multiple-t.patch
  - commit 96ac51b
* Thu Mar 14 2024 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream references and resort:
    - patches.suse/Bluetooth-btmtk-Add-MODULE_FIRMWARE-for-MT7922.patch
    - patches.suse/net-mdio-add-2.5g-and-5g-related-PMA-speed-constants.patch
    - patches.suse/net-phy-realtek-add-5Gbps-support-to-rtl822x_config_.patch
    - patches.suse/net-phy-realtek-add-support-for-RTL8126A-integrated-.patch
    - patches.suse/net-phy-realtek-use-generic-MDIO-constants.patch
    - patches.suse/r8169-add-support-for-RTL8126A.patch
    - patches.suse/wifi-brcmfmac-Fix-use-after-free-bug-in-brcmf_cfg802.patch
  - commit 63b2803
* Wed Mar 13 2024 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream status and move to sorted section:
    - patches.suse/btrfs-fix-race-when-detecting-delalloc-ranges-during.patch
  - commit e863123
* Wed Mar 13 2024 jslaby@suse.cz
  - KVM/x86: Export RFDS_NO and RFDS_CLEAR to guests (bsc#1213456
    CVE-2023-28746).
  - x86/rfds: Mitigate Register File Data Sampling (RFDS)
    (bsc#1213456 CVE-2023-28746).
  - Update config files. Set MITIGATION_RFDS=y.
  - Documentation/hw-vuln: Add documentation for RFDS (bsc#1213456
    CVE-2023-28746).
  - x86/mmio: Disable KVM mitigation when X86_FEATURE_CLEAR_CPU_BUF
    is set (bsc#1213456 CVE-2023-28746).
  - commit d8d0d20
* Tue Mar 12 2024 jslaby@suse.cz
  - btrfs: fix race when detecting delalloc ranges during fiemap
    (btrfs-fix).
  - commit 5e23030
* Mon Mar 11 2024 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream references and move into sorted section:
    - patches.suse/wifi-brcmfmac-Fix-use-after-free-bug-in-brcmf_cfg802.patch
    - patches.suse/net-phy-realtek-add-support-for-RTL8126A-integrated-.patch
    - patches.suse/r8169-add-support-for-RTL8126A.patch
    - patches.suse/net-mdio-add-2.5g-and-5g-related-PMA-speed-constants.patch
    - patches.suse/net-phy-realtek-use-generic-MDIO-constants.patch
    - patches.suse/net-phy-realtek-add-5Gbps-support-to-rtl822x_config_.patch
    - patches.suse/Bluetooth-btmtk-Add-MODULE_FIRMWARE-for-MT7922.patch
  - commit 002260c
* Mon Mar 11 2024 jslaby@suse.cz
  - rpm/mkspec-dtb: resolve packaging conflicts better
    The merge commit ad1679b2612f left both %ifs in place. Remove the one
    which d26c540d7eed was removing originally.
    This fixes errors like:
    dtb-armv7l.spec : error: line 1442: Unclosed %if
    The commit also removed SUBPKG_CASE. Reintroduce it, otherwise we see
    shell garbage in the description
  - commit e4b3d06
* Mon Mar 11 2024 jslaby@suse.cz
  - Refresh
    patches.suse/mm-mmap-fix-vma_merge-case-7-with-vma_ops-close.patch.
    Update upstream status and move to sorted section.
  - commit c6dad0c
* Sun Mar 10 2024 mkubecek@suse.cz
  - Update to 6.8 final
  - update configs
  - commit a551d7b
* Sun Mar 10 2024 mkubecek@suse.cz
  - config: update and reenable armv6hl configs
  - option values mirrored from armv7hl
  - commit be3b67b
* Sun Mar 10 2024 mkubecek@suse.cz
  - config: update and reenable armv7hl configs
  - option values mirrored from arm64 or other architectures
  - commit 336405e
* Sun Mar 10 2024 mkubecek@suse.cz
  - config: update and reenable arm64 configs
  - most options mirrored from other architectures except
    - ARM64_ERRATUM_3117295=y
    - TEE_STMM_EFI=m
    - PINCTRL_SM4450=m
    - PINCTRL_SM8650=m
    - PINCTRL_X1E80100=m
    - PINCTRL_SM8650_LPASS_LPI=m
    - GPIO_NPCM_SGPIO=y
    - GPIO_RTD=m
    - VIDEO_STM32_DCMIPP=m
    - DRM_POWERVR=m
    - SND_SOC_X1E80100=m
    - RTC_DRV_MA35D1=m
    - COMMON_CLK_MT7988=m
    - CLK_X1E80100_GCC=m
    - SC_CAMCC_8280XP=m
    - QDU_ECPRICC_1000=m
    - SM_DISPCC_8650=m
    - SM_GCC_8650=m
    - SM_GPUCC_8650=m
    - SM_TCSRCC_8650=m
    - COMMON_CLK_STM32MP=y
    - INTERCONNECT_QCOM_SM6115=m
    - INTERCONNECT_QCOM_SM8650=m
    - INTERCONNECT_QCOM_X1E80100=m
    - KASAN_EXTRA_INFO=n (arm64/debug only)
  - commit 99c97ec
* Thu Mar 07 2024 msuchanek@suse.de
  - group-source-files.pl: Quote filenames (boo#1221077).
    The kernel source now contains a file with a space in the name.
    Add quotes in group-source-files.pl to avoid splitting the filename.
    Also use -print0 / -0 when updating timestamps.
  - commit a005e42
* Thu Mar 07 2024 jslaby@suse.cz
  - Linux 6.7.9 (bsc#1012628).
  - mtd: spinand: gigadevice: Fix the get ecc status issue
    (bsc#1012628).
  - ice: fix connection state of DPLL and out pin (bsc#1012628).
  - ice: fix dpll input pin phase_adjust value updates
    (bsc#1012628).
  - ice: fix dpll and dpll_pin data access on PF reset
    (bsc#1012628).
  - ice: fix dpll periodic work data updates on PF reset
    (bsc#1012628).
  - ice: fix pin phase adjust updates on PF reset (bsc#1012628).
  - spi: cadence-qspi: fix pointer reference in runtime PM hooks
    (bsc#1012628).
  - spi: cadence-qspi: remove system-wide suspend helper calls
    from runtime PM hooks (bsc#1012628).
  - netlink: Fix kernel-infoleak-after-free in __skb_datagram_iter
    (bsc#1012628).
  - netlink: add nla be16/32 types to minlen array (bsc#1012628).
  - net: ip_tunnel: prevent perpetual headroom growth (bsc#1012628).
  - net: mctp: take ownership of skb in mctp_local_output
    (bsc#1012628).
  - net: dpaa: fman_memac: accept phy-interface-type = "10gbase-r"
    in the device tree (bsc#1012628).
  - tun: Fix xdp_rxq_info's queue_index when detaching
    (bsc#1012628).
  - cpufreq: intel_pstate: fix pstate limits enforcement for
    adjust_perf call back (bsc#1012628).
  - net: veth: clear GRO when clearing XDP even when down
    (bsc#1012628).
  - ipv6: fix potential "struct net" leak in inet6_rtm_getaddr()
    (bsc#1012628).
  - lan78xx: enable auto speed configuration for LAN7850 if no
    EEPROM is detected (bsc#1012628).
  - veth: try harder when allocating queue memory (bsc#1012628).
  - net: usb: dm9601: fix wrong return value in dm9601_mdio_read
    (bsc#1012628).
  - net: lan78xx: fix "softirq work is pending" error (bsc#1012628).
  - uapi: in6: replace temporary label with rfc9486 (bsc#1012628).
  - stmmac: Clear variable when destroying workqueue (bsc#1012628).
  - Bluetooth: hci_sync: Check the correct flag before starting
    a scan (bsc#1012628).
  - Bluetooth: Avoid potential use-after-free in hci_error_reset
    (bsc#1012628).
  - Bluetooth: hci_sync: Fix accept_list when attempting to suspend
    (bsc#1012628).
  - Bluetooth: hci_event: Fix wrongly recorded wakeup BD_ADDR
    (bsc#1012628).
  - Bluetooth: hci_event: Fix handling of HCI_EV_IO_CAPA_REQUEST
    (bsc#1012628).
  - Bluetooth: Enforce validation on max value of connection
    interval (bsc#1012628).
  - Bluetooth: qca: Fix wrong event type for patch config command
    (bsc#1012628).
  - Bluetooth: hci_qca: Set BDA quirk bit if fwnode exists in DT
    (bsc#1012628).
  - Bluetooth: qca: Fix triggering coredump implementation
    (bsc#1012628).
  - netfilter: nf_tables: allow NFPROTO_INET in
    nft_(match/target)_validate() (bsc#1012628).
  - netfilter: bridge: confirm multicast packets before passing
    them up the stack (bsc#1012628).
  - tools: ynl: fix handling of multiple mcast groups (bsc#1012628).
  - rtnetlink: fix error logic of IFLA_BRIDGE_FLAGS writing back
    (bsc#1012628).
  - igb: extend PTP timestamp adjustments to i211 (bsc#1012628).
  - net: hsr: Use correct offset for HSR TLV values in supervisory
    HSR frames (bsc#1012628).
  - tls: decrement decrypt_pending if no async completion will be
    called (bsc#1012628).
  - tls: fix peeking with sync+async decryption (bsc#1012628).
  - tls: separate no-async decryption request handling from async
    (bsc#1012628).
  - tls: fix use-after-free on failed backlog decryption
    (bsc#1012628).
  - riscv: tlb: fix __p*d_free_tlb() (bsc#1012628).
  - efi/capsule-loader: fix incorrect allocation size (bsc#1012628).
  - power: supply: bq27xxx-i2c: Do not free non existing IRQ
    (bsc#1012628).
  - ASoC: cs35l56: Must clear HALO_STATE before issuing SYSTEM_RESET
    (bsc#1012628).
  - ALSA: Drop leftover snd-rtctimer stuff from Makefile
    (bsc#1012628).
  - ASoC: qcom: Fix uninitialized pointer dmactl (bsc#1012628).
  - gpu: host1x: Skip reset assert on Tegra186 (bsc#1012628).
  - riscv: mm: fix NOCACHE_THEAD does not set bit[61] correctly
    (bsc#1012628).
  - riscv: Fix build error if !CONFIG_ARCH_ENABLE_HUGEPAGE_MIGRATION
    (bsc#1012628).
  - ASoC: cs35l56: cs35l56_component_remove() must clear
    cs35l56->component (bsc#1012628).
  - ASoC: cs35l56: cs35l56_component_remove() must clean up wm_adsp
    (bsc#1012628).
  - ASoC: cs35l56: Don't add the same register patch multiple times
    (bsc#1012628).
  - ASoC: cs35l56: Fix for initializing ASP1 mixer registers
    (bsc#1012628).
  - ASoC: cs35l56: Fix misuse of wm_adsp 'part' string for silicon
    revision (bsc#1012628).
  - ASoC: cs35l56: Fix deadlock in ASP1 mixer register
    initialization (bsc#1012628).
  - ASoC: soc-card: Fix missing locking in
    snd_soc_card_get_kcontrol() (bsc#1012628).
  - RISC-V: Ignore V from the riscv,isa DT property on older T-Head
    CPUs (bsc#1012628).
  - drm/tegra: Remove existing framebuffer only if we support
    display (bsc#1012628).
  - fbcon: always restore the old font data in fbcon_do_set_font()
    (bsc#1012628).
  - afs: Fix endless loop in directory parsing (bsc#1012628).
  - drm/amd/display: Prevent potential buffer overflow in
    map_hw_resources (bsc#1012628).
  - drivers: perf: added capabilities for legacy PMU (bsc#1012628).
  - drivers: perf: ctr_get_width function for legacy is not defined
    (bsc#1012628).
  - Revert "riscv: mm: support Svnapot in huge vmap" (bsc#1012628).
  - riscv: Fix pte_leaf_size() for NAPOT (bsc#1012628).
  - riscv: Sparse-Memory/vmemmap out-of-bounds fix (bsc#1012628).
  - btrfs: fix race between ordered extent completion and fiemap
    (bsc#1012628).
  - drm/nouveau: keep DMA buffers required for suspend/resume
    (bsc#1012628).
  - of: property: fw_devlink: Fix stupid bug in remote-endpoint
    parsing (bsc#1012628).
  - tomoyo: fix UAF write bug in tomoyo_write_control()
    (bsc#1012628).
  - ALSA: firewire-lib: fix to check cycle continuity (bsc#1012628).
  - ALSA: ump: Fix the discard error code from snd_ump_legacy_open()
    (bsc#1012628).
  - ALSA: hda/realtek: Fix top speaker connection on Dell Inspiron
    16 Plus 7630 (bsc#1012628).
  - ALSA: hda/realtek: tas2781: enable subwoofer volume control
    (bsc#1012628).
  - ALSA: hda/realtek: Enable Mute LED on HP 840 G8 (MB 8AB8)
    (bsc#1012628).
  - ALSA: hda/realtek: fix mute/micmute LED For HP mt440
    (bsc#1012628).
  - ALSA: hda/realtek: Add special fixup for Lenovo 14IRP8
    (bsc#1012628).
  - Bluetooth: hci_bcm4377: do not mark valid bd_addr as invalid
    (bsc#1012628).
  - landlock: Fix asymmetric private inodes referring (bsc#1012628).
  - gtp: fix use-after-free and null-ptr-deref in gtp_newlink()
    (bsc#1012628).
  - mm: cachestat: fix folio read-after-free in cache walk
    (bsc#1012628).
  - mtd: rawnand: marvell: fix layouts (bsc#1012628).
  - wifi: nl80211: reject iftype change with mesh ID change
    (bsc#1012628).
  - btrfs: fix double free of anonymous device after snapshot
    creation failure (bsc#1012628).
  - btrfs: dev-replace: properly validate device names
    (bsc#1012628).
  - btrfs: send: don't issue unnecessary zero writes for trailing
    hole (bsc#1012628).
  - Revert "drm/amd/pm: resolve reboot exception for si oland"
    (bsc#1012628).
  - drm/buddy: fix range bias (bsc#1012628).
  - drm/amdgpu/pm: Fix the power1_min_cap value (bsc#1012628).
  - drm/amd/display: Add monitor patch for specific eDP
    (bsc#1012628).
  - soc: qcom: pmic_glink: Fix boot when QRTR=m (bsc#1012628).
  - dmaengine: fsl-qdma: fix SoC may hang on 16 byte unaligned read
    (bsc#1012628).
  - crypto: arm64/neonbs - fix out-of-bounds access on short input
    (bsc#1012628).
  - dmaengine: ptdma: use consistent DMA masks (bsc#1012628).
  - dmaengine: fsl-edma: correct calculation of 'nbytes' in
    multi-fifo scenario (bsc#1012628).
  - dmaengine: fsl-qdma: init irq after reg initialization
    (bsc#1012628).
  - mmc: mmci: stm32: fix DMA API overlapping mappings warning
    (bsc#1012628).
  - mmc: core: Fix eMMC initialization with 1-bit bus connection
    (bsc#1012628).
  - mmc: sdhci-xenon: add timeout for PHY init complete
    (bsc#1012628).
  - mmc: sdhci-xenon: fix PHY init clock stability (bsc#1012628).
  - ceph: switch to corrected encoding of max_xattr_size in mdsmap
    (bsc#1012628).
  - RISC-V: Drop invalid test from CONFIG_AS_HAS_OPTION_ARCH
    (bsc#1012628).
  - riscv: add CALLER_ADDRx support (bsc#1012628).
  - riscv: Fix enabling cbo.zero when running in M-mode
    (bsc#1012628).
  - power: supply: mm8013: select REGMAP_I2C (bsc#1012628).
  - kbuild: Add -Wa,--fatal-warnings to as-instr invocation
    (bsc#1012628).
  - iommufd: Fix iopt_access_list_id overwrite bug (bsc#1012628).
  - iommufd: Fix protection fault in iommufd_test_syz_conv_iova
    (bsc#1012628).
  - efivarfs: Request at most 512 bytes for variable names
    (bsc#1012628).
  - pmdomain: arm: Fix NULL dereference on scmi_perf_domain removal
    (bsc#1012628).
  - pmdomain: qcom: rpmhpd: Fix enabled_corner aggregation
    (bsc#1012628).
  - fprobe: Fix to allocate entry_data_size buffer with rethook
    instances (bsc#1012628).
  - mm/debug_vm_pgtable: fix BUG_ON with pud advanced test
    (bsc#1012628).
  - mm/vmscan: fix a bug calling wakeup_kswapd() with a wrong zone
    index (bsc#1012628).
  - x86/e820: Don't reserve SETUP_RNG_SEED in e820 (bsc#1012628).
  - x86/cpu: Allow reducing x86_phys_bits during
    early_identify_cpu() (bsc#1012628).
  - x86/cpu/intel: Detect TME keyid bits before setting MTRR mask
    registers (bsc#1012628).
  - mptcp: map v4 address to v6 when destroying subflow
    (bsc#1012628).
  - mptcp: push at DSS boundaries (bsc#1012628).
  - selftests: mptcp: join: add ss mptcp support check
    (bsc#1012628).
  - mptcp: fix snd_wnd initialization for passive socket
    (bsc#1012628).
  - mptcp: fix potential wake-up event loss (bsc#1012628).
  - mptcp: fix double-free on socket dismantle (bsc#1012628).
  - mfd: twl6030-irq: Revert to use of_match_device() (bsc#1012628).
  - NFS: Fix data corruption caused by congestion (bsc#1012628).
  - af_unix: Drop oob_skb ref before purging queue in GC
    (bsc#1012628).
  - ASoC: cs35l56: fix reversed if statement in
    cs35l56_dspwait_asp1tx_put() (bsc#1012628).
  - dmaengine: dw-edma: Fix the ch_count hdma callback
    (bsc#1012628).
  - dmaengine: dw-edma: Fix wrong interrupt bit set for HDMA
    (bsc#1012628).
  - dmaengine: dw-edma: HDMA_V0_REMOTEL_STOP_INT_EN typo fix
    (bsc#1012628).
  - dmaengine: dw-edma: Add HDMA remote interrupt configuration
    (bsc#1012628).
  - dmaengine: dw-edma: HDMA: Add sync read before starting the
    DMA transfer in remote setup (bsc#1012628).
  - dmaengine: dw-edma: eDMA: Add sync read before starting the
    DMA transfer in remote setup (bsc#1012628).
  - phy: freescale: phy-fsl-imx8-mipi-dphy: Fix alias name to use
    dashes (bsc#1012628).
  - phy: qcom: phy-qcom-m31: fix wrong pointer pass to PTR_ERR()
    (bsc#1012628).
  - phy: qcom-qmp-usb: fix v3 offsets data (bsc#1012628).
  - dmaengine: idxd: Remove shadow Event Log head stored in idxd
    (bsc#1012628).
  - dmaengine: idxd: Ensure safe user copy of completion record
    (bsc#1012628).
  - powerpc/pseries/iommu: IOMMU table is not initialized for
    kdump over SR-IOV (bsc#1012628).
  - powerpc/rtas: use correct function name for resetting TCE tables
    (bsc#1012628).
  - gpio: 74x164: Enable output pins after registers are reset
    (bsc#1012628).
  - gpiolib: Fix the error path order in
    gpiochip_add_data_with_key() (bsc#1012628).
  - gpio: fix resource unwinding order in error path (bsc#1012628).
  - block: define bvec_iter as __packed __aligned(4) (bsc#1012628).
  - selftests: mptcp: add evts_get_info helper (bsc#1012628).
  - selftests: mptcp: add chk_subflows_total helper (bsc#1012628).
  - selftests: mptcp: update userspace pm test helpers
    (bsc#1012628).
  - selftests: mptcp: add mptcp_lib_is_v6 (bsc#1012628).
  - selftests: mptcp: rm subflow with v4/v4mapped addr
    (bsc#1012628).
  - drm/nouveau: don't fini scheduler before entity flush
    (bsc#1012628).
  - Rename to
    patches.kernel.org/6.7.9-121-mptcp-avoid-printing-warning-once-on-client-sid.patch.
  - Rename to
    patches.kernel.org/6.7.9-127-mptcp-fix-possible-deadlock-in-subflow-diag.patch.
  - Rename to
    patches.kernel.org/6.7.9-130-af_unix-Fix-task-hung-while-purging-oob_skb-in-.patch.
  - Rename to
    patches.kernel.org/6.7.9-150-x86-entry_64-Add-VERW-just-before-userspace-tra.patch.
  - Rename to
    patches.kernel.org/6.7.9-151-x86-entry_32-Add-VERW-just-before-userspace-tra.patch.
  - Rename to
    patches.kernel.org/6.7.9-152-x86-bugs-Use-ALTERNATIVE-instead-of-mds_user_cl.patch.
  - Rename to
    patches.kernel.org/6.7.9-153-KVM-VMX-Use-BT-JNC-i.e.-EFLAGS.CF-to-select-VMR.patch.
  - Rename to
    patches.kernel.org/6.7.9-154-KVM-VMX-Move-VERW-closer-to-VMentry-for-MDS-mit.patch.
  - commit 752a7bc
* Wed Mar 06 2024 msuchanek@suse.de
  - kernel-binary: Fix i386 build
    Fixes: 89eaf4cdce05 ("rpm templates: Move macro definitions below buildrequires")
  - commit f7c6351
* Wed Mar 06 2024 msuchanek@suse.de
  - kernel-binary: vdso: fix filelist for non-usrmerged kernel
    Fixes: a6ad8af207e6 ("rpm templates: Always define usrmerged")
  - commit fb3f221
* Mon Mar 04 2024 vkarasulli@suse.de
  - Update
    patches.kernel.org/6.7.2-260-drm-sched-Fix-bounds-limiting-when-given-a-malf.patch
    (bsc#1012628 bsc#1220322 CVE-2023-52461).
  - commit c6c85cb
* Mon Mar 04 2024 vkarasulli@suse.de
  - Update
    patches.kernel.org/6.7.2-283-media-v4l-async-Fix-duplicated-list-deletion.patch
    (bsc#1012628 bsc#1220318 CVE-2023-52459).
  - commit 57771fb
* Mon Mar 04 2024 petr.pavlu@suse.com
  - doc/README.SUSE: Update information about module support status
    (jsc#PED-5759)
    Following the code change in SLE15-SP6 to have externally supported
    modules no longer taint the kernel, update the respective documentation
    in README.SUSE:
    * Describe that support status can be obtained at runtime for each
    module from /sys/module/$MODULE/supported and for the entire system
    from /sys/kernel/supported. This provides a way how to now check that
    the kernel has any externally supported modules loaded.
    * Remove a mention that externally supported modules taint the kernel,
    but keep the information about bit 16 (X) and add a note that it is
    still tracked per module and can be read from
    /sys/module/$MODULE/taint. This per-module information also appears in
    Oopses.
  - commit 9ed8107
* Mon Mar 04 2024 tiwai@suse.de
  - Bluetooth: btmtk: Add MODULE_FIRMWARE() for MT7922
    (bsc#1214133).
  - commit 8b861a8
* Mon Mar 04 2024 jslaby@suse.cz
  - Update
    patches.kernel.org/6.7.2-504-serial-8250-omap-Don-t-skip-resource-freeing-if.patch
    (bsc#1012628 bsc#1220350 CVE-2023-52457).
  - Update
    patches.kernel.org/6.7.2-520-serial-imx-fix-tx-statemachine-deadlock.patch
    (bsc#1012628 bsc#1220364 CVE-2023-52456).
  - Update
    patches.kernel.org/6.7.5-109-PCI-ASPM-Fix-deadlock-when-enabling-ASPM.patch
    (bsc#1012628 bsc#1220336 CVE-2024-26605).
    Add CVE references.
  - commit 08a1e2c
* Mon Mar 04 2024 jslaby@suse.cz
  - Linux 6.7.8 (bsc#1012628).
  - Rename to
    patches.kernel.org/6.7.8-001-fs-ntfs3-fix-build-without-CONFIG_NTFS3_LZX_XPR.patch.
  - commit 81068ab
* Sun Mar 03 2024 mkubecek@suse.cz
  - Update to 6.8-rc7
  - eliminate 1 mainline patch
    - patches.rpmify/net-ethernet-adi-move-PHYLIB-from-vendor-to-driver-s.patch (943d4bd67950)
  - update riscv64 configs
    - RISCV_ISA_V=y
    - RISCV_ISA_V_DEFAULT_ENABLE=y
    - RISCV_ISA_V_UCOPY_THRESHOLD=768
    - RISCV_ISA_V_PREEMPTIVE=y
    - RISCV_ISA_ZBB=y
  - commit ed0a227
* Fri Mar 01 2024 jslaby@suse.cz
  - mptcp: fix possible deadlock in subflow diag (git-fixes).
  - mptcp: avoid printing warning once on client side (git-fixes).
  - commit 1ff84c5
* Fri Mar 01 2024 jslaby@suse.cz
  - Linux 6.7.7 (bsc#1012628).
  - dmaengine: apple-admac: Keep upper bits of REG_BUS_WIDTH
    (bsc#1012628).
  - scsi: smartpqi: Add new controller PCI IDs (bsc#1012628).
  - scsi: smartpqi: Fix logical volume rescan race condition
    (bsc#1012628).
  - tools: selftests: riscv: Fix compile warnings in hwprobe
    (bsc#1012628).
  - tools: selftests: riscv: Fix compile warnings in cbo
    (bsc#1012628).
  - tools: selftests: riscv: Fix compile warnings in vector tests
    (bsc#1012628).
  - tools: selftests: riscv: Fix compile warnings in mm tests
    (bsc#1012628).
  - scsi: target: core: Add TMF to tmr_list handling (bsc#1012628).
  - cifs: open_cached_dir should not rely on primary channel
    (bsc#1012628).
  - dmaengine: shdma: increase size of 'dev_id' (bsc#1012628).
  - dmaengine: fsl-qdma: increase size of 'irq_name' (bsc#1012628).
  - dmaengine: dw-edma: increase size of 'name' in debugfs code
    (bsc#1012628).
  - wifi: cfg80211: fix missing interfaces when dumping
    (bsc#1012628).
  - wifi: mac80211: fix race condition on enabling fast-xmit
    (bsc#1012628).
  - fbdev: savage: Error out if pixclock equals zero (bsc#1012628).
  - fbdev: sis: Error out if pixclock equals zero (bsc#1012628).
  - platform/mellanox: mlxbf-tmfifo: Drop Tx network packet when
    Tx TmFIFO is full (bsc#1012628).
  - spi: intel-pci: Add support for Arrow Lake SPI serial flash
    (bsc#1012628).
  - spi: hisi-sfc-v3xx: Return IRQ_NONE if no interrupts were
    detected (bsc#1012628).
  - block: Fix WARNING in _copy_from_iter (bsc#1012628).
  - smb: Work around Clang __bdos() type confusion (bsc#1012628).
  - cifs: cifs_pick_channel should try selecting active channels
    (bsc#1012628).
  - cifs: translate network errors on send to -ECONNABORTED
    (bsc#1012628).
  - cifs: helper function to check replayable error codes
    (bsc#1012628).
  - ahci: asm1166: correct count of reported ports (bsc#1012628).
  - aoe: avoid potential deadlock at set_capacity (bsc#1012628).
  - spi: cs42l43: Handle error from devm_pm_runtime_enable
    (bsc#1012628).
  - ahci: add 43-bit DMA address quirk for ASMedia ASM1061
    controllers (bsc#1012628).
  - ARM: dts: Fix TPM schema violations (bsc#1012628).
  - drm/amd/display: Disable ips before dc interrupt setting
    (bsc#1012628).
  - MIPS: reserve exception vector space ONLY ONCE (bsc#1012628).
  - platform/x86: touchscreen_dmi: Add info for the TECLAST X16
    Plus tablet (bsc#1012628).
  - ext4: avoid dividing by 0 in mb_update_avg_fragment_size()
    when block bitmap corrupt (bsc#1012628).
  - ext4: avoid allocating blocks from corrupted group in
    ext4_mb_try_best_found() (bsc#1012628).
  - ext4: avoid allocating blocks from corrupted group in
    ext4_mb_find_by_goal() (bsc#1012628).
  - Input: goodix - accept ACPI resources with gpio_count == 3 &&
    gpio_int_idx == 0 (bsc#1012628).
  - dmaengine: ti: edma: Add some null pointer checks to the
    edma_probe (bsc#1012628).
  - ASoC: amd: acp: Add check for cpu dai link initialization
    (bsc#1012628).
  - regulator: pwm-regulator: Add validity checks in continuous
    .get_voltage (bsc#1012628).
  - HID: logitech-hidpp: add support for Logitech G Pro X Superlight
    2 (bsc#1012628).
  - ALSA: hda: Replace numeric device IDs with constant values
    (bsc#1012628).
  - ALSA: hda: Increase default bdl_pos_adj for Apollo Lake
    (bsc#1012628).
  - HID: nvidia-shield: Add missing null pointer checks to LED
    initialization (bsc#1012628).
  - nvmet-tcp: fix nvme tcp ida memory leak (bsc#1012628).
  - usb: ucsi_acpi: Quirk to ack a connector change ack cmd
    (bsc#1012628).
  - ALSA: usb-audio: Check presence of valid altsetting control
    (bsc#1012628).
  - ASoC: sunxi: sun4i-spdif: Add support for Allwinner H616
    (bsc#1012628).
  - ALSA: hda: cs35l41: Support additional ASUS Zenbook UX3402VA
    (bsc#1012628).
  - ALSA: hda: cs35l41: Support ASUS Zenbook UM3402YAR
    (bsc#1012628).
  - regulator (max5970): Fix IRQ handler (bsc#1012628).
  - spi: sh-msiof: avoid integer overflow in constants
    (bsc#1012628).
  - Input: xpad - add Lenovo Legion Go controllers (bsc#1012628).
  - misc: open-dice: Fix spurious lockdep warning (bsc#1012628).
  - netfilter: conntrack: check SCTP_CID_SHUTDOWN_ACK for vtag
    setting in sctp_new (bsc#1012628).
  - drm/amdkfd: Use correct drm device for cgroup permission check
    (bsc#1012628).
  - drm/amd/display: increased min_dcfclk_mhz and min_fclk_mhz
    (bsc#1012628).
  - drm/amd/display: fix USB-C flag update after enc10 feature init
    (bsc#1012628).
  - drm/amd/display: Fix DPSTREAM CLK on and off sequence
    (bsc#1012628).
  - cifs: make sure that channel scaling is done only once
    (bsc#1012628).
  - ASoC: wm_adsp: Don't overwrite fwf_name with the default
    (bsc#1012628).
  - ALSA: usb-audio: Ignore clock selector errors for single
    connection (bsc#1012628).
  - nvme-fc: do not wait in vain when unloading module
    (bsc#1012628).
  - nvmet-fcloop: swap the list_add_tail arguments (bsc#1012628).
  - nvmet-fc: release reference on target port (bsc#1012628).
  - nvmet-fc: defer cleanup using RCU properly (bsc#1012628).
  - nvmet-fc: free queue and assoc directly (bsc#1012628).
  - nvmet-fc: hold reference on hostport match (bsc#1012628).
  - nvmet-fc: abort command when there is no binding (bsc#1012628).
  - nvmet-fc: avoid deadlock on delete association path
    (bsc#1012628).
  - nvmet-fc: take ref count on tgtport before delete assoc
    (bsc#1012628).
  - cifs: do not search for channel if server is terminating
    (bsc#1012628).
  - smb: client: increase number of PDUs allowed in a compound
    request (bsc#1012628).
  - ext4: correct the hole length returned by ext4_map_blocks()
    (bsc#1012628).
  - Input: i8042 - add Fujitsu Lifebook U728 to i8042 quirk table
    (bsc#1012628).
  - fs/ntfs3: Improve alternative boot processing (bsc#1012628).
  - fs/ntfs3: Modified fix directory element type detection
    (bsc#1012628).
  - fs/ntfs3: Improve ntfs_dir_count (bsc#1012628).
  - fs/ntfs3: Correct hard links updating when dealing with DOS
    names (bsc#1012628).
  - fs/ntfs3: Print warning while fixing hard links count
    (bsc#1012628).
  - fs/ntfs3: Reduce stack usage (bsc#1012628).
  - fs/ntfs3: Fix multithreaded stress test (bsc#1012628).
  - fs/ntfs3: Fix detected field-spanning write (size 8) of single
    field "le->name" (bsc#1012628).
  - fs/ntfs3: Correct use bh_read (bsc#1012628).
  - fs/ntfs3: Add file_modified (bsc#1012628).
  - fs/ntfs3: Drop suid and sgid bits as a part of fpunch
    (bsc#1012628).
  - fs/ntfs3: Implement super_operations::shutdown (bsc#1012628).
  - fs/ntfs3: ntfs3_forced_shutdown use int instead of bool
    (bsc#1012628).
  - fs/ntfs3: Add and fix comments (bsc#1012628).
  - fs/ntfs3: Add NULL ptr dereference checking at the end of
    attr_allocate_frame() (bsc#1012628).
  - fs/ntfs3: Fix c/mtime typo (bsc#1012628).
  - fs/ntfs3: Disable ATTR_LIST_ENTRY size check (bsc#1012628).
  - fs/ntfs3: Use kvfree to free memory allocated by kvmalloc
    (bsc#1012628).
  - fs/ntfs3: use non-movable memory for ntfs3 MFT buffer cache
    (bsc#1012628).
  - fs/ntfs3: Prevent generic message "attempt to access beyond
    end of device" (bsc#1012628).
  - fs/ntfs3: Use i_size_read and i_size_write (bsc#1012628).
  - fs/ntfs3: Correct function is_rst_area_valid (bsc#1012628).
  - fs/ntfs3: Fixed overflow check in mi_enum_attr() (bsc#1012628).
  - fs/ntfs3: Update inode->i_size after success write into
    compressed file (bsc#1012628).
  - fs/ntfs3: Fix oob in ntfs_listxattr (bsc#1012628).
  - wifi: mac80211: set station RX-NSS on reconfig (bsc#1012628).
  - wifi: mac80211: fix driver debugfs for vif type change
    (bsc#1012628).
  - wifi: mac80211: initialize SMPS mode correctly (bsc#1012628).
  - wifi: mac80211: adding missing drv_mgd_complete_tx() call
    (bsc#1012628).
  - wifi: mac80211: accept broadcast probe responses on 6 GHz
    (bsc#1012628).
  - wifi: iwlwifi: do not announce EPCS support (bsc#1012628).
  - efi: runtime: Fix potential overflow of soft-reserved region
    size (bsc#1012628).
  - efi: Don't add memblocks for soft-reserved memory (bsc#1012628).
  - hwmon: (coretemp) Enlarge per package core count limit
    (bsc#1012628).
  - drm/nouveau: nvkm_gsp_radix3_sg() should use nvkm_gsp_mem_ctor()
    (bsc#1012628).
  - scsi: lpfc: Use unsigned type for num_sge (bsc#1012628).
  - scsi: ufs: core: Fix shift issue in ufshcd_clear_cmd()
    (bsc#1012628).
  - scsi: ufs: core: Remove the ufshcd_release() in
    ufshcd_err_handling_prepare() (bsc#1012628).
  - LoongArch: Select ARCH_ENABLE_THP_MIGRATION instead of
    redefining it (bsc#1012628).
  - LoongArch: Select HAVE_ARCH_SECCOMP to use the common SECCOMP
    menu (bsc#1012628).
  - LoongArch: Change acpi_core_pic[NR_CPUS] to
    acpi_core_pic[MAX_CORE_PIC] (bsc#1012628).
  - LoongArch: vDSO: Disable UBSAN instrumentation (bsc#1012628).
  - accel/ivpu: Force snooping for MMU writes (bsc#1012628).
  - accel/ivpu: Disable d3hot_delay on all NPU generations
    (bsc#1012628).
  - accel/ivpu/40xx: Stop passing SKU boot parameters to FW
    (bsc#1012628).
  - firewire: core: send bus reset promptly on gap count error
    (bsc#1012628).
  - libceph: fail sparse-read if the data length doesn't match
    (bsc#1012628).
  - ceph: always check dir caps asynchronously (bsc#1012628).
  - drm/amdgpu: skip to program GFXDEC registers for suspend abort
    (bsc#1012628).
  - drm/amdgpu: reset gpu for s3 suspend abort case (bsc#1012628).
  - drm/amdgpu: Fix shared buff copy to user (bsc#1012628).
  - drm/amdgpu: Fix HDP flush for VFs on nbio v7.9 (bsc#1012628).
  - smb: client: set correct d_type for reparse points under DFS
    mounts (bsc#1012628).
  - virtio-blk: Ensure no requests in virtqueues before deleting
    vqs (bsc#1012628).
  - cifs: change tcon status when need_reconnect is set on it
    (bsc#1012628).
  - cifs: handle cases where multiple sessions share connection
    (bsc#1012628).
  - smb3: clarify mount warning (bsc#1012628).
  - mptcp: add CurrEstab MIB counter support (bsc#1012628).
  - mptcp: use mptcp_set_state (bsc#1012628).
  - mptcp: fix more tx path fields initialization (bsc#1012628).
  - mptcp: corner case locking for rx path fields initialization
    (bsc#1012628).
  - drm/amd/display: Add dpia display mode validation logic
    (bsc#1012628).
  - drm/amd/display: Request usb4 bw for mst streams (bsc#1012628).
  - drm/amd/display: fixed integer types and null check locations
    (bsc#1012628).
  - IB/hfi1: Fix sdma.h tx->num_descs off-by-one error
    (bsc#1012628).
  - kunit: Add a macro to wrap a deferred action function
    (bsc#1012628).
  - docs: Instruct LaTeX to cope with deeper nesting (bsc#1012628).
  - LoongArch: Call early_init_fdt_scan_reserved_mem() earlier
    (bsc#1012628).
  - LoongArch: Disable IRQ before init_fn() for nonboot CPUs
    (bsc#1012628).
  - LoongArch: Update cpu_sibling_map when disabling nonboot CPUs
    (bsc#1012628).
  - btrfs: defrag: avoid unnecessary defrag caused by incorrect
    extent size (bsc#1012628).
  - drm/ttm: Fix an invalid freeing on already freed page in error
    path (bsc#1012628).
  - drm/meson: Don't remove bridges which are created by other
    drivers (bsc#1012628).
  - drm/buddy: Modify duplicate list_splice_tail call (bsc#1012628).
  - drm/amd/display: Only allow dig mapping to pwrseq in new asic
    (bsc#1012628).
  - drm/amd/display: adjust few initialization order in dm
    (bsc#1012628).
  - s390/cio: fix invalid -EBUSY on ccw_device_start (bsc#1012628).
  - ata: libata-core: Do not try to set sleeping devices to standby
    (bsc#1012628).
  - ata: libata-core: Do not call ata_dev_power_set_standby()
    twice (bsc#1012628).
  - fs/aio: Restrict kiocb_set_cancel_fn() to I/O submitted via
    libaio (bsc#1012628).
  - lib/Kconfig.debug: TEST_IOV_ITER depends on MMU (bsc#1012628).
  - dm-crypt: recheck the integrity tag after a failure
    (bsc#1012628).
  - Revert "parisc: Only list existing CPUs in cpu_possible_mask"
    (bsc#1012628).
  - dm-integrity: recheck the integrity tag after a failure
    (bsc#1012628).
  - dm-crypt: don't modify the data when using authenticated
    encryption (bsc#1012628).
  - dm-verity: recheck the hash after a failure (bsc#1012628).
  - cxl/acpi: Fix load failures due to single window creation
    failure (bsc#1012628).
  - cxl/pci: Skip to handle RAS errors if CXL.mem device is detached
    (bsc#1012628).
  - cxl/pci: Fix disabling memory if DVSEC CXL Range does not
    match a CFMWS window (bsc#1012628).
  - scsi: sd: usb_storage: uas: Access media prior to querying
    device properties (bsc#1012628).
  - scsi: target: pscsi: Fix bio_put() for error case (bsc#1012628).
  - scsi: core: Consult supported VPD page list prior to fetching
    page (bsc#1012628).
  - selftests/mm: uffd-unit-test check if huge page size is 0
    (bsc#1012628).
  - mm/swap: fix race when skipping swapcache (bsc#1012628).
  - mm/damon/lru_sort: fix quota status loss due to online tunings
    (bsc#1012628).
  - mm: memcontrol: clarify swapaccount=0 deprecation warning
    (bsc#1012628).
  - mm/damon/core: check apply interval in damon_do_apply_schemes()
    (bsc#1012628).
  - mm/damon/reclaim: fix quota stauts loss due to online tunings
    (bsc#1012628).
  - mm/memblock: add MEMBLOCK_RSRV_NOINIT into flagname[] array
    (bsc#1012628).
  - platform/x86: x86-android-tablets: Fix keyboard touchscreen
    on Lenovo Yogabook1 X90 (bsc#1012628).
  - platform/x86: intel-vbtn: Stop calling "VBDL" from
    notify_handler (bsc#1012628).
  - platform/x86: touchscreen_dmi: Allow partial (prefix) matches
    for ACPI names (bsc#1012628).
  - cachefiles: fix memory leak in cachefiles_add_cache()
    (bsc#1012628).
  - sparc: Fix undefined reference to fb_is_primary_device
    (bsc#1012628).
  - md: Don't ignore suspended array in md_check_recovery()
    (bsc#1012628).
  - md: Don't ignore read-only array in md_check_recovery()
    (bsc#1012628).
  - md: Make sure md_do_sync() will set MD_RECOVERY_DONE
    (bsc#1012628).
  - md: Don't register sync_thread for reshape directly
    (bsc#1012628).
  - md: Don't suspend the array for interrupted reshape
    (bsc#1012628).
  - md: Fix missing release of 'active_io' for flush (bsc#1012628).
  - KVM: arm64: vgic-its: Test for valid IRQ in MOVALL handler
    (bsc#1012628).
  - KVM: arm64: vgic-its: Test for valid IRQ in
    its_sync_lpi_pending_table() (bsc#1012628).
  - accel/ivpu: Don't enable any tiles by default on VPU40xx
    (bsc#1012628).
  - gtp: fix use-after-free and null-ptr-deref in
    gtp_genl_dump_pdp() (bsc#1012628).
  - crypto: virtio/akcipher - Fix stack overflow on memcpy
    (bsc#1012628).
  - irqchip/mbigen: Don't use bus_get_dev_root() to find the parent
    (bsc#1012628).
  - irqchip/gic-v3-its: Do not assume vPE tables are preallocated
    (bsc#1012628).
  - irqchip/sifive-plic: Enable interrupt if needed before EOI
    (bsc#1012628).
  - PCI/MSI: Prevent MSI hardware interrupt number truncation
    (bsc#1012628).
  - l2tp: pass correct message length to ip6_append_data
    (bsc#1012628).
  - ARM: ep93xx: Add terminator to gpiod_lookup_table (bsc#1012628).
  - dm-integrity, dm-verity: reduce stack usage for recheck
    (bsc#1012628).
  - erofs: fix refcount on the metabuf used for inode lookup
    (bsc#1012628).
  - Revert "usb: typec: tcpm: reset counter when enter into
    unattached state after try role" (bsc#1012628).
  - serial: stm32: do not always set SER_RS485_RX_DURING_TX if
    RS485 is enabled (bsc#1012628).
  - serial: amba-pl011: Fix DMA transmission in RS485 mode
    (bsc#1012628).
  - usb: dwc3: gadget: Don't disconnect if not started
    (bsc#1012628).
  - usb: cdnsp: blocked some cdns3 specific code (bsc#1012628).
  - usb: cdnsp: fixed issue with incorrect detecting CDNSP family
    controllers (bsc#1012628).
  - usb: cdns3: fixed memory use after free at
    cdns3_gadget_ep_disable() (bsc#1012628).
  - usb: cdns3: fix memory double free when handle zero packet
    (bsc#1012628).
  - usb: gadget: ncm: Avoid dropping datagrams of properly parsed
    NTBs (bsc#1012628).
  - usb: gadget: omap_udc: fix USB gadget regression on Palm TE
    (bsc#1012628).
  - usb: roles: fix NULL pointer issue when put module's reference
    (bsc#1012628).
  - usb: roles: don't get/set_role() when usb_role_switch is
    unregistered (bsc#1012628).
  - mptcp: add needs_id for userspace appending addr (bsc#1012628).
  - mptcp: add needs_id for netlink appending addr (bsc#1012628).
  - mptcp: fix lockless access in subflow ULP diag (bsc#1012628).
  - mptcp: fix data races on local_id (bsc#1012628).
  - mptcp: fix data races on remote_id (bsc#1012628).
  - mptcp: fix duplicate subflow creation (bsc#1012628).
  - selftests: mptcp: userspace_pm: unique subtest names
    (bsc#1012628).
  - selftests: mptcp: simult flows: fix some subtest names
    (bsc#1012628).
  - selftests: mptcp: pm nl: also list skipped tests (bsc#1012628).
  - selftests: mptcp: pm nl: avoid error msg on older kernels
    (bsc#1012628).
  - selftests: mptcp: diag: check CURRESTAB counters (bsc#1012628).
  - selftests: mptcp: diag: fix bash warnings on older kernels
    (bsc#1012628).
  - selftests: mptcp: diag: unique 'in use' subtest names
    (bsc#1012628).
  - selftests: mptcp: diag: unique 'cestab' subtest names
    (bsc#1012628).
  - ahci: Extend ASM1061 43-bit DMA address quirk to other ASM106x
    parts (bsc#1012628).
  - smb3: add missing null server pointer check (bsc#1012628).
  - drm/amd/display: Avoid enum conversion warning (bsc#1012628).
  - drm/amd/display: Fix buffer overflow in
    'get_host_router_total_dp_tunnel_bw()' (bsc#1012628).
  - Revert "drm/amd/display: increased min_dcfclk_mhz and
    min_fclk_mhz" (bsc#1012628).
  - IB/hfi1: Fix a memleak in init_credit_return (bsc#1012628).
  - RDMA/bnxt_re: Return error for SRQ resize (bsc#1012628).
  - RDMA/bnxt_re: Add a missing check in bnxt_qplib_query_srq
    (bsc#1012628).
  - IB/mlx5: Don't expose debugfs entries for RRoCE general
    parameters if not supported (bsc#1012628).
  - arm64: dts: imx8mp: Disable UART4 by default on Data Modul
    i.MX8M Plus eDM SBC (bsc#1012628).
  - RDMA/irdma: Fix KASAN issue with tasklet (bsc#1012628).
  - RDMA/irdma: Validate max_send_wr and max_recv_wr (bsc#1012628).
  - RDMA/irdma: Set the CQ read threshold for GEN 1 (bsc#1012628).
  - RDMA/irdma: Add AE for too many RNRS (bsc#1012628).
  - RDMA/srpt: Support specifying the srpt_service_guid parameter
    (bsc#1012628).
  - arm64: dts: tqma8mpql: fix audio codec iov-supply (bsc#1012628).
  - bus: imx-weim: fix valid range check (bsc#1012628).
  - iommufd/iova_bitmap: Bounds check mapped::pages access
    (bsc#1012628).
  - iommufd/iova_bitmap: Switch iova_bitmap::bitmap to an u8 array
    (bsc#1012628).
  - iommufd/iova_bitmap: Handle recording beyond the mapped pages
    (bsc#1012628).
  - iommufd/iova_bitmap: Consider page offset for the pages to be
    pinned (bsc#1012628).
  - RDMA/qedr: Fix qedr_create_user_qp error flow (bsc#1012628).
  - arm64: dts: rockchip: set num-cs property for spi on px30
    (bsc#1012628).
  - arm64: dts: rockchip: Correct Indiedroid Nova GPIO Names
    (bsc#1012628).
  - xsk: Add truesize to skb_add_rx_frag() (bsc#1012628).
  - RDMA/srpt: fix function pointer cast warnings (bsc#1012628).
  - bpf, scripts: Correct GPL license name (bsc#1012628).
  - scsi: ufs: Uninitialized variable in ufshcd_devfreq_target()
    (bsc#1012628).
  - scsi: smartpqi: Fix disable_managed_interrupts (bsc#1012628).
  - scsi: jazz_esp: Only build if SCSI core is builtin
    (bsc#1012628).
  - net: bridge: switchdev: Skip MDB replays of deferred events
    on offload (bsc#1012628).
  - net: bridge: switchdev: Ensure deferred event delivery on
    unoffload (bsc#1012628).
  - dccp/tcp: Unhash sk from ehash for tb2 alloc failure after
    check_estalblished() (bsc#1012628).
  - net/sched: act_mirred: Create function tcf_mirred_to_dev and
    improve readability (bsc#1012628).
  - net/sched: act_mirred: use the backlog for mirred ingress
    (bsc#1012628).
  - net/sched: act_mirred: don't override retval if we already
    lost the skb (bsc#1012628).
  - nouveau: fix function cast warnings (bsc#1012628).
  - drm/nouveau/mmu/r535: uninitialized variable in r535_bar_new_()
    (bsc#1012628).
  - x86/numa: Fix the address overlap check in numa_fill_memblks()
    (bsc#1012628).
  - x86/numa: Fix the sort compare func used in numa_fill_memblks()
    (bsc#1012628).
  - net: stmmac: Fix incorrect dereference in interrupt handlers
    (bsc#1012628).
  - ipv4: properly combine dev_base_seq and ipv4.dev_addr_genid
    (bsc#1012628).
  - ipv6: properly combine dev_base_seq and ipv6.dev_addr_genid
    (bsc#1012628).
  - net: bcmasp: Indicate MAC is in charge of PHY PM (bsc#1012628).
  - net: bcmasp: Sanity check is off by one (bsc#1012628).
  - powerpc/pseries/iommu: DLPAR add doesn't completely initialize
    pci_controller (bsc#1012628).
  - selftests: bonding: set active slave to primary eth1
    specifically (bsc#1012628).
  - ata: ahci_ceva: fix error handling for Xilinx GT PHY support
    (bsc#1012628).
  - bpf: Fix racing between bpf_timer_cancel_and_free and
    bpf_timer_cancel (bsc#1012628).
  - platform/x86: think-lmi: Fix password opcode ordering for
    workstations (bsc#1012628).
  - parisc: Fix stack unwinder (bsc#1012628).
  - afs: Increase buffer size in afs_update_volume_status()
    (bsc#1012628).
  - ipv6: sr: fix possible use-after-free and null-ptr-deref
    (bsc#1012628).
  - devlink: fix possible use-after-free and memory leaks in
    devlink_init() (bsc#1012628).
  - arp: Prevent overflow in arp_req_get() (bsc#1012628).
  - KVM: PPC: Book3S HV: Fix L2 guest reboot failure due to empty
    'arch_compat' (bsc#1012628).
  - gpiolib: Handle no pin_ranges in gpiochip_generic_config()
    (bsc#1012628).
  - arm64/sme: Restore SME registers on exit from suspend
    (bsc#1012628).
  - arm64/sme: Restore SMCR_EL1.EZT0 on exit from suspend
    (bsc#1012628).
  - platform/x86: thinkpad_acpi: Only update profile if successfully
    converted (bsc#1012628).
  - drm/i915/tv: Fix TV mode (bsc#1012628).
  - iommu/vt-d: Update iotlb in nested domain attach (bsc#1012628).
  - iommu/vt-d: Track nested domains in parent (bsc#1012628).
  - iommu/vt-d: Remove domain parameter for
    intel_pasid_setup_dirty_tracking() (bsc#1012628).
  - iommu/vt-d: Wrap the dirty tracking loop to be a helper
    (bsc#1012628).
  - iommu/vt-d: Add missing dirty tracking set for parent domain
    (bsc#1012628).
  - iommu/vt-d: Set SSADE when attaching to a parent with dirty
    tracking (bsc#1012628).
  - octeontx2-af: Consider the action set by PF (bsc#1012628).
  - net: implement lockless setsockopt(SO_PEEK_OFF) (bsc#1012628).
  - net: ipa: don't overrun IPA suspend interrupt registers
    (bsc#1012628).
  - iommufd: Reject non-zero data_type if no data_len is provided
    (bsc#1012628).
  - s390: use the correct count for __iowrite64_copy()
    (bsc#1012628).
  - bpf, sockmap: Fix NULL pointer dereference in
    sk_psock_verdict_data_ready() (bsc#1012628).
  - cache: ax45mp_cache: Align end size to cache boundary in
    ax45mp_dma_cache_wback() (bsc#1012628).
  - hwmon: (nct6775) Fix access to temperature configuration
    registers (bsc#1012628).
  - tls: break out of main loop when PEEK gets a non-data record
    (bsc#1012628).
  - tls: stop recv() if initial process_rx_list gave us non-DATA
    (bsc#1012628).
  - tls: don't skip over different type records from the rx_list
    (bsc#1012628).
  - netfilter: nf_tables: set dormant flag on hook register failure
    (bsc#1012628).
  - netfilter: nft_flow_offload: reset dst in route object after
    setting up flow (bsc#1012628).
  - netfilter: nft_flow_offload: release dst in case direct xmit
    path is used (bsc#1012628).
  - netfilter: nf_tables: register hooks last when adding new
    chain/flowtable (bsc#1012628).
  - netfilter: nf_tables: use kzalloc for hook allocation
    (bsc#1012628).
  - net: mctp: put sock on tag allocation failure (bsc#1012628).
  - tools: ynl: make sure we always pass yarg to mnl_cb_run
    (bsc#1012628).
  - tools: ynl: don't leak mcast_groups on init error (bsc#1012628).
  - devlink: fix port dump cmd type (bsc#1012628).
  - net/sched: flower: Add lock protection when remove filter handle
    (bsc#1012628).
  - net: sparx5: Add spinlock for frame transmission from CPU
    (bsc#1012628).
  - phonet: take correct lock to peek at the RX queue (bsc#1012628).
  - phonet/pep: fix racy skb_queue_empty() use (bsc#1012628).
  - Fix write to cloned skb in ipv6_hop_ioam() (bsc#1012628).
  - net: phy: realtek: Fix rtl8211f_config_init() for
    RTL8211F(D)(I)-VD-CG PHY (bsc#1012628).
  - drm/syncobj: call drm_syncobj_fence_add_wait when WAIT_AVAILABLE
    flag is set (bsc#1012628).
  - iommu: Add mm_get_enqcmd_pasid() helper function (bsc#1012628).
  - iommu/arm-smmu-v3: Do not use GFP_KERNEL under as spinlock
    (bsc#1012628).
  - drm/syncobj: handle NULL fence in syncobj_eventfd_entry_func
    (bsc#1012628).
  - selftests/iommu: fix the config fragment (bsc#1012628).
  - drm/amd/display: Fix potential null pointer dereference in
    dc_dmub_srv (bsc#1012628).
  - drm/amd/display: Fix memory leak in dm_sw_fini() (bsc#1012628).
  - drm/amd/display: fix null-pointer dereference on edid reading
    (bsc#1012628).
  - i2c: imx: when being a target, mark the last read as processed
    (bsc#1012628).
  - mm: zswap: fix missing folio cleanup in writeback race path
    (bsc#1012628).
  - selftests: mptcp: join: stop transfer when check is done
    (part 1) (bsc#1012628).
  - mm/zswap: invalidate duplicate entry when !zswap_enabled
    (bsc#1012628).
  - selftests: mptcp: join: stop transfer when check is done
    (part 2) (bsc#1012628).
  - selftests: mptcp: add mptcp_lib_get_counter (bsc#1012628).
  - Rename to
    patches.kernel.org/6.7.7-001-drm-amd-Stop-evicting-resources-on-APUs-in-susp.patch.
  - Rename to
    patches.kernel.org/6.7.7-142-x86-bugs-Add-asm-helpers-for-executing-VERW.patch.
  - Rename to
    patches.kernel.org/6.7.7-153-drm-amdgpu-Fix-the-runtime-resume-failure-issue.patch.
  - commit e08e1d2
* Wed Feb 28 2024 jslaby@suse.cz
  - fs/ntfs3: fix build without CONFIG_NTFS3_LZX_XPRESS (git-fixes).
  - commit fab87b1
* Wed Feb 28 2024 jslaby@suse.cz
  - series.conf: move patches.suse/nvmet-tcp-remove-boilerplate-code.patch to sorted section
  - commit a47b18d
* Tue Feb 27 2024 tiwai@suse.de
  - Update ath11k hibernation patches for v2 series (bsc#1207948)
  - commit a6d02cf
* Tue Feb 27 2024 tiwai@suse.de
  - Update ath11k hibernation patches for v2 series (bsc#1207948)
  - commit 6668923
* Tue Feb 27 2024 jslaby@suse.cz
  - KVM/VMX: Move VERW closer to VMentry for MDS mitigation
    (git-fixes).
  - KVM/VMX: Use BT+JNC, i.e. EFLAGS.CF to select VMRESUME
    vs. VMLAUNCH (git-fixes).
  - x86/bugs: Use ALTERNATIVE() instead of mds_user_clear static
    key (git-fixes).
  - x86/entry_32: Add VERW just before userspace transition
    (git-fixes).
  - x86/entry_64: Add VERW just before userspace transition
    (git-fixes).
  - x86/bugs: Add asm helpers for executing VERW (git-fixes).
  - commit ac736e5
* Mon Feb 26 2024 tiwai@suse.de
  - wifi: ath11k: support hibernation (bsc#1207948).
  - net: qrtr: support suspend/hibernation (bsc#1207948).
  - bus: mhi: host: add mhi_power_down_no_destroy() (bsc#1207948).
  - commit 4021880
* Mon Feb 26 2024 tiwai@suse.de
  - wifi: ath11k: thermal: don't try to register multiple times
    (bsc#1207948).
  - wifi: ath11k: fix warning on DMA ring capabilities event
    (bsc#1207948).
  - wifi: ath11k: do not dump SRNG statistics during resume
    (bsc#1207948).
  - wifi: ath11k: remove MHI LOOPBACK channels (bsc#1207948).
  - wifi: ath11k: rearrange IRQ enable/disable in reset path
    (bsc#1207948).
  - commit 14ad705
* Mon Feb 26 2024 tiwai@suse.de
  - wifi: ath11k: support hibernation (bsc#1207948).
  - net: qrtr: support suspend/hibernation (bsc#1207948).
  - bus: mhi: host: add mhi_power_down_no_destroy() (bsc#1207948).
  - commit 1c8bab3
* Mon Feb 26 2024 tiwai@suse.de
  - wifi: ath11k: thermal: don't try to register multiple times
    (bsc#1207948).
  - wifi: ath11k: fix warning on DMA ring capabilities event
    (bsc#1207948).
  - wifi: ath11k: do not dump SRNG statistics during resume
    (bsc#1207948).
  - wifi: ath11k: remove MHI LOOPBACK channels (bsc#1207948).
  - wifi: ath11k: rearrange IRQ enable/disable in reset path
    (bsc#1207948).
  - commit 1ef5076
* Mon Feb 26 2024 tiwai@suse.de
  - Drop ath11k hibernation patches for refreshing to the new patch set (bsc#1207948)
  - commit 6620772
* Mon Feb 26 2024 jslaby@suse.cz
  - Update
    patches.suse/mm-mmap-fix-vma_merge-case-7-with-vma_ops-close.patch
    (bsc#1217313).
    Fix reference.
  - commit d8ba004
* Mon Feb 26 2024 mkubecek@suse.cz
  - net: ethernet: adi: move PHYLIB from vendor to driver symbol.
    Fix config dependencies.
  - restore config options from before 6.8-rc6:
    - NET_VENDOR_ADI=y
    - ADIN1110=m
  - commit 2aa849d
* Mon Feb 26 2024 jslaby@suse.cz
  - drm/amdgpu: Fix the runtime resume failure issue
    (https://gitlab.freedesktop.org/drm/amd/-/issues/3132).
  - commit d6edcef
* Mon Feb 26 2024 jslaby@suse.cz
  - drm/amd: Stop evicting resources on APUs in suspend
    (https://gitlab.freedesktop.org/drm/amd/-/issues/3132).
  - commit ce46963
* Mon Feb 26 2024 mkubecek@suse.cz
  - Update to 6.8-rc6
  - update configs
    - DRM_NOUVEAU_GSP_DEFAULT=n
    - disable NET_VENDOR_ADI (mainline commit a9f80df4f514 would force many
      other config options to "Y")
  - commit 0883557
* Fri Feb 23 2024 jslaby@suse.cz
  - Linux 6.7.6 (bsc#1012628).
  - work around gcc bugs with 'asm goto' with outputs (bsc#1012628).
  - update workarounds for gcc "asm goto" issue (bsc#1012628).
  - mm: mmap: map MAP_STACK to VM_NOHUGEPAGE (bsc#1012628).
  - btrfs: forbid creating subvol qgroups (bsc#1012628).
  - btrfs: do not ASSERT() if the newly created subvolume already
    got read (bsc#1012628).
  - btrfs: forbid deleting live subvol qgroup (bsc#1012628).
  - btrfs: send: return EOPNOTSUPP on unknown flags (bsc#1012628).
  - btrfs: don't reserve space for checksums when writing to nocow
    files (bsc#1012628).
  - btrfs: reject encoded write if inode has nodatasum flag set
    (bsc#1012628).
  - btrfs: don't drop extent_map for free space inode on write error
    (bsc#1012628).
  - driver core: Fix device_link_flag_is_sync_state_only()
    (bsc#1012628).
  - kselftest: dt: Stop relying on dirname to improve performance
    (bsc#1012628).
  - selftests/landlock: Fix net_test build with old libc
    (bsc#1012628).
  - selftests/landlock: Fix fs_test build with old libc
    (bsc#1012628).
  - of: unittest: Fix compile in the non-dynamic case (bsc#1012628).
  - drm/msm/gem: Fix double resv lock aquire (bsc#1012628).
  - selftests/landlock: Fix capability for net_test (bsc#1012628).
  - ASoC: Intel: avs: Fix pci_probe() error path (bsc#1012628).
  - spi: imx: fix the burst length at DMA mode and CPU mode
    (bsc#1012628).
  - ASoC: Intel: avs: Fix dynamic port assignment when TDM is set
    (bsc#1012628).
  - wifi: iwlwifi: clear link_id in time_event (bsc#1012628).
  - wifi: iwlwifi: Fix some error codes (bsc#1012628).
  - wifi: iwlwifi: uninitialized variable in
    iwl_acpi_get_ppag_table() (bsc#1012628).
  - ASoC: SOF: ipc3-topology: Fix pipeline tear down logic
    (bsc#1012628).
  - dpll: fix possible deadlock during netlink dump operation
    (bsc#1012628).
  - net/mlx5: DPLL, Fix possible use after free after delayed work
    timer triggers (bsc#1012628).
  - net/handshake: Fix handshake_req_destroy_test1 (bsc#1012628).
  - bonding: do not report NETDEV_XDP_ACT_XSK_ZEROCOPY
    (bsc#1012628).
  - devlink: Fix command annotation documentation (bsc#1012628).
  - of: property: Improve finding the consumer of a remote-endpoint
    property (bsc#1012628).
  - of: property: Improve finding the supplier of a remote-endpoint
    property (bsc#1012628).
  - ALSA: hda/cs35l56: select intended config FW_CS_DSP
    (bsc#1012628).
  - perf: CXL: fix mismatched cpmu event opcode (bsc#1012628).
  - selftests/net: convert test_bridge_backup_port.sh to run it
    in unique namespace (bsc#1012628).
  - selftests: net: Fix bridge backup port test flakiness
    (bsc#1012628).
  - selftests: forwarding: Fix layer 2 miss test flakiness
    (bsc#1012628).
  - selftests: forwarding: Fix bridge MDB test flakiness
    (bsc#1012628).
  - selftests: forwarding: Suppress grep warnings (bsc#1012628).
  - selftests: forwarding: Fix bridge locked port test flakiness
    (bsc#1012628).
  - net: openvswitch: limit the number of recursions from action
    sets (bsc#1012628).
  - lan966x: Fix crash when adding interface under a lag
    (bsc#1012628).
  - net: tls: factor out tls_*crypt_async_wait() (bsc#1012628).
  - tls: fix race between async notify and socket close
    (bsc#1012628).
  - tls: fix race between tx work scheduling and socket close
    (bsc#1012628).
  - net: tls: handle backlogging of crypto requests (bsc#1012628).
  - net: tls: fix use-after-free with partial reads and async
    decrypt (bsc#1012628).
  - net: tls: fix returned read length with async decrypt
    (bsc#1012628).
  - spi: ppc4xx: Drop write-only variable (bsc#1012628).
  - ASoC: rt5645: Fix deadlock in rt5645_jack_detect_work()
    (bsc#1012628).
  - drm/i915/dsc: Fix the macro that calculates DSCC_/DSCA_ PPS
    reg address (bsc#1012628).
  - net: sysfs: Fix /sys/class/net/<iface> path for statistics
    (bsc#1012628).
  - nouveau/svm: fix kvcalloc() argument order (bsc#1012628).
  - MIPS: Add 'memory' clobber to csum_ipv6_magic() inline assembler
    (bsc#1012628).
  - ptrace: Introduce exception_ip arch hook (bsc#1012628).
  - mm/memory: Use exception ip to search exception tables
    (bsc#1012628).
  - i40e: Do not allow untrusted VF to remove administratively
    set MAC (bsc#1012628).
  - i40e: Fix waiting for queues of all VSIs to be disabled
    (bsc#1012628).
  - mm: thp_get_unmapped_area must honour topdown preference
    (bsc#1012628).
  - userfaultfd: fix mmap_changing checking in mfill_atomic_hugetlb
    (bsc#1012628).
  - selftests/mm: ksm_tests should only MADV_HUGEPAGE valid memory
    (bsc#1012628).
  - scs: add CONFIG_MMU dependency for vfree_atomic() (bsc#1012628).
  - tracing/trigger: Fix to return error if failed to alloc snapshot
    (bsc#1012628).
  - fs/hugetlbfs/inode.c: mm/memory-failure.c: fix hugetlbfs
    hwpoison handling (bsc#1012628).
  - selftests/mm: switch to bash from sh (bsc#1012628).
  - mm/writeback: fix possible divide-by-zero in wb_dirty_limits(),
    again (bsc#1012628).
  - selftests/mm: Update va_high_addr_switch.sh to check CPU for
    la57 flag (bsc#1012628).
  - selftests: mm: fix map_hugetlb failure on 64K page size systems
    (bsc#1012628).
  - scsi: storvsc: Fix ring buffer size calculation (bsc#1012628).
  - nouveau: offload fence uevents work to workqueue (bsc#1012628).
  - dm-crypt, dm-verity: disable tasklets (bsc#1012628).
  - ASoC: amd: yc: Add DMI quirk for MSI Bravo 15 C7VF
    (bsc#1012628).
  - parisc: Prevent hung tasks when printing inventory on serial
    console (bsc#1012628).
  - ALSA: hda/realtek: Fix the external mic not being recognised
    for Acer Swift 1 SF114-32 (bsc#1012628).
  - ALSA: hda/realtek: Enable Mute LED on HP Laptop 14-fq0xxx
    (bsc#1012628).
  - HID: bpf: remove double fdget() (bsc#1012628).
  - HID: bpf: actually free hdev memory after attaching a HID-BPF
    program (bsc#1012628).
  - HID: i2c-hid-of: fix NULL-deref on failed power up
    (bsc#1012628).
  - HID: wacom: generic: Avoid reporting a serial of '0' to
    userspace (bsc#1012628).
  - HID: wacom: Do not register input devices until after
    hid_hw_start (bsc#1012628).
  - iio: hid-sensor-als: Return 0 for
    HID_USAGE_SENSOR_TIME_TIMESTAMP (bsc#1012628).
  - usb: ucsi: Add missing ppm_lock (bsc#1012628).
  - usb: ulpi: Fix debugfs directory leak (bsc#1012628).
  - usb: ucsi_acpi: Fix command completion handling (bsc#1012628).
  - USB: hub: check for alternate port before enabling
    A_ALT_HNP_SUPPORT (bsc#1012628).
  - usb: f_mass_storage: forbid async queue when shutdown happen
    (bsc#1012628).
  - usb: chipidea: core: handle power lost in workqueue
    (bsc#1012628).
  - usb: core: Prevent null pointer dereference in
    update_port_device_state (bsc#1012628).
  - usb: dwc3: gadget: Fix NULL pointer dereference in
    dwc3_gadget_suspend (bsc#1012628).
  - interconnect: qcom: sc8180x: Mark CO0 BCM keepalive
    (bsc#1012628).
  - interconnect: qcom: sm8550: Enable sync_state (bsc#1012628).
  - media: ir_toy: fix a memleak in irtoy_tx (bsc#1012628).
  - driver core: fw_devlink: Improve detection of overlapping cycles
    (bsc#1012628).
  - powerpc/pseries/iommu: Fix iommu initialisation during DLPAR
    add (bsc#1012628).
  - powerpc/6xx: set High BAT Enable flag on G2_LE cores
    (bsc#1012628).
  - powerpc/kasan: Fix addr error caused by page alignment
    (bsc#1012628).
  - Revert "kobject: Remove redundant checks for whether ktype is
    NULL" (bsc#1012628).
  - PCI: Fix active state requirement in PME polling (bsc#1012628).
  - iio: adc: ad4130: zero-initialize clock init data (bsc#1012628).
  - iio: adc: ad4130: only set GPIO_CTRL if pin is unused
    (bsc#1012628).
  - cifs: fix underflow in parse_server_interfaces() (bsc#1012628).
  - i2c: qcom-geni: Correct I2C TRE sequence (bsc#1012628).
  - irqchip/loongson-eiointc: Use correct struct type in
    eiointc_domain_alloc() (bsc#1012628).
  - irqchip/gic-v3-its: Handle non-coherent GICv4 redistributors
    (bsc#1012628).
  - powerpc/kasan: Limit KASAN thread size increase to 32KB
    (bsc#1012628).
  - powerpc/iommu: Fix the missing iommu_group_put() during platform
    domain attach (bsc#1012628).
  - i2c: pasemi: split driver into two separate modules
    (bsc#1012628).
  - i2c: i801: Fix block process call transactions (bsc#1012628).
  - modpost: trim leading spaces when processing source files list
    (bsc#1012628).
  - kallsyms: ignore ARMv4 thunks along with others (bsc#1012628).
  - mptcp: fix data re-injection from stale subflow (bsc#1012628).
  - selftests: mptcp: add missing kconfig for NF Filter
    (bsc#1012628).
  - selftests: mptcp: add missing kconfig for NF Filter in v6
    (bsc#1012628).
  - selftests: mptcp: add missing kconfig for NF Mangle
    (bsc#1012628).
  - selftests: mptcp: increase timeout to 30 min (bsc#1012628).
  - selftests: mptcp: allow changing subtests prefix (bsc#1012628).
  - selftests: mptcp: add mptcp_lib_kill_wait (bsc#1012628).
  - mptcp: drop the push_pending field (bsc#1012628).
  - mptcp: fix rcv space initialization (bsc#1012628).
  - mptcp: check addrs list in userspace_pm_get_local_id
    (bsc#1012628).
  - mptcp: really cope with fastopen race (bsc#1012628).
  - Revert "powerpc/pseries/iommu: Fix iommu initialisation during
    DLPAR add" (bsc#1012628).
  - media: Revert "media: rkisp1: Drop IRQF_SHARED" (bsc#1012628).
  - scsi: Revert "scsi: fcoe: Fix potential deadlock on
    &fip->ctlr_lock" (bsc#1012628).
  - Revert "drm/amd: flush any delayed gfxoff on suspend entry"
    (bsc#1012628).
  - Revert "drm/msm/gpu: Push gpu lock down past runpm"
    (bsc#1012628).
  - connector/cn_proc: revert "connector: Fix
    proc_event_num_listeners count not cleared" (bsc#1012628).
  - spi: omap2-mcspi: Revert FIFO support without DMA (bsc#1012628).
  - drm/virtio: Set segment size for virtio_gpu device
    (bsc#1012628).
  - drm/amdgpu: Reset IH OVERFLOW_CLEAR bit (bsc#1012628).
  - drm/amd: Don't init MEC2 firmware when it fails to load
    (bsc#1012628).
  - drm/amd/display: fix incorrect mpc_combine array size
    (bsc#1012628).
  - drm/amd/display: Fix dcn35 8k30 Underflow/Corruption Issue
    (bsc#1012628).
  - lsm: fix default return value of the socket_getpeersec_*()
    hooks (bsc#1012628).
  - lsm: fix the logic in security_inode_getsecctx() (bsc#1012628).
  - firewire: core: correct documentation of fw_csr_string()
    kernel API (bsc#1012628).
  - ALSA: hda/realtek: Apply headset jack quirk for non-bass alc287
    thinkpads (bsc#1012628).
  - kbuild: Fix changing ELF file type for output of gen_btf for
    big endian (bsc#1012628).
  - nfc: nci: free rx_data_reassembly skb on NCI device cleanup
    (bsc#1012628).
  - net: hsr: remove WARN_ONCE() in send_hsr_supervision_frame()
    (bsc#1012628).
  - net: stmmac: do not clear TBS enable bit on link up/down
    (bsc#1012628).
  - parisc: Fix random data corruption from exception handler
    (bsc#1012628).
  - parisc: BTLB: Fix crash when setting up BTLB at CPU bringup
    (bsc#1012628).
  - xen-netback: properly sync TX responses (bsc#1012628).
  - um: Fix adding '-no-pie' for clang (bsc#1012628).
  - modpost: Add '.ltext' and '.ltext.*' to TEXT_SECTIONS
    (bsc#1012628).
  - ALSA: hda/realtek: Enable headset mic on Vaio VJFE-ADL
    (bsc#1012628).
  - ALSA: hda/realtek - Add speaker pin verbtable for Dell dual
    speaker platform (bsc#1012628).
  - ASoC: codecs: wcd938x: handle deferred probe (bsc#1012628).
  - ALSA: hda/cs8409: Suppress vmaster control for Dolphin models
    (bsc#1012628).
  - ALSA: hda/realtek: fix mute/micmute LEDs for HP ZBook Power
    (bsc#1012628).
  - binder: signal epoll threads of self-work (bsc#1012628).
  - misc: fastrpc: Mark all sessions as invalid in cb_remove
    (bsc#1012628).
  - ext4: fix double-free of blocks due to wrong extents moved_len
    (bsc#1012628).
  - ext4: avoid bb_free and bb_fragments inconsistency in
    mb_free_blocks() (bsc#1012628).
  - tracing/timerlat: Move hrtimer_init to timerlat_fd open()
    (bsc#1012628).
  - tracing: Fix wasted memory in saved_cmdlines logic
    (bsc#1012628).
  - tracing/synthetic: Fix trace_string() return value
    (bsc#1012628).
  - tracing/probes: Fix to show a parse error for bad type for $comm
    (bsc#1012628).
  - tracing/probes: Fix to set arg size and fmt after setting type
    from BTF (bsc#1012628).
  - tracing/probes: Fix to search structure fields correctly
    (bsc#1012628).
  - Revert "workqueue: Override implicit ordered attribute in
    workqueue_apply_unbound_cpumask()" (bsc#1012628).
  - staging: iio: ad5933: fix type mismatch regression
    (bsc#1012628).
  - iio: magnetometer: rm3100: add boundary check for the value
    read from RM3100_REG_TMRC (bsc#1012628).
  - iio: core: fix memleak in iio_device_register_sysfs
    (bsc#1012628).
  - iio: commom: st_sensors: ensure proper DMA alignment
    (bsc#1012628).
  - iio: accel: bma400: Fix a compilation problem (bsc#1012628).
  - iio: adc: ad_sigma_delta: ensure proper DMA alignment
    (bsc#1012628).
  - iio: imu: adis: ensure proper DMA alignment (bsc#1012628).
  - iio: imu: bno055: serdev requires REGMAP (bsc#1012628).
  - iio: pressure: bmp280: Add missing bmp085 to SPI id table
    (bsc#1012628).
  - pmdomain: mediatek: fix race conditions with genpd
    (bsc#1012628).
  - media: rc: bpf attach/detach requires write permission
    (bsc#1012628).
  - eventfs: Remove "lookup" parameter from create_dir/file_dentry()
    (bsc#1012628).
  - eventfs: Stop using dcache_readdir() for getdents()
    (bsc#1012628).
  - eventfs: Have eventfs_iterate() stop immediately if ei->is_freed
    is set (bsc#1012628).
  - eventfs: Do ctx->pos update for all iterations in
    eventfs_iterate() (bsc#1012628).
  - eventfs: Read ei->entries before ei->children in
    eventfs_iterate() (bsc#1012628).
  - eventfs: Shortcut eventfs_iterate() by skipping entries already
    read (bsc#1012628).
  - eventfs: Have the inodes all for files and directories all be
    the same (bsc#1012628).
  - eventfs: Do not create dentries nor inodes in iterate_shared
    (bsc#1012628).
  - eventfs: Use kcalloc() instead of kzalloc() (bsc#1012628).
  - eventfs: Save directory inodes in the eventfs_inode structure
    (bsc#1012628).
  - tracefs: Zero out the tracefs_inode when allocating it
    (bsc#1012628).
  - eventfs: Initialize the tracefs inode properly (bsc#1012628).
  - tracefs: Avoid using the ei->dentry pointer unnecessarily
    (bsc#1012628).
  - tracefs: dentry lookup crapectomy (bsc#1012628).
  - eventfs: Remove unused d_parent pointer field (bsc#1012628).
  - eventfs: Clean up dentry ops and add revalidate function
    (bsc#1012628).
  - eventfs: Get rid of dentry pointers without refcounts
    (bsc#1012628).
  - eventfs: Warn if an eventfs_inode is freed without is_freed
    being set (bsc#1012628).
  - eventfs: Restructure eventfs_inode structure to be more
    condensed (bsc#1012628).
  - eventfs: Remove fsnotify*() functions from lookup()
    (bsc#1012628).
  - eventfs: Keep all directory links at 1 (bsc#1012628).
  - getrusage: move thread_group_cputime_adjusted() outside of
    lock_task_sighand() (bsc#1012628).
  - getrusage: use sig->stats_lock rather than lock_task_sighand()
    (bsc#1012628).
  - ksmbd: free aux buffer if ksmbd_iov_pin_rsp_read fails
    (bsc#1012628).
  - drm/nouveau: fix several DMA buffer leaks (bsc#1012628).
  - drm/buddy: Fix alloc_range() error handling code (bsc#1012628).
  - drm/msm: Wire up tlb ops (bsc#1012628).
  - drm/amd/display: Add align done check (bsc#1012628).
  - drm/i915/dp: Limit SST link rate to <=8.1Gbps (bsc#1012628).
  - drm/prime: Support page array >= 4GB (bsc#1012628).
  - drm/amdgpu/soc21: update VCN 4 max HEVC encoding resolution
    (bsc#1012628).
  - drm/amd/display: Fix MST Null Ptr for RV (bsc#1012628).
  - drm/amd/display: Increase frame-larger-than for all
    display_mode_vba files (bsc#1012628).
  - drm/amd/display: Fix array-index-out-of-bounds in dcn35_clkmgr
    (bsc#1012628).
  - drm/amd/display: Preserve original aspect ratio in create stream
    (bsc#1012628).
  - drm/amdgpu: Avoid fetching VRAM vendor info (bsc#1012628).
  - hv_netvsc: Fix race condition between netvsc_probe and
    netvsc_remove (bsc#1012628).
  - ring-buffer: Clean ring_buffer_poll_wait() error return
    (bsc#1012628).
  - net: dsa: mv88e6xxx: Fix failed probe due to unsupported C45
    reads (bsc#1012628).
  - nfp: flower: add hardware offload check for post ct entry
    (bsc#1012628).
  - nfp: flower: fix hardware offload for the transfer layer port
    (bsc#1012628).
  - serial: core: Fix atomicity violation in uart_tiocmget
    (bsc#1012628).
  - serial: max310x: set default value when reading clock ready bit
    (bsc#1012628).
  - serial: max310x: improve crystal stable clock detection
    (bsc#1012628).
  - serial: max310x: fail probe if clock crystal is unstable
    (bsc#1012628).
  - serial: max310x: prevent infinite while() loop in port startup
    (bsc#1012628).
  - ftrace: Fix DIRECT_CALLS to use SAVE_REGS by default
    (bsc#1012628).
  - powerpc/64: Set task pt_regs->link to the LR value on scv entry
    (bsc#1012628).
  - powerpc/cputable: Add missing PPC_FEATURE_BOOKE on PPC64 Book-E
    (bsc#1012628).
  - powerpc/pseries: fix accuracy of stolen time (bsc#1012628).
  - serial: core: introduce uart_port_tx_flags() (bsc#1012628).
  - serial: mxs-auart: fix tx (bsc#1012628).
  - x86/Kconfig: Transmeta Crusoe is CPU family 5, not 6
    (bsc#1012628).
  - x86/fpu: Stop relying on userspace for info to fault in xsave
    buffer (bsc#1012628).
  - KVM: x86: make KVM_REQ_NMI request iff NMI pending for vcpu
    (bsc#1012628).
  - KVM: x86/pmu: Fix type length error when reading
    pmu->fixed_ctr_ctrl (bsc#1012628).
  - x86/mm/ident_map: Use gbpages only where full GB page should
    be mapped (bsc#1012628).
  - io_uring/net: fix multishot accept overflow handling
    (bsc#1012628).
  - mmc: slot-gpio: Allow non-sleeping GPIO ro (bsc#1012628).
  - gpiolib: add gpio_device_get_base() stub for !GPIOLIB
    (bsc#1012628).
  - gpiolib: add gpiod_to_gpio_device() stub for !GPIOLIB
    (bsc#1012628).
  - ALSA: hda/realtek: fix mute/micmute LED For HP mt645
    (bsc#1012628).
  - ALSA: hda/conexant: Add quirk for SWS JS201D (bsc#1012628).
  - ALSA: hda/realtek: add IDs for Dell dual spk platform
    (bsc#1012628).
  - nilfs2: fix data corruption in dsync block recovery for small
    block sizes (bsc#1012628).
  - nilfs2: fix hang in nilfs_lookup_dirty_data_buffers()
    (bsc#1012628).
  - crypto: ccp - Fix null pointer dereference in
    __sev_platform_shutdown_locked (bsc#1012628).
  - crypto: algif_hash - Remove bogus SGL free on zero-length
    error path (bsc#1012628).
  - nfp: use correct macro for LengthSelect in BAR config
    (bsc#1012628).
  - nfp: enable NETDEV_XDP_ACT_REDIRECT feature flag (bsc#1012628).
  - nfp: flower: prevent re-adding mac index for bonded port
    (bsc#1012628).
  - wifi: iwlwifi: fix double-free bug (bsc#1012628).
  - wifi: cfg80211: fix wiphy delayed work queueing (bsc#1012628).
  - wifi: mac80211: reload info pointer in ieee80211_tx_dequeue()
    (bsc#1012628).
  - wifi: iwlwifi: mvm: fix a crash when we run out of stations
    (bsc#1012628).
  - PCI: dwc: Fix a 64bit bug in dw_pcie_ep_raise_msix_irq()
    (bsc#1012628).
  - irqchip/irq-brcmstb-l2: Add write memory barrier before exit
    (bsc#1012628).
  - irqchip/gic-v3-its: Restore quirk probing for ACPI-based systems
    (bsc#1012628).
  - irqchip/gic-v3-its: Fix GICv4.1 VPE affinity update
    (bsc#1012628).
  - thunderbolt: Fix setting the CNS bit in ROUTER_CS_5
    (bsc#1012628).
  - smb: client: set correct id, uid and cruid for multiuser
    automounts (bsc#1012628).
  - smb: Fix regression in writes when non-standard maximum write
    size negotiated (bsc#1012628).
  - KVM: s390: vsie: fix race during shadow creation (bsc#1012628).
  - KVM: arm64: Fix circular locking dependency (bsc#1012628).
  - zonefs: Improve error handling (bsc#1012628).
  - mmc: sdhci-pci-o2micro: Fix a warm reboot issue that disk
    can't be detected by BIOS (bsc#1012628).
  - arm64/signal: Don't assume that TIF_SVE means we saved SVE state
    (bsc#1012628).
  - arm64: Subscribe Microsoft Azure Cobalt 100 to ARM Neoverse
    N2 errata (bsc#1012628).
  - ASoC: SOF: IPC3: fix message bounds on ipc ops (bsc#1012628).
  - ASoC: tas2781: add module parameter to tascodec_init()
    (bsc#1012628).
  - ASoC: amd: yc: Add DMI quirk for Lenovo Ideapad Pro 5 16ARP8
    (bsc#1012628).
  - tools/rv: Fix curr_reactor uninitialized variable (bsc#1012628).
  - tools/rv: Fix Makefile compiler options for clang (bsc#1012628).
  - tools/rtla: Remove unused sched_getattr() function
    (bsc#1012628).
  - tools/rtla: Replace setting prio with nice for SCHED_OTHER
    (bsc#1012628).
  - tools/rtla: Fix clang warning about mount_point var size
    (bsc#1012628).
  - tools/rtla: Exit with EXIT_SUCCESS when help is invoked
    (bsc#1012628).
  - tools/rtla: Fix uninitialized bucket/data->bucket_size warning
    (bsc#1012628).
  - tools/rtla: Fix Makefile compiler options for clang
    (bsc#1012628).
  - fs: relax mount_setattr() permission checks (bsc#1012628).
  - net: ethernet: ti: cpsw: enable mac_managed_pm to fix mdio
    (bsc#1012628).
  - s390/qeth: Fix potential loss of L3-IP@ in case of network
    issues (bsc#1012628).
  - mm/damon/sysfs-schemes: fix wrong DAMOS tried regions update
    timeout setup (bsc#1012628).
  - net: ethernet: ti: cpsw_new: enable mac_managed_pm to fix mdio
    (bsc#1012628).
  - pmdomain: renesas: r8a77980-sysc: CR7 must be always on
    (bsc#1012628).
  - riscv/efistub: Ensure GP-relative addressing is not used
    (bsc#1012628).
  - net: stmmac: protect updates of 64-bit statistics counters
    (bsc#1012628).
  - hv_netvsc: Register VF in netvsc_probe if NET_DEVICE_REGISTER
    missed (bsc#1012628).
  - ceph: prevent use-after-free in encode_cap_msg() (bsc#1012628).
  - nouveau/gsp: use correct size for registry rpc (bsc#1012628).
  - fs,hugetlb: fix NULL pointer dereference in hugetlbs_fill_super
    (bsc#1012628).
  - mm: hugetlb pages should not be reserved by shmat() if
    SHM_NORESERVE (bsc#1012628).
  - LoongArch: Fix earlycon parameter if KASAN enabled
    (bsc#1012628).
  - blk-wbt: Fix detection of dirty-throttled tasks (bsc#1012628).
  - docs: kernel_feat.py: fix build error for missing files
    (bsc#1012628).
  - of: property: fix typo in io-channels (bsc#1012628).
  - xen/events: close evtchn after mapping cleanup (bsc#1012628).
  - can: netlink: Fix TDCO calculation using the old data bittiming
    (bsc#1012628).
  - can: j1939: prevent deadlock by changing j1939_socks_lock to
    rwlock (bsc#1012628).
  - can: j1939: Fix UAF in j1939_sk_match_filter during
    setsockopt(SO_J1939_FILTER) (bsc#1012628).
  - pmdomain: core: Move the unused cleanup to a _sync initcall
    (bsc#1012628).
  - fs/proc: do_task_stat: move thread_group_cputime_adjusted()
    outside of lock_task_sighand() (bsc#1012628).
  - fs/proc: do_task_stat: use sig->stats_lock to gather the
    threads/children stats (bsc#1012628).
  - tracing: Fix HAVE_DYNAMIC_FTRACE_WITH_REGS ifdef (bsc#1012628).
  - tracing: Inform kmemleak of saved_cmdlines allocation
    (bsc#1012628).
  - md: bypass block throttle for superblock update (bsc#1012628).
  - block: fix partial zone append completion handling in
    req_bio_endio() (bsc#1012628).
  - usb: typec: tpcm: Fix issues with power being removed during
    reset (bsc#1012628).
  - netfilter: ipset: fix performance regression in swap operation
    (bsc#1012628).
  - netfilter: ipset: Missing gc cancellations fixed (bsc#1012628).
  - nfsd: don't take fi_lock in nfsd_break_deleg_cb() (bsc#1012628).
  - of: property: Add in-ports/out-ports support to
    of_graph_get_port_parent() (bsc#1012628).
  - nilfs2: fix potential bug in end_buffer_async_write
    (bsc#1012628).
  - x86/barrier: Do not serialize MSR accesses on AMD (bsc#1012628).
  - Rename to
    patches.kernel.org/6.7.6-003-mm-huge_memory-don-t-force-huge-page-alignment-.patch.
  - Rename to
    patches.kernel.org/6.7.6-005-btrfs-add-and-use-helper-to-check-if-block-grou.patch.
  - Rename to
    patches.kernel.org/6.7.6-006-btrfs-do-not-delete-unused-block-group-if-it-ma.patch.
  - Rename to
    patches.kernel.org/6.7.6-007-btrfs-add-new-unused-block-groups-to-the-list-o.patch.
  - Rename to
    patches.kernel.org/6.7.6-008-btrfs-don-t-refill-whole-delayed-refs-block-res.patch.
  - Rename to
    patches.kernel.org/6.7.6-309-sched-membarrier-reduce-the-ability-to-hammer-o.patch.
  - Rename to
    patches.kernel.org/6.7.6-312-dm-limit-the-number-of-targets-and-parameter-si.patch.
  - commit b9dc7c6
* Fri Feb 23 2024 jslaby@suse.cz
  - mm, mmap: fix vma_merge() case 7 with vma_ops->close
    (git-fixes).
  - commit 0067aac
* Thu Feb 22 2024 msuchanek@suse.de
  - rpm templates: Always define usrmerged
    usrmerged is now defined in kernel-spec-macros and not the distribution.
    Only check if it's defined in kernel-spec-macros, not everywhere where
    it's used.
  - commit a6ad8af
* Wed Feb 21 2024 msuchanek@suse.de
  - rpm templates: Move macro definitions below buildrequires
    Many of the rpm macros defined in the kernel packages depend directly or
    indirectly on script execution. OBS cannot execute scripts which means
    values of these macros cannot be used in tags that are required for OBS
    to see such as package name, buildrequires or buildarch.
    Accumulate macro definitions that are not directly expanded by mkspec
    below buildrequires and buildarch to make this distinction clear.
  - commit 89eaf4c
* Wed Feb 21 2024 jslaby@suse.cz
  - sched/membarrier: reduce the ability to hammer on sys_membarrier
    (git-fixes).
  - commit edf6bd4
* Wed Feb 21 2024 jslaby@suse.cz
  - rpm/check-for-config-changes: add GCC_ASM_GOTO_OUTPUT_WORKAROUND to IGNORED_CONFIGS_RE
    Introduced by commit 68fb3ca0e408 ("update workarounds for gcc "asm
    goto" issue").
  - commit be1bdab
* Tue Feb 20 2024 mkubecek@suse.cz
  - Update to 6.8-rc5
  - update configs
    - HDC3020=n
  - commit 9b37ede
* Mon Feb 19 2024 lhruska@suse.cz
  - rpm/scripts: Remove obsolete Symbols.list
    Symbols.list is not longer needed by the new klp-convert implementation. (bsc#1218644)
  - commit 596cf9f
* Mon Feb 19 2024 mkoutny@suse.com
  - Update config files.
    Disable CONFIG_RT_GROUP_SCHED on all archs (bsc#950955 bsc#1153228).
  - commit 4821c9f
* Mon Feb 19 2024 msuchanek@suse.de
  - compute-PATCHVERSION: Do not produce output when awk fails
    compute-PATCHVERSION uses awk to produce a shell script that is
    subsequently executed to update shell variables which are then printed
    as the patchversion.
    Some versions of awk, most notably bysybox-gawk do not understand the
    awk program and fail to run. This results in no script generated as
    output, and printing the initial values of the shell variables as
    the patchversion.
    When the awk program fails to run produce 'exit 1' as the shell script
    to run instead. That prevents printing the stale values, generates no
    output, and generates invalid rpm spec file down the line. Then the
    problem is flagged early and should be easier to diagnose.
  - commit 8ef8383
* Sat Feb 17 2024 jslaby@suse.cz
  - Linux 6.7.5 (bsc#1012628).
  - ext4: regenerate buddy after block freeing failed if under fc
    replay (bsc#1012628).
  - dmaengine: fsl-dpaa2-qdma: Fix the size of dma pools
    (bsc#1012628).
  - dmaengine: ti: k3-udma: Report short packet errors
    (bsc#1012628).
  - dmaengine: fsl-qdma: Fix a memory leak related to the status
    queue DMA (bsc#1012628).
  - dmaengine: fsl-qdma: Fix a memory leak related to the queue
    command DMA (bsc#1012628).
  - phy: qcom-qmp-usb: fix register offsets for ipq8074/ipq6018
    (bsc#1012628).
  - phy: qcom-qmp-usb: fix serdes init sequence for IPQ6018
    (bsc#1012628).
  - phy: renesas: rcar-gen3-usb2: Fix returning wrong error code
    (bsc#1012628).
  - perf tests: Add perf script test (bsc#1012628).
  - perf test: Fix 'perf script' tests on s390 (bsc#1012628).
  - perf evlist: Fix evlist__new_default() for > 1 core PMU
    (bsc#1012628).
  - dmaengine: fix is_slave_direction() return false when
    DMA_DEV_TO_DEV (bsc#1012628).
  - phy: ti: phy-omap-usb2: Fix NULL pointer dereference for SRP
    (bsc#1012628).
  - cifs: avoid redundant calls to disable multichannel
    (bsc#1012628).
  - cifs: failure to add channel on iface should bump up weight
    (bsc#1012628).
  - drm/msms/dp: fixed link clock divider bits be over written in
    BPC unknown case (bsc#1012628).
  - drm/msm/dp: return correct Colorimetry for
    DP_TEST_DYNAMIC_RANGE_CEA case (bsc#1012628).
  - drm/msm/dpu: check for valid hw_pp in
    dpu_encoder_helper_phys_cleanup (bsc#1012628).
  - wifi: iwlwifi: mvm: skip adding debugfs symlink for reconfig
    (bsc#1012628).
  - x86/efistub: Give up if memory attribute protocol returns an
    error (bsc#1012628).
  - x86/efistub: Avoid placing the kernel below LOAD_PHYSICAL_ADDR
    (bsc#1012628).
  - net: stmmac: xgmac: fix handling of DPP safety error for DMA
    channels (bsc#1012628).
  - wifi: cfg80211: consume both probe response and beacon IEs
    (bsc#1012628).
  - wifi: mac80211: fix RCU use in TDLS fast-xmit (bsc#1012628).
  - wifi: mac80211: fix unsolicited broadcast probe config
    (bsc#1012628).
  - wifi: mac80211: fix waiting for beacons logic (bsc#1012628).
  - wifi: iwlwifi: exit eSR only after the FW does (bsc#1012628).
  - wifi: brcmfmac: Adjust n_channels usage for __counted_by
    (bsc#1012628).
  - netdevsim: avoid potential loop in nsim_dev_trap_report_work()
    (bsc#1012628).
  - net: atlantic: Fix DMA mapping for PTP hwts ring (bsc#1012628).
  - selftests: net: cut more slack for gro fwd tests (bsc#1012628).
  - selftests/net: convert unicast_extensions.sh to run it in
    unique namespace (bsc#1012628).
  - selftests/net: convert pmtu.sh to run it in unique namespace
    (bsc#1012628).
  - selftests/net: change shebang to bash to support "source"
    (bsc#1012628).
  - selftests: net: fix tcp listener handling in pmtu.sh
    (bsc#1012628).
  - selftests: net: avoid just another constant wait (bsc#1012628).
  - tsnep: Fix mapping for zero copy XDP_TX action (bsc#1012628).
  - tunnels: fix out of bounds access when building IPv6 PMTU error
    (bsc#1012628).
  - atm: idt77252: fix a memleak in open_card_ubr0 (bsc#1012628).
  - octeontx2-pf: Fix a memleak otx2_sq_init (bsc#1012628).
  - hwmon: (aspeed-pwm-tacho) mutex for tach reading (bsc#1012628).
  - hwmon: (coretemp) Fix out-of-bounds memory access (bsc#1012628).
  - hwmon: (coretemp) Fix bogus core_id to attr name mapping
    (bsc#1012628).
  - inet: read sk->sk_family once in inet_recv_error()
    (bsc#1012628).
  - drm/i915/gvt: Fix uninitialized variable in handle_mmio()
    (bsc#1012628).
  - x86/efistub: Use 1:1 file:memory mapping for PE/COFF .compat
    section (bsc#1012628).
  - rxrpc: Fix generation of serial numbers to skip zero
    (bsc#1012628).
  - rxrpc: Fix delayed ACKs to not set the reference serial number
    (bsc#1012628).
  - rxrpc: Fix response to PING RESPONSE ACKs to a dead call
    (bsc#1012628).
  - rxrpc: Fix counting of new acks and nacks (bsc#1012628).
  - selftests: net: let big_tcp test cope with slow env
    (bsc#1012628).
  - tipc: Check the bearer type before calling
    tipc_udp_nl_bearer_add() (bsc#1012628).
  - af_unix: Call kfree_skb() for dead unix_(sk)->oob_skb in GC
    (bsc#1012628).
  - devlink: avoid potential loop in
    devlink_rel_nested_in_notify_work() (bsc#1012628).
  - ppp_async: limit MRU to 64K (bsc#1012628).
  - selftests: cmsg_ipv6: repeat the exact packet (bsc#1012628).
  - netfilter: nft_compat: narrow down revision to unsigned 8-bits
    (bsc#1012628).
  - netfilter: nft_compat: reject unused compat flag (bsc#1012628).
  - netfilter: nft_compat: restrict match/target protocol to u16
    (bsc#1012628).
  - drm/amd/display: Fix 'panel_cntl' could be null in
    'dcn21_set_backlight_level()' (bsc#1012628).
  - drm/amd/display: Add NULL test for 'timing generator' in
    'dcn21_set_pipe()' (bsc#1012628).
  - drm/amd/display: Implement bounds check for stream encoder
    creation in DCN301 (bsc#1012628).
  - netfilter: nft_set_pipapo: remove static in nft_pipapo_get()
    (bsc#1012628).
  - netfilter: nft_ct: reject direction for ct id (bsc#1012628).
  - netfilter: nf_tables: use timestamp to check for set element
    timeout (bsc#1012628).
  - netfilter: nfnetlink_queue: un-break NF_REPEAT (bsc#1012628).
  - netfilter: nft_set_pipapo: store index in scratch maps
    (bsc#1012628).
  - netfilter: nft_set_pipapo: add helper to release pcpu scratch
    area (bsc#1012628).
  - netfilter: nft_set_pipapo: remove scratch_aligned pointer
    (bsc#1012628).
  - fs/ntfs3: Fix an NULL dereference bug (bsc#1012628).
  - mm: Introduce flush_cache_vmap_early() (bsc#1012628).
  - riscv: mm: execute local TLB flush after populating vmemmap
    (bsc#1012628).
  - riscv: Fix set_huge_pte_at() for NAPOT mapping (bsc#1012628).
  - riscv: Fix hugetlb_mask_last_page() when NAPOT is enabled
    (bsc#1012628).
  - scsi: core: Move scsi_host_busy() out of host lock if it is
    for per-command (bsc#1012628).
  - riscv: Flush the tlb when a page directory is freed
    (bsc#1012628).
  - libceph: rename read_sparse_msg_*() to
    read_partial_sparse_msg_*() (bsc#1012628).
  - libceph: just wait for more data to be available on the socket
    (bsc#1012628).
  - ceph: always set initial i_blkbits to CEPH_FSCRYPT_BLOCK_SHIFT
    (bsc#1012628).
  - riscv: Fix arch_hugetlb_migration_supported() for NAPOT
    (bsc#1012628).
  - riscv: declare overflow_stack as exported from traps.c
    (bsc#1012628).
  - nvme-host: fix the updating of the firmware version
    (bsc#1012628).
  - selftests: core: include linux/close_range.h for CLOSE_RANGE_*
    macros (bsc#1012628).
  - blk-iocost: Fix an UBSAN shift-out-of-bounds warning
    (bsc#1012628).
  - ALSA: usb-audio: Add delay quirk for MOTU M Series 2nd revision
    (bsc#1012628).
  - ALSA: usb-audio: Add a quirk for Yamaha YIT-W12TX transmitter
    (bsc#1012628).
  - ALSA: usb-audio: add quirk for RODE NT-USB+ (bsc#1012628).
  - USB: serial: qcserial: add new usb-id for Dell Wireless DW5826e
    (bsc#1012628).
  - USB: serial: option: add Fibocom FM101-GL variant (bsc#1012628).
  - USB: serial: cp210x: add ID for IMST iM871A-USB (bsc#1012628).
  - Revert "usb: typec: tcpm: fix cc role at port reset"
    (bsc#1012628).
  - Revert "drm/amd/pm: fix the high voltage and temperature issue"
    (bsc#1012628).
  - x86/lib: Revert to _ASM_EXTABLE_UA() for {get,put}_user()
    fixups (bsc#1012628).
  - usb: dwc3: host: Set XHCI_SG_TRB_CACHE_SIZE_QUIRK (bsc#1012628).
  - usb: host: xhci-plat: Add support for
    XHCI_SG_TRB_CACHE_SIZE_QUIRK (bsc#1012628).
  - xhci: process isoc TD properly when there was a transaction
    error mid TD (bsc#1012628).
  - xhci: handle isoc Babble and Buffer Overrun events properly
    (bsc#1012628).
  - usb: dwc3: pci: add support for the Intel Arrow Lake-H
    (bsc#1012628).
  - hrtimer: Report offline hrtimer enqueue (bsc#1012628).
  - Input: i8042 - fix strange behavior of touchpad on Clevo NS70PU
    (bsc#1012628).
  - Input: atkbd - skip ATKBD_CMD_SETLEDS when skipping
    ATKBD_CMD_GETID (bsc#1012628).
  - wifi: iwlwifi: mvm: fix a battery life regression (bsc#1012628).
  - io_uring/net: fix sr->len for IORING_OP_RECV with MSG_WAITALL
    and buffers (bsc#1012628).
  - io_uring/poll: move poll execution helpers higher up
    (bsc#1012628).
  - io_uring/net: un-indent mshot retry path in io_recv_finish()
    (bsc#1012628).
  - io_uring/rw: ensure poll based multishot read retries
    appropriately (bsc#1012628).
  - PCI/ASPM: Fix deadlock when enabling ASPM (bsc#1012628).
  - new helper: user_path_locked_at() (bsc#1012628).
  - bch2_ioctl_subvolume_destroy(): fix locking (bsc#1012628).
  - bcachefs: Don't pass memcmp() as a pointer (bsc#1012628).
  - bcachefs: rebalance should wakeup on shutdown if disabled
    (bsc#1012628).
  - bcachefs: Add missing bch2_moving_ctxt_flush_all()
    (bsc#1012628).
  - bcachefs: bch2_kthread_io_clock_wait() no longer sleeps until
    full amount (bsc#1012628).
  - bcachefs: kvfree bch_fs::snapshots in bch2_fs_snapshots_exit
    (bsc#1012628).
  - bcachefs: grab s_umount only if snapshotting (bsc#1012628).
  - bcachefs: unlock parent dir if entry is not found in subvolume
    deletion (bsc#1012628).
  - bcachefs: time_stats: Check for last_event == 0 when updating
    freq stats (bsc#1012628).
  - Revert "ASoC: amd: Add new dmi entries for acp5x platform"
    (bsc#1012628).
  - io_uring/poll: add requeue return code from poll multishot
    handling (bsc#1012628).
  - io_uring/net: limit inline multishot retries (bsc#1012628).
  - net: Fix from address in memcpy_to_iter_csum() (bsc#1012628).
  - net: stmmac: xgmac: use #define for string constants
    (bsc#1012628).
  - ALSA: usb-audio: Sort quirk table entries (bsc#1012628).
  - net: stmmac: xgmac: fix a typo of register name in DPP safety
    handling (bsc#1012628).
  - netfilter: nft_set_rbtree: skip end interval element from gc
    (bsc#1012628).
  - Rename to
    patches.kernel.org/6.7.5-024-wifi-cfg80211-detect-stuck-ECSA-element-in-prob.patch.
  - Rename to
    patches.kernel.org/6.7.5-025-wifi-mac80211-improve-CSA-ECSA-connection-refus.patch.
  - Rename to
    patches.kernel.org/6.7.5-118-bcachefs-fix-incorrect-usage-of-REQ_OP_FLUSH.patch.
  - commit 3d6e6db
* Fri Feb 16 2024 jslaby@suse.cz
  - af_unix: Fix task hung while purging oob_skb in GC (git-fixes).
  - commit bf7a7ae
* Fri Feb 16 2024 jslaby@suse.cz
  - btrfs: don't refill whole delayed refs block reserve when
    starting transaction (bsc#1219930).
  - btrfs: add new unused block groups to the list of unused block
    groups (bsc#1219930).
  - btrfs: do not delete unused block group if it may be used soon
    (bsc#1219930).
  - btrfs: add and use helper to check if block group is used
    (bsc#1219930).
  - commit f790b2f
* Wed Feb 14 2024 msuchanek@suse.de
  - kernel-binary: Move build script to the end
    All other spec templates have the build script at the end, only
    kernel-binary has it in the middle. Align with the other templates.
  - commit 98cbdd0
* Wed Feb 14 2024 msuchanek@suse.de
  - rpm templates: Aggregate subpackage descriptions
    While in some cases the package tags, description, scriptlets and
    filelist are located together in other cases they are all across the
    spec file. Aggregate the information related to a subpackage in one
    place.
  - commit 8eeb08c
* Wed Feb 14 2024 msuchanek@suse.de
  - rpm templates: sort rpm tags
    The rpm tags in kernel spec files are sorted at random.
    Make the order of rpm tags somewhat more consistent across rpm spec
    templates.
  - commit 8875c35
* Wed Feb 14 2024 jslaby@suse.cz
  - Update config files.
    Only oldconfig.
  - commit f4f3bac
* Tue Feb 13 2024 colyli@suse.de
  - Update to add CVE-2024-23851 tag,
    patches.suse/dm-limit-the-number-of-targets-and-parameter-size-ar.patch
    (bsc#1219827, bsc#1219146, CVE-2023-52429, CVE-2024-23851).
  - commit f7319be
* Mon Feb 12 2024 colyli@suse.de
  - dm: limit the number of targets and parameter size area
    (bsc#1219827, bsc#1219146, CVE-2023-52429).
  - commit 3dc0a6c
* Mon Feb 12 2024 tiwai@suse.de
  - Update config files: disable broken ATOMISP drivers (bsc#1210639)
    It's been broken over a year, better to disable it before hitting another victim
  - commit aa68e1a
* Mon Feb 12 2024 vbabka@suse.cz
  - Update config files. Enable CONFIG_READ_ONLY_THP_FOR_FS (bsc#1219593).
  - commit 8f5ed7a
* Sun Feb 11 2024 mkubecek@suse.cz
  - Update to 6.8-rc4
  - commit 9b23bf2
* Sat Feb 10 2024 tiwai@suse.de
  - net: phy: realtek: add 5Gbps support to rtl822x_config_aneg()
    (bsc#1217417).
  - net: phy: realtek: use generic MDIO constants (bsc#1217417).
  - net: mdio: add 2.5g and 5g related PMA speed constants
    (bsc#1217417).
  - net: phy: realtek: add 5Gbps support to rtl822x_config_aneg()
    (bsc#1217417).
  - net: phy: realtek: use generic MDIO constants (bsc#1217417).
  - net: mdio: add 2.5g and 5g related PMA speed constants
    (bsc#1217417).
  - commit 5c78291
* Thu Feb 08 2024 msuchanek@suse.de
  - kernel-binary: certs: Avoid trailing space
  - commit bc7dc31
* Thu Feb 08 2024 vkarasulli@suse.de
  - Update
    patches.kernel.org/6.7.2-448-Bluetooth-Fix-atomicity-violation-in-min-max-_k.patch
    (bsc#1012628 bsc#1219608 CVE-2024-24860).
  - commit dff65cf
* Wed Feb 07 2024 jslaby@suse.cz
  - rpm/kernel-binary.spec.in: install scripts/gdb when enabled in config
    (bsc#1219653)
    They are put into -devel subpackage. And a proper link to
    /usr/share/gdb/auto-load/ is created.
  - commit 1dccf2a
* Tue Feb 06 2024 jslaby@suse.cz
  - rpm/mkspec: sort entries in _multibuild
    Otherwise it creates unnecessary diffs when tar-up-ing. It's of course
    due to readdir() using "random" order as served by the underlying
    filesystem.
    See for example:
    https://build.opensuse.org/request/show/1144457/changes
  - commit d1155de
* Tue Feb 06 2024 jslaby@suse.cz
  - Linux 6.7.4 (bsc#1012628).
  - asm-generic: make sparse happy with odd-sized put_unaligned_*()
    (bsc#1012628).
  - powerpc/mm: Fix null-pointer dereference in pgtable_cache_add
    (bsc#1012628).
  - arm64: irq: set the correct node for VMAP stack (bsc#1012628).
  - drivers/perf: pmuv3: don't expose SW_INCR event in sysfs
    (bsc#1012628).
  - powerpc: Fix build error due to is_valid_bugaddr()
    (bsc#1012628).
  - powerpc/mm: Fix build failures due to
    arch_reserved_kernel_pages() (bsc#1012628).
  - powerpc/64s: Fix CONFIG_NUMA=n build due to
    create_section_mapping() (bsc#1012628).
  - x86/boot: Ignore NMIs during very early boot (bsc#1012628).
  - powerpc: pmd_move_must_withdraw() is only needed for
    CONFIG_TRANSPARENT_HUGEPAGE (bsc#1012628).
  - powerpc/lib: Validate size for vector operations (bsc#1012628).
  - x86/mce: Mark fatal MCE's page as poison to avoid panic in
    the kdump kernel (bsc#1012628).
  - sched/numa: Fix mm numa_scan_seq based unconditional scan
    (bsc#1012628).
  - perf/core: Fix narrow startup race when creating the perf
    nr_addr_filters sysfs file (bsc#1012628).
  - debugobjects: Stop accessing objects after releasing hash
    bucket lock (bsc#1012628).
  - sched/fair: Fix tg->load when offlining a CPU (bsc#1012628).
  - regulator: core: Only increment use_count when enable_count
    changes (bsc#1012628).
  - audit: Send netlink ACK before setting connection in auditd_set
    (bsc#1012628).
  - ACPI: tables: Correct and clean up the logic of
    acpi_parse_entries_array() (bsc#1012628).
  - ACPI: video: Add quirk for the Colorful X15 AT 23 Laptop
    (bsc#1012628).
  - PNP: ACPI: fix fortify warning (bsc#1012628).
  - ACPI: extlog: fix NULL pointer dereference check (bsc#1012628).
  - selftests/nolibc: fix testcase status alignment (bsc#1012628).
  - ACPI: NUMA: Fix the logic of getting the fake_pxm value
    (bsc#1012628).
  - kunit: tool: fix parsing of test attributes (bsc#1012628).
  - kunit: Reset test->priv after each param iteration
    (bsc#1012628).
  - PM / devfreq: Synchronize devfreq_monitor_[start/stop]
    (bsc#1012628).
  - ACPI: APEI: set memory failure flags as MF_ACTION_REQUIRED on
    synchronous events (bsc#1012628).
  - OPP: The level field is always of unsigned int type
    (bsc#1012628).
  - thermal: core: Fix thermal zone suspend-resume synchronization
    (bsc#1012628).
  - FS:JFS:UBSAN:array-index-out-of-bounds in dbAdjTree
    (bsc#1012628).
  - UBSAN: array-index-out-of-bounds in dtSplitRoot (bsc#1012628).
  - jfs: fix slab-out-of-bounds Read in dtSearch (bsc#1012628).
  - jfs: fix array-index-out-of-bounds in dbAdjTree (bsc#1012628).
  - jfs: fix uaf in jfs_evict_inode (bsc#1012628).
  - hwrng: starfive - Fix dev_err_probe return error (bsc#1012628).
  - crypto: p10-aes-gcm - Avoid -Wstringop-overflow warnings
    (bsc#1012628).
  - pstore/ram: Fix crash when setting number of cpus to an odd
    number (bsc#1012628).
  - erofs: fix up compacted indexes for block size < 4096
    (bsc#1012628).
  - crypto: starfive - Fix dev_err_probe return error (bsc#1012628).
  - crypto: octeontx2 - Fix cptvf driver cleanup (bsc#1012628).
  - erofs: fix ztailpacking for subpage compressed blocks
    (bsc#1012628).
  - crypto: stm32/crc32 - fix parsing list of devices (bsc#1012628).
  - afs: fix the usage of read_seqbegin_or_lock() in
    afs_lookup_volume_rcu() (bsc#1012628).
  - rxrpc_find_service_conn_rcu: fix the usage of
    read_seqbegin_or_lock() (bsc#1012628).
  - jfs: fix array-index-out-of-bounds in diNewExt (bsc#1012628).
  - s390/boot: always align vmalloc area on segment boundary
    (bsc#1012628).
  - arch: consolidate arch_irq_work_raise prototypes (bsc#1012628).
  - arch: fix asm-offsets.c building with -Wmissing-prototypes
    (bsc#1012628).
  - s390/vfio-ap: fix sysfs status attribute for AP queue devices
    (bsc#1012628).
  - s390/ptrace: handle setting of fpc register correctly
    (bsc#1012628).
  - KVM: s390: fix setting of fpc register (bsc#1012628).
  - sysctl: Fix out of bounds access for empty sysctl registers
    (bsc#1012628).
  - SUNRPC: Fix a suspicious RCU usage warning (bsc#1012628).
  - ext4: treat end of range as exclusive in ext4_zero_range()
    (bsc#1012628).
  - smb: client: fix renaming of reparse points (bsc#1012628).
  - smb: client: fix hardlinking of reparse points (bsc#1012628).
  - cifs: fix in logging in cifs_chan_update_iface (bsc#1012628).
  - ecryptfs: Reject casefold directory inodes (bsc#1012628).
  - ext4: fix inconsistent between segment fstrim and full fstrim
    (bsc#1012628).
  - ext4: unify the type of flexbg_size to unsigned int
    (bsc#1012628).
  - ext4: remove unnecessary check from alloc_flex_gd()
    (bsc#1012628).
  - ext4: avoid online resizing failures due to oversized flex bg
    (bsc#1012628).
  - wifi: rtw89: fix timeout calculation in rtw89_roc_end()
    (bsc#1012628).
  - wifi: rt2x00: restart beacon queue when hardware reset
    (bsc#1012628).
  - selftests/bpf: fix RELEASE=1 build for tc_opts (bsc#1012628).
  - selftests/bpf: satisfy compiler by having explicit return in
    btf test (bsc#1012628).
  - libbpf: Fix potential uninitialized tail padding with
    LIBBPF_OPTS_RESET (bsc#1012628).
  - selftests/bpf: Fix pyperf180 compilation failure with clang18
    (bsc#1012628).
  - wifi: rt2x00: correct wrong BBP register in RxDCOC calibration
    (bsc#1012628).
  - selftests/bpf: Fix issues in setup_classid_environment()
    (bsc#1012628).
  - ARM: dts: qcom: strip prefix from PMIC files (bsc#1012628).
  - ARM: dts: qcom: mdm9615: fix PMIC node labels (bsc#1012628).
  - ARM: dts: qcom: msm8660: fix PMIC node labels (bsc#1012628).
  - soc: xilinx: Fix for call trace due to the usage of
    smp_processor_id() (bsc#1012628).
  - soc: xilinx: fix unhandled SGI warning message (bsc#1012628).
  - scsi: lpfc: Fix possible file string name overflow when updating
    firmware (bsc#1012628).
  - ARM: dts: samsung: exynos4: fix camera unit addresses/ranges
    (bsc#1012628).
  - ARM: dts: samsung: s5pv210: fix camera unit addresses/ranges
    (bsc#1012628).
  - net: phy: micrel: fix ts_info value in case of no phc
    (bsc#1012628).
  - PCI: Add no PM reset quirk for NVIDIA Spectrum devices
    (bsc#1012628).
  - bonding: return -ENOMEM instead of BUG in alb_upper_dev_walk
    (bsc#1012628).
  - net: usb: ax88179_178a: avoid two consecutive device resets
    (bsc#1012628).
  - scsi: mpi3mr: Add support for SAS5116 PCI IDs (bsc#1012628).
  - scsi: mpi3mr: Add PCI checks where SAS5116 diverges from SAS4116
    (bsc#1012628).
  - scsi: arcmsr: Support new PCI device IDs 1883 and 1886
    (bsc#1012628).
  - ARM: dts: imx7d: Fix coresight funnel ports (bsc#1012628).
  - ARM: dts: imx7s: Fix lcdif compatible (bsc#1012628).
  - ARM: dts: imx7s: Fix nand-controller #size-cells (bsc#1012628).
  - bpf: Fix a few selftest failures due to llvm18 change
    (bsc#1012628).
  - wifi: ath9k: Fix potential array-index-out-of-bounds read in
    ath9k_htc_txstatus() (bsc#1012628).
  - wifi: ath11k: fix race due to setting
    ATH11K_FLAG_EXT_IRQ_ENABLED too early (bsc#1012628).
  - wifi: rtw89: fix not entering PS mode after AP stops
    (bsc#1012628).
  - wifi: rtw89: fix misbehavior of TX beacon in concurrent mode
    (bsc#1012628).
  - bpf: Check rcu_read_lock_trace_held() before calling bpf map
    helpers (bsc#1012628).
  - bpf: Set need_defer as false when clearing fd array during
    map free (bsc#1012628).
  - wifi: ath12k: fix and enable AP mode for WCN7850 (bsc#1012628).
  - scsi: libfc: Don't schedule abort twice (bsc#1012628).
  - scsi: libfc: Fix up timeout error in fc_fcp_rec_error()
    (bsc#1012628).
  - net: mvmdio: Avoid excessive sleeps in polled mode
    (bsc#1012628).
  - arm64: dts: qcom: sm8550: fix soundwire controllers node name
    (bsc#1012628).
  - arm64: dts: qcom: sm8450: fix soundwire controllers node name
    (bsc#1012628).
  - arm64: dts: qcom: sm8350: Fix remoteproc interrupt type
    (bsc#1012628).
  - wifi: mt76: connac: fix EHT phy mode check (bsc#1012628).
  - wifi: mt76: mt7996: add PCI IDs for mt7992 (bsc#1012628).
  - bpf: Set uattr->batch.count as zero before batched update or
    deletion (bsc#1012628).
  - wifi: wfx: fix possible NULL pointer dereference in
    wfx_set_mfp_ap() (bsc#1012628).
  - wifi: ath12k: fix the issue that the multicast/broadcast
    indicator is not read correctly for WCN7850 (bsc#1012628).
  - ARM: dts: rockchip: fix rk3036 hdmi ports node (bsc#1012628).
  - ARM: dts: imx25/27-eukrea: Fix RTC node name (bsc#1012628).
  - ARM: dts: imx: Use flash@0,0 pattern (bsc#1012628).
  - ARM: dts: imx27: Fix sram node (bsc#1012628).
  - ARM: dts: imx1: Fix sram node (bsc#1012628).
  - net: phy: at803x: fix passing the wrong reference for
    config_intr (bsc#1012628).
  - ionic: pass opcode to devcmd_wait (bsc#1012628).
  - ionic: bypass firmware cmds when stuck in reset (bsc#1012628).
  - block/rnbd-srv: Check for unlikely string overflow
    (bsc#1012628).
  - arm64: zynqmp: Move fixed clock to / for kv260 (bsc#1012628).
  - arm64: zynqmp: Fix clock node name in kv260 cards (bsc#1012628).
  - selftests/bpf: fix compiler warnings in RELEASE=1 mode
    (bsc#1012628).
  - ARM: dts: imx25: Fix the iim compatible string (bsc#1012628).
  - ARM: dts: imx25/27: Pass timing0 (bsc#1012628).
  - ARM: dts: imx27-apf27dev: Fix LED name (bsc#1012628).
  - ARM: dts: imx23-sansa: Use preferred i2c-gpios properties
    (bsc#1012628).
  - ARM: dts: imx23/28: Fix the DMA controller node name
    (bsc#1012628).
  - scsi: lpfc: Reinitialize an NPIV's VMID data structures after
    FDISC (bsc#1012628).
  - scsi: lpfc: Move determination of vmid_flag after VMID
    reinitialization completes (bsc#1012628).
  - scsi: hisi_sas: Set .phy_attached before notifing phyup event
    HISI_PHYE_PHY_UP_PM (bsc#1012628).
  - ice: fix ICE_AQ_VSI_Q_OPT_RSS_* register values (bsc#1012628).
  - net: atlantic: eliminate double free in error handling logic
    (bsc#1012628).
  - net: dsa: mv88e6xxx: Fix mv88e6352_serdes_get_stats error path
    (bsc#1012628).
  - ARM: dts: marvell: Fix some common switch mistakes
    (bsc#1012628).
  - ARM64: dts: marvell: Fix some common switch mistakes
    (bsc#1012628).
  - block: prevent an integer overflow in bvec_try_merge_hw_page
    (bsc#1012628).
  - md: Whenassemble the array, consult the superblock of the
    freshest device (bsc#1012628).
  - x86/cfi,bpf: Fix bpf_exception_cb() signature (bsc#1012628).
  - arm64: dts: qcom: msm8996: Fix 'in-ports' is a required property
    (bsc#1012628).
  - arm64: dts: qcom: msm8998: Fix 'out-ports' is a required
    property (bsc#1012628).
  - arm64: dts: qcom: Fix coresight warnings in in-ports and
    out-ports (bsc#1012628).
  - intel: add bit macro includes where needed (bsc#1012628).
  - ice: fix pre-shifted bit usage (bsc#1012628).
  - arm64: dts: amlogic: fix format for s4 uart node (bsc#1012628).
  - wifi: rtl8xxxu: Add additional USB IDs for RTL8192EU devices
    (bsc#1012628).
  - wifi: rtw89: coex: Fix wrong Wi-Fi role info and FDDT parameter
    members (bsc#1012628).
  - libbpf: Fix NULL pointer dereference in
    bpf_object__collect_prog_relos (bsc#1012628).
  - wifi: rtlwifi: rtl8723{be,ae}: using calculate_bit_shift()
    (bsc#1012628).
  - wifi: cfg80211: free beacon_ies when overridden from hidden BSS
    (bsc#1012628).
  - Bluetooth: qca: Set both WIDEBAND_SPEECH and LE_STATES quirks
    for QCA2066 (bsc#1012628).
  - Bluetooth: ISO: Avoid creating child socket if PA sync is
    terminating (bsc#1012628).
  - Bluetooth: hci_sync: fix BR/EDR wakeup bug (bsc#1012628).
  - Bluetooth: L2CAP: Fix possible multiple reject send
    (bsc#1012628).
  - net/smc: disable SEID on non-s390 archs where virtual ISM may
    be used (bsc#1012628).
  - bridge: cfm: fix enum typo in br_cc_ccm_tx_parse (bsc#1012628).
  - arm64: dts: sprd: Add clock reference for pll2 on UMS512
    (bsc#1012628).
  - arm64: dts: sprd: Change UMS512 idle-state nodename to match
    bindings (bsc#1012628).
  - i40e: Fix VF disable behavior to block all traffic
    (bsc#1012628).
  - octeontx2-af: Fix max NPC MCAM entry check while validating
    ref_entry (bsc#1012628).
  - net: kcm: fix direct access to bv_len (bsc#1012628).
  - net: dsa: qca8k: put MDIO bus OF node on qca8k_mdio_register()
    failure (bsc#1012628).
  - ARM: dts: usr8200: Fix phy registers (bsc#1012628).
  - f2fs: fix to check return value of f2fs_reserve_new_block()
    (bsc#1012628).
  - ALSA: hda: Refer to correct stream index at loops (bsc#1012628).
  - ASoC: doc: Fix undefined SND_SOC_DAPM_NOPM argument
    (bsc#1012628).
  - fast_dput(): handle underflows gracefully (bsc#1012628).
  - reiserfs: Avoid touching renamed directory if parent does not
    change (bsc#1012628).
  - ocfs2: Avoid touching renamed directory if parent does not
    change (bsc#1012628).
  - drm/msm/a690: Fix reg values for a690 (bsc#1012628).
  - RDMA/IPoIB: Fix error code return in ipoib_mcast_join
    (bsc#1012628).
  - drm/panel-edp: Add override_edid_mode quirk for generic edp
    (bsc#1012628).
  - drm/bridge: anx7625: Fix Set HPD irq detect window to 2ms
    (bsc#1012628).
  - drm/amd/display: Fix tiled display misalignment (bsc#1012628).
  - f2fs: fix write pointers on zoned device after roll forward
    (bsc#1012628).
  - ASoC: amd: Add new dmi entries for acp5x platform (bsc#1012628).
  - drm/amd/display: Fix MST PBN/X.Y value calculations
    (bsc#1012628).
  - drm/amd/display: Fix disable_otg_wa logic (bsc#1012628).
  - drm/amd/display: Fix Replay Desync Error IRQ handler
    (bsc#1012628).
  - drm/amd/display: add support for DTO genarated dscclk
    (bsc#1012628).
  - drm/drm_file: fix use of uninitialized variable (bsc#1012628).
  - drm/framebuffer: Fix use of uninitialized variable
    (bsc#1012628).
  - drm/mipi-dsi: Fix detach call without attach (bsc#1012628).
  - media: stk1160: Fixed high volume of stk1160_dbg messages
    (bsc#1012628).
  - media: rockchip: rga: fix swizzling for RGB formats
    (bsc#1012628).
  - PCI: add INTEL_HDA_ARL to pci_ids.h (bsc#1012628).
  - ALSA: hda: Intel: add HDA_ARL PCI ID support (bsc#1012628).
  - ALSA: hda: intel-dspcfg: add filters for ARL-S and ARL
    (bsc#1012628).
  - drm/msm/dp: Add DisplayPort controller for SM8650 (bsc#1012628).
  - media: uvcvideo: Fix power line control for a Chicony camera
    (bsc#1012628).
  - media: uvcvideo: Fix power line control for SunplusIT camera
    (bsc#1012628).
  - media: rkisp1: Drop IRQF_SHARED (bsc#1012628).
  - media: rkisp1: Fix IRQ handler return values (bsc#1012628).
  - media: rkisp1: Store IRQ lines (bsc#1012628).
  - media: rkisp1: Fix IRQ disable race issue (bsc#1012628).
  - media: rkisp1: resizer: Stop manual allocation of
    v4l2_subdev_state (bsc#1012628).
  - hwmon: (nct6775) Fix fan speed set failure in automatic mode
    (bsc#1012628).
  - hwmon: (hp-wmi-sensors) Fix failure to load on EliteDesk 800 G6
    (bsc#1012628).
  - f2fs: fix to tag gcing flag on page during block migration
    (bsc#1012628).
  - drm/exynos: Call drm_atomic_helper_shutdown() at shutdown/unbind
    time (bsc#1012628).
  - IB/ipoib: Fix mcast list locking (bsc#1012628).
  - media: amphion: remove mutext lock in condition of wait_event
    (bsc#1012628).
  - media: ddbridge: fix an error code problem in ddb_probe
    (bsc#1012628).
  - media: ov2740: Fix hts value (bsc#1012628).
  - media: i2c: imx335: Fix hblank min/max values (bsc#1012628).
  - drm/amd/display: For prefetch mode > 0, extend prefetch if
    possible (bsc#1012628).
  - drm/amd/display: Force p-state disallow if leaving no plane
    config (bsc#1012628).
  - drm/amdkfd: fix mes set shader debugger process management
    (bsc#1012628).
  - drm/msm/dpu: enable writeback on SM8350 (bsc#1012628).
  - drm/msm/dpu: enable writeback on SM8450 (bsc#1012628).
  - drm/msm/dpu: Ratelimit framedone timeout msgs (bsc#1012628).
  - drm/msm/dpu: fix writeback programming for YUV cases
    (bsc#1012628).
  - drm/msm/dpu: Add mutex lock in control vblank irq (bsc#1012628).
  - drm/amdgpu: fix ftrace event amdgpu_bo_move always move on
    same heap (bsc#1012628).
  - clk: hi3620: Fix memory leak in hi3620_mmc_clk_init()
    (bsc#1012628).
  - clk: mmp: pxa168: Fix memory leak in pxa168_clk_init()
    (bsc#1012628).
  - watchdog: starfive: add lock annotations to fix context
    imbalances (bsc#1012628).
  - watchdog: it87_wdt: Keep WDTCTRL bit 3 unmodified for
    IT8784/IT8786 (bsc#1012628).
  - accel/habanalabs: add support for Gaudi2C device (bsc#1012628).
  - accel/habanalabs: fix EQ heartbeat mechanism (bsc#1012628).
  - accel/habanalabs/gaudi2: fix undef opcode reporting
    (bsc#1012628).
  - drm/amd/display: make flip_timestamp_in_us a 64-bit variable
    (bsc#1012628).
  - drm/amd/display: fix usb-c connector_type (bsc#1012628).
  - drm/amd/display: Fix lightup regression with DP2 single display
    configs (bsc#1012628).
  - drm/amd/display: Only clear symclk otg flag for HDMI
    (bsc#1012628).
  - clk: imx: scu: Fix memory leak in __imx_clk_gpr_scu()
    (bsc#1012628).
  - clk: imx: clk-imx8qxp: fix LVDS bypass, pixel and phy clocks
    (bsc#1012628).
  - drm/amdgpu: Fix ecc irq enable/disable unpaired (bsc#1012628).
  - drm/amd/display: Fix minor issues in BW Allocation Phase2
    (bsc#1012628).
  - drm/amdgpu: Let KFD sync with VM fences (bsc#1012628).
  - Re-revert "drm/amd/display: Enable Replay for static screen
    use cases" (bsc#1012628).
  - drm/amdgpu: Fix possible NULL dereference in
    amdgpu_ras_query_error_status_helper() (bsc#1012628).
  - drm/amdgpu: Fix variable 'mca_funcs' dereferenced before NULL
    check in 'amdgpu_mca_smu_get_mca_entry()' (bsc#1012628).
  - drm/amdgpu: Fix '*fw' from request_firmware() not released in
    'amdgpu_ucode_request()' (bsc#1012628).
  - drm/amdgpu: Drop 'fence' check in 'to_amdgpu_amdkfd_fence()'
    (bsc#1012628).
  - drm/amdkfd: Fix iterator used outside loop in
    'kfd_add_peer_prop()' (bsc#1012628).
  - drm/amdgpu: apply the RV2 system aperture fix to RN/CZN as well
    (bsc#1012628).
  - ALSA: hda/conexant: Fix headset auto detect fail in cx8070
    and SN6140 (bsc#1012628).
  - pinctrl: baytrail: Fix types of config value in
    byt_pin_config_set() (bsc#1012628).
  - leds: trigger: panic: Don't register panic notifier if creating
    the trigger failed (bsc#1012628).
  - um: Fix naming clash between UML and scheduler (bsc#1012628).
  - um: Don't use vfprintf() for os_info() (bsc#1012628).
  - um: net: Fix return type of uml_net_start_xmit() (bsc#1012628).
  - um: time-travel: fix time corruption (bsc#1012628).
  - i3c: master: cdns: Update maximum prescaler value for i2c clock
    (bsc#1012628).
  - ACPI: resource: Add DMI quirks for ASUS Vivobook E1504GA and
    E1504GAB (bsc#1012628).
  - ACPI: resource: Skip IRQ override on ASUS ExpertBook B1502CGA
    (bsc#1012628).
  - riscv: Make XIP bootable again (bsc#1012628).
  - xen/gntdev: Fix the abuse of underlying struct page in DMA-buf
    import (bsc#1012628).
  - mfd: ti_am335x_tscadc: Fix TI SoC dependencies (bsc#1012628).
  - mailbox: arm_mhuv2: Fix a bug for mhuv2_sender_interrupt
    (bsc#1012628).
  - PCI: Only override AMD USB controller if required (bsc#1012628).
  - PCI: switchtec: Fix stdev_release() crash after surprise hot
    remove (bsc#1012628).
  - perf cs-etm: Bump minimum OpenCSD version to ensure a bugfix
    is present (bsc#1012628).
  - extcon: fix possible name leak in extcon_dev_register()
    (bsc#1012628).
  - usb: hub: Replace hardcoded quirk value with BIT() macro
    (bsc#1012628).
  - usb: hub: Add quirk to decrease IN-ep poll interval for
    Microchip USB491x hub (bsc#1012628).
  - selftests/sgx: Fix linker script asserts (bsc#1012628).
  - tty: allow TIOCSLCKTRMIOS with CAP_CHECKPOINT_RESTORE
    (bsc#1012628).
  - tty: serial: 8250: Set RS422 interface by default to fix Moxa
    RS422/RS485 PCIe boards (bsc#1012628).
  - fs/kernfs/dir: obey S_ISGID (bsc#1012628).
  - spmi: mediatek: Fix UAF on device remove (bsc#1012628).
  - staging: vme_user: Fix the issue of return the wrong error code
    (bsc#1012628).
  - PCI: Fix 64GT/s effective data rate calculation (bsc#1012628).
  - PCI/AER: Decode Requester ID when no error info found
    (bsc#1012628).
  - 9p: Fix initialisation of netfs_inode for 9p (bsc#1012628).
  - tracefs/eventfs: Use root and instance inodes as default
    ownership (bsc#1012628).
  - usb: xhci-plat: fix usb disconnect issue after s4 (bsc#1012628).
  - misc: lis3lv02d_i2c: Add missing setting of the reg_ctrl
    callback (bsc#1012628).
  - libsubcmd: Fix memory leak in uniq() (bsc#1012628).
  - ASoC: codecs: rtq9128: Fix PM_RUNTIME usage (bsc#1012628).
  - ASoC: codecs: rtq9128: Fix TDM enable and DAI format control
    flow (bsc#1012628).
  - drm/amdkfd: Fix lock dependency warning (bsc#1012628).
  - drm/amd/display: To adjust dprefclk by down spread percentage
    (bsc#1012628).
  - Revert "drm/amd/display: Fix conversions between bytes and KB"
    (bsc#1012628).
  - drm/amdkfd: Fix lock dependency warning with srcu (bsc#1012628).
  - =?UTF-8?q?virtio=5Fnet:=20Fix=20"=E2=80=98%d=E2=80=99=20d?=
    =?UTF-8?q?irective=20writing=20between=201=20and=2011=20bytes=20into=20a?=
    =?UTF-8?q?=20region=20of=20size=2010"=20warnings?=
    (bsc#1012628).
  - blk-mq: fix IO hang from sbitmap wakeup race (bsc#1012628).
  - ceph: reinitialize mds feature bit even when session in open
    (bsc#1012628).
  - ceph: fix deadlock or deadcode of misusing dget() (bsc#1012628).
  - ceph: fix invalid pointer access if get_quota_realm return
    ERR_PTR (bsc#1012628).
  - drm/amdgpu: fix avg vs input power reporting on smu7
    (bsc#1012628).
  - drm/amd/powerplay: Fix kzalloc parameter 'ATOM_Tonga_PPM_Table'
    in 'get_platform_power_management_table()' (bsc#1012628).
  - drm/amdgpu: Fix with right return code '-EIO' in
    'amdgpu_gmc_vram_checking()' (bsc#1012628).
  - drm/amdgpu: Release 'adev->pm.fw' before return in
    'amdgpu_device_need_post()' (bsc#1012628).
  - drm/amdkfd: Fix 'node' NULL check in
    'svm_range_get_range_boundaries()' (bsc#1012628).
  - i2c: rk3x: Adjust mask/value offset for i2c2 on rv1126
    (bsc#1012628).
  - perf: Fix the nr_addr_filters fix (bsc#1012628).
  - wifi: cfg80211: fix RCU dereference in __cfg80211_bss_update
    (bsc#1012628).
  - drm: using mul_u32_u32() requires linux/math64.h (bsc#1012628).
  - drm/msm/dpu: Correct UBWC settings for sc8280xp (bsc#1012628).
  - drm/amdkfd: only flush mes process context if mes support is
    there (bsc#1012628).
  - riscv: Fix build error on rv32 + XIP (bsc#1012628).
  - scsi: isci: Fix an error code problem in isci_io_request_build()
    (bsc#1012628).
  - kunit: run test suites only after module initialization
    completes (bsc#1012628).
  - regulator: ti-abb: don't use
    devm_platform_ioremap_resource_byname for shared interrupt
    register (bsc#1012628).
  - scsi: core: Move scsi_host_busy() out of host lock for waking
    up EH handler (bsc#1012628).
  - HID: hidraw: fix a problem of memory leak in hidraw_release()
    (bsc#1012628).
  - selftests: net: remove dependency on ebpf tests (bsc#1012628).
  - selftests: net: explicitly wait for listener ready
    (bsc#1012628).
  - gve: Fix skb truesize underestimation (bsc#1012628).
  - net: ethernet: mtk_eth_soc: set DMA coherent mask to get PPE
    working (bsc#1012628).
  - net: phy: mediatek-ge-soc: sync driver with MediaTek SDK
    (bsc#1012628).
  - crypto: caam - fix asynchronous hash (bsc#1012628).
  - selftests: net: add missing config for big tcp tests
    (bsc#1012628).
  - selftests: net: add missing required classifier (bsc#1012628).
  - selftests: net: give more time for GRO aggregation
    (bsc#1012628).
  - ip6_tunnel: make sure to pull inner header in __ip6_tnl_rcv()
    (bsc#1012628).
  - net: dsa: mt7530: fix 10M/100M speed on MT7988 switch
    (bsc#1012628).
  - ipmr: fix kernel panic when forwarding mcast packets
    (bsc#1012628).
  - net: lan966x: Fix port configuration when using SGMII interface
    (bsc#1012628).
  - tracefs: remove stale 'update_gid' code (bsc#1012628).
  - tcp: add sanity checks to rx zerocopy (bsc#1012628).
  - e1000e: correct maximum frequency adjustment values
    (bsc#1012628).
  - ixgbe: Refactor returning internal error codes (bsc#1012628).
  - ixgbe: Refactor overtemp event handling (bsc#1012628).
  - ixgbe: Fix an error handling path in
    ixgbe_read_iosf_sb_reg_x550() (bsc#1012628).
  - net: dsa: qca8k: fix illegal usage of GPIO (bsc#1012628).
  - ipv6: Ensure natural alignment of const ipv6 loopback and
    router addresses (bsc#1012628).
  - llc: call sock_orphan() at release time (bsc#1012628).
  - selftests: net: Add missing matchall classifier (bsc#1012628).
  - bridge: mcast: fix disabled snooping after long uptime
    (bsc#1012628).
  - devlink: Fix referring to hw_addr attribute during state
    validation (bsc#1012628).
  - selftests: net: add missing config for GENEVE (bsc#1012628).
  - netfilter: conntrack: correct window scaling with retransmitted
    SYN (bsc#1012628).
  - netfilter: nf_tables: restrict tunnel object to NFPROTO_NETDEV
    (bsc#1012628).
  - netfilter: nf_log: replace BUG_ON by WARN_ON_ONCE when putting
    logger (bsc#1012628).
  - netfilter: nft_ct: sanitize layer 3 and 4 protocol number in
    custom expectations (bsc#1012628).
  - net: ipv4: fix a memleak in ip_setup_cork (bsc#1012628).
  - af_unix: fix lockdep positive in sk_diag_dump_icons()
    (bsc#1012628).
  - pds_core: Prevent health thread from running during reset/remove
    (bsc#1012628).
  - pds_core: Cancel AQ work on teardown (bsc#1012628).
  - pds_core: Use struct pdsc for the pdsc_adminq_isr private data
    (bsc#1012628).
  - pds_core: Prevent race issues involving the adminq
    (bsc#1012628).
  - pds_core: Clear BARs on reset (bsc#1012628).
  - pds_core: Rework teardown/setup flow to be more common
    (bsc#1012628).
  - selftests: net: add missing config for nftables-backed iptables
    (bsc#1012628).
  - selftests: net: add missing config for pmtu.sh tests
    (bsc#1012628).
  - selftests: net: fix available tunnels detection (bsc#1012628).
  - selftests: net: don't access /dev/stdout in pmtu.sh
    (bsc#1012628).
  - octeontx2-pf: Remove xdp queues on program detach (bsc#1012628).
  - net: sysfs: Fix /sys/class/net/<iface> path (bsc#1012628).
  - selftests: team: Add missing config options (bsc#1012628).
  - selftests: bonding: Check initial state (bsc#1012628).
  - selftests: net: add missing config for NF_TARGET_TTL
    (bsc#1012628).
  - selftests: net: enable some more knobs (bsc#1012628).
  - idpf: avoid compiler padding in virtchnl2_ptype struct
    (bsc#1012628).
  - arm64: irq: set the correct node for shadow call stack
    (bsc#1012628).
  - Revert "drm/amd/display: Disable PSR-SU on Parade 0803 TCON
    again" (bsc#1012628).
  - drm/msm/dsi: Enable runtime PM (bsc#1012628).
  - selftests/bpf: Remove flaky test_btf_id test (bsc#1012628).
  - bonding: remove print in bond_verify_device_path (bsc#1012628).
  - ASoC: qcom: sc8280xp: limit speaker volumes (bsc#1012628).
  - ASoC: codecs: wcd938x: fix headphones volume controls
    (bsc#1012628).
  - ASoC: codecs: lpass-wsa-macro: fix compander volume hack
    (bsc#1012628).
  - ASoC: codecs: wsa883x: fix PA volume control (bsc#1012628).
  - drm/amdgpu: Fix missing error code in 'gmc_v6/7/8/9_0_hw_init()'
    (bsc#1012628).
  - Rename to
    patches.kernel.org/6.7.4-345-mm-kmsan-fix-infinite-recursion-due-to-RCU-crit.patch.
  - commit 01735a3
* Mon Feb 05 2024 jslaby@suse.cz
  - Refresh
    patches.suse/net-phy-realtek-add-support-for-RTL8126A-integrated-.patch.
  - Refresh patches.suse/r8169-add-support-for-RTL8126A.patch.
  - Refresh
    patches.suse/Bluetooth-btmtk-Add-MODULE_FIRMWARE-for-MT7922.patch.
    Update upstream statuses (all in maintainers repo now).
  - Refresh
    patches.suse/net-phy-realtek-add-support-for-RTL8126A-integrated-.patch.
  - Refresh patches.suse/r8169-add-support-for-RTL8126A.patch.
  - Refresh
    patches.suse/wifi-cfg80211-detect-stuck-ECSA-element-in-probe-resp.patch.
  - Refresh
    patches.suse/wifi-mac80211-improve-CSA-ECSA-connection-refusal.patch.
    Update upstream statuses (all in maintainers repo now).
  - commit 2dfb213
* Sun Feb 04 2024 mkubecek@suse.cz
  - Update to 6.8-rc3
  - eliminate 1 patch
    - patches.suse/mm-huge_memory-don-t-force-huge-page-alignment-on-32.patch
  - refresh configs
  - commit ae4495f
* Fri Feb 02 2024 mkubecek@suse.cz
  - config: add missing USELIB=n to arm configs
    ARM configs were not refreshed properly after commit 077d05a10ddb ("Update
    config files: disable CONFIG_USELIB (bsc#1219222)") because they are
    disabled at the moment. Add missing lines for (now disabled) CONFIG_USELIB
    option.
  - commit 3d7309c
* Fri Feb 02 2024 tiwai@suse.de
  - net: phy: realtek: add support for RTL8126A-integrated 5Gbps
    PHY (bsc#1217417).
  - r8169: add support for RTL8126A (bsc#1217417).
  - net: phy: realtek: add support for RTL8126A-integrated 5Gbps
    PHY (bsc#1217417).
  - r8169: add support for RTL8126A (bsc#1217417).
  - commit 12eff81
* Fri Feb 02 2024 tiwai@suse.de
  - Update config files: disable CONFIG_USELIB (bsc#1219222)
    It's only for the old libc5. Let's reduce the possible attack surfaces.
  - commit 4a42d0e
* Fri Feb 02 2024 tiwai@suse.de
  - Update config files: disable CONFIG_USELIB (bsc#1219222)
    It's only for the old libc5. Let's reduce the possible attack surfaces.
  - commit 077d05a
* Thu Feb 01 2024 ddiss@suse.de
  - bcachefs: fix incorrect usage of REQ_OP_FLUSH (bsc#1219346).
  - commit 6f58d8d
* Thu Feb 01 2024 jslaby@suse.cz
  - mm, kmsan: fix infinite recursion due to RCU critical section
    (git-fixes).
  - commit 0fa3c9e
* Thu Feb 01 2024 jslaby@suse.cz
  - Linux 6.7.3 (bsc#1012628).
  - soundwire: bus: introduce controller_id (bsc#1012628).
  - soundwire: fix initializing sysfs for same devices on different
    buses (bsc#1012628).
  - iio: adc: ad7091r: Set alert bit in config register
    (bsc#1012628).
  - iio: adc: ad7091r: Allow users to configure device events
    (bsc#1012628).
  - pipe: wakeup wr_wait after setting max_usage (bsc#1012628).
  - powerpc/ps3_defconfig: Disable PPC64_BIG_ENDIAN_ELF_ABI_V2
    (bsc#1012628).
  - ext4: allow for the last group to be marked as trimmed
    (bsc#1012628).
  - async: Split async_schedule_node_domain() (bsc#1012628).
  - async: Introduce async_schedule_dev_nocall() (bsc#1012628).
  - PM: sleep: Fix possible deadlocks in core system-wide PM code
    (bsc#1012628).
  - arm64: properly install vmlinuz.efi (bsc#1012628).
  - OPP: Pass rounded rate to _set_opp() (bsc#1012628).
  - btrfs: sysfs: validate scrub_speed_max value (bsc#1012628).
  - crypto: lib/mpi - Fix unexpected pointer access in mpi_ec_init
    (bsc#1012628).
  - erofs: fix lz4 inplace decompression (bsc#1012628).
  - crypto: api - Disallow identical driver names (bsc#1012628).
  - PM: hibernate: Enforce ordering during image
    compression/decompression (bsc#1012628).
  - hwrng: core - Fix page fault dead lock on mmap-ed hwrng
    (bsc#1012628).
  - crypto: s390/aes - Fix buffer overread in CTR mode
    (bsc#1012628).
  - s390/vfio-ap: unpin pages on gisc registration failure
    (bsc#1012628).
  - PM / devfreq: Fix buffer overflow in trans_stat_show
    (bsc#1012628).
  - mtd: maps: vmu-flash: Fix the (mtd core) switch to ref counters
    (bsc#1012628).
  - mtd: rawnand: Prevent crossing LUN boundaries during sequential
    reads (bsc#1012628).
  - mtd: rawnand: Fix core interference with sequential reads
    (bsc#1012628).
  - mtd: rawnand: Prevent sequential reads with on-die ECC engines
    (bsc#1012628).
  - mtd: rawnand: Clarify conditions to enable continuous reads
    (bsc#1012628).
  - soc: qcom: pmic_glink_altmode: fix port sanity check
    (bsc#1012628).
  - media: imx355: Enable runtime PM before registering async
    sub-device (bsc#1012628).
  - rpmsg: virtio: Free driver_override when rpmsg_remove()
    (bsc#1012628).
  - media: ov9734: Enable runtime PM before registering async
    sub-device (bsc#1012628).
  - media: ov13b10: Enable runtime PM before registering async
    sub-device (bsc#1012628).
  - media: ov01a10: Enable runtime PM before registering async
    sub-device (bsc#1012628).
  - soc: fsl: cpm1: tsa: Fix __iomem addresses declaration
    (bsc#1012628).
  - soc: fsl: cpm1: qmc: Fix __iomem addresses declaration
    (bsc#1012628).
  - soc: fsl: cpm1: qmc: Fix rx channel reset (bsc#1012628).
  - s390/vfio-ap: always filter entire AP matrix (bsc#1012628).
  - s390/vfio-ap: loop over the shadow APCB when filtering guest's
    AP configuration (bsc#1012628).
  - s390/vfio-ap: let on_scan_complete() callback filter matrix
    and update guest's APCB (bsc#1012628).
  - s390/vfio-ap: reset queues filtered from the guest's AP config
    (bsc#1012628).
  - s390/vfio-ap: reset queues associated with adapter for queue
    unbound from driver (bsc#1012628).
  - s390/vfio-ap: do not reset queue removed from host config
    (bsc#1012628).
  - seq_buf: Make DECLARE_SEQ_BUF() usable (bsc#1012628).
  - nbd: always initialize struct msghdr completely (bsc#1012628).
  - mips: Fix max_mapnr being uninitialized on early stages
    (bsc#1012628).
  - bus: mhi: host: Add alignment check for event ring read pointer
    (bsc#1012628).
  - bus: mhi: host: Drop chan lock before queuing buffers
    (bsc#1012628).
  - bus: mhi: host: Add spinlock to protect WP access when queueing
    TREs (bsc#1012628).
  - parisc/firmware: Fix F-extend for PDC addresses (bsc#1012628).
  - parisc/power: Fix power soft-off button emulation on qemu
    (bsc#1012628).
  - iio: adc: ad7091r: Enable internal vref if external vref is
    not supplied (bsc#1012628).
  - dmaengine: fsl-edma: fix eDMAv4 channel allocation issue
    (bsc#1012628).
  - dmaengine: fix NULL pointer in channel unregistration function
    (bsc#1012628).
  - dmaengine: idxd: Move dma_free_coherent() out of spinlocked
    context (bsc#1012628).
  - dmaengine: xilinx: xdma: Fix the count of elapsed periods in
    cyclic mode (bsc#1012628).
  - scsi: ufs: core: Remove the ufshcd_hba_exit() call from
    ufshcd_async_scan() (bsc#1012628).
  - riscv: Fix module loading free order (bsc#1012628).
  - riscv: Correctly free relocation hashtable on error
    (bsc#1012628).
  - riscv: Fix relocation_hashtable size (bsc#1012628).
  - riscv: Fix an off-by-one in get_early_cmdline() (bsc#1012628).
  - scsi: core: Kick the requeue list after inserting when flushing
    (bsc#1012628).
  - sh: ecovec24: Rename missed backlight field from fbdev to dev
    (bsc#1012628).
  - smb: client: fix parsing of SMB3.1.1 POSIX create context
    (bsc#1012628).
  - cifs: handle servers that still advertise multichannel after
    disabling (bsc#1012628).
  - cifs: update iface_last_update on each query-and-update
    (bsc#1012628).
  - ARM: dts: imx6q-apalis: add can power-up delay on ixora board
    (bsc#1012628).
  - arm64: dts: qcom: sc8280xp-crd: fix eDP phy compatible
    (bsc#1012628).
  - ARM: dts: qcom: sdx55: fix USB wakeup interrupt types
    (bsc#1012628).
  - ARM: dts: samsung: exynos4210-i9100: Unconditionally enable
    LDO12 (bsc#1012628).
  - ARM: dts: qcom: sdx55: fix pdc '#interrupt-cells' (bsc#1012628).
  - arm64: dts: sprd: fix the cpu node for UMS512 (bsc#1012628).
  - arm64: dts: rockchip: configure eth pad driver strength for
    orangepi r1 plus lts (bsc#1012628).
  - arm64: dts: rockchip: Fix rk3588 USB power-domain clocks
    (bsc#1012628).
  - arm64: dts: qcom: msm8916: Make blsp_dma controlled-remotely
    (bsc#1012628).
  - arm64: dts: qcom: msm8939: Make blsp_dma controlled-remotely
    (bsc#1012628).
  - arm64: dts: qcom: sc7180: fix USB wakeup interrupt types
    (bsc#1012628).
  - arm64: dts: qcom: sdm845: fix USB wakeup interrupt types
    (bsc#1012628).
  - arm64: dts: qcom: sdm670: fix USB wakeup interrupt types
    (bsc#1012628).
  - arm64: dts: qcom: sm8150: fix USB wakeup interrupt types
    (bsc#1012628).
  - arm64: dts: qcom: sc8180x: fix USB wakeup interrupt types
    (bsc#1012628).
  - arm64: dts: qcom: sc7280: fix usb_1 wakeup interrupt types
    (bsc#1012628).
  - arm64: dts: qcom: Add missing vio-supply for AW2013
    (bsc#1012628).
  - ARM: dts: qcom: sdx55: fix USB DP/DM HS PHY interrupts
    (bsc#1012628).
  - arm64: dts: qcom: sdm845: fix USB DP/DM HS PHY interrupts
    (bsc#1012628).
  - arm64: dts: qcom: sdm845: fix USB SS wakeup (bsc#1012628).
  - arm64: dts: qcom: sm8150: fix USB DP/DM HS PHY interrupts
    (bsc#1012628).
  - arm64: dts: qcom: sm8150: fix USB SS wakeup (bsc#1012628).
  - arm64: dts: qcom: sc8180x: fix USB DP/DM HS PHY interrupts
    (bsc#1012628).
  - arm64: dts: qcom: sc8180x: fix USB SS wakeup (bsc#1012628).
  - arm64: dts: qcom: sdm670: fix USB DP/DM HS PHY interrupts
    (bsc#1012628).
  - arm64: dts: qcom: sdm670: fix USB SS wakeup (bsc#1012628).
  - ARM: dts: qcom: sdx55: fix USB SS wakeup (bsc#1012628).
  - lsm: new security_file_ioctl_compat() hook (bsc#1012628).
  - dlm: use kernel_connect() and kernel_bind() (bsc#1012628).
  - docs: kernel_abi.py: fix command injection (bsc#1012628).
  - scripts/get_abi: fix source path leak (bsc#1012628).
  - media: videobuf2-dma-sg: fix vmap callback (bsc#1012628).
  - mmc: core: Use mrq.sbc in close-ended ffu (bsc#1012628).
  - mmc: mmc_spi: remove custom DMA mapped buffers (bsc#1012628).
  - media: i2c: st-mipid02: correct format propagation
    (bsc#1012628).
  - media: mtk-jpeg: Fix timeout schedule error in
    mtk_jpegdec_worker (bsc#1012628).
  - media: mtk-jpeg: Fix use after free bug due to error path
    handling in mtk_jpeg_dec_device_run (bsc#1012628).
  - riscv: mm: Fixup compat arch_get_mmap_end (bsc#1012628).
  - riscv: mm: Fixup compat mode boot failure (bsc#1012628).
  - RISC-V: selftests: cbo: Ensure asm operands match constraints
    (bsc#1012628).
  - arm64: Rename ARM64_WORKAROUND_2966298 (bsc#1012628).
  - arm64: errata: Add Cortex-A510 speculative unprivileged load
    workaround (bsc#1012628).
  - arm64/sme: Always exit sme_alloc() early with existing storage
    (bsc#1012628).
  - arm64: entry: fix ARM64_WORKAROUND_SPECULATIVE_UNPRIV_LOAD
    (bsc#1012628).
  - rtc: cmos: Use ACPI alarm for non-Intel x86 systems too
    (bsc#1012628).
  - rtc: Adjust failure return code for cmos_set_alarm()
    (bsc#1012628).
  - rtc: mc146818-lib: Adjust failure return code for
    mc146818_get_time() (bsc#1012628).
  - rtc: Add support for configuring the UIP timeout for RTC reads
    (bsc#1012628).
  - rtc: Extend timeout for waiting for UIP to clear to 1s
    (bsc#1012628).
  - efi: disable mirror feature during crashkernel (bsc#1012628).
  - kdump: defer the insertion of crashkernel resources
    (bsc#1012628).
  - ubifs: ubifs_symlink: Fix memleak of inode->i_link in error path
    (bsc#1012628).
  - thermal: gov_power_allocator: avoid inability to reset a cdev
    (bsc#1012628).
  - fs/proc/task_mmu: move mmu notification mechanism inside mm lock
    (bsc#1012628).
  - kexec: do syscore_shutdown() in kernel_kexec (bsc#1012628).
  - selftests: mm: hugepage-vmemmap fails on 64K page size systems
    (bsc#1012628).
  - mm/rmap: fix misplaced parenthesis of a likely() (bsc#1012628).
  - mm: migrate: fix getting incorrect page mapping during page
    migration (bsc#1012628).
  - mm/sparsemem: fix race in accessing memory_section->usage
    (bsc#1012628).
  - rename(): fix the locking of subdirectories (bsc#1012628).
  - serial: sc16is7xx: improve regmap debugfs by using one regmap
    per port (bsc#1012628).
  - serial: sc16is7xx: remove wasteful static buffer in
    sc16is7xx_regmap_name() (bsc#1012628).
  - serial: sc16is7xx: remove global regmap from struct
    sc16is7xx_port (bsc#1012628).
  - serial: sc16is7xx: remove unused line structure member
    (bsc#1012628).
  - serial: sc16is7xx: change EFR lock to operate on each channels
    (bsc#1012628).
  - serial: sc16is7xx: convert from _raw_ to _noinc_ regmap
    functions for FIFO (bsc#1012628).
  - serial: sc16is7xx: fix unconditional activation of THRI
    interrupt (bsc#1012628).
  - serial: sc16is7xx: fix invalid sc16is7xx_lines bitfield in
    case of probe error (bsc#1012628).
  - serial: sc16is7xx: remove obsolete loop in sc16is7xx_port_irq()
    (bsc#1012628).
  - serial: sc16is7xx: improve do/while loop in sc16is7xx_irq()
    (bsc#1012628).
  - mm: page_alloc: unreserve highatomic page blocks before oom
    (bsc#1012628).
  - ksmbd: set v2 lease version on lease upgrade (bsc#1012628).
  - wifi: ath11k: rely on mac80211 debugfs handling for vif
    (bsc#1012628).
  - Revert "drm/amd: Enable PCIe PME from D3" (bsc#1012628).
  - ksmbd: fix potential circular locking issue in smb2_set_ea()
    (bsc#1012628).
  - ksmbd: don't increment epoch if current state and request
    state are same (bsc#1012628).
  - ksmbd: send lease break notification on FILE_RENAME_INFORMATION
    (bsc#1012628).
  - ksmbd: Add missing set_freezable() for freezable kthread
    (bsc#1012628).
  - SUNRPC: use request size to initialize bio_vec in
    svc_udp_sendto() (bsc#1012628).
  - wifi: mac80211: fix potential sta-link leak (bsc#1012628).
  - btrfs: scrub: avoid use-after-free when chunk length is not
    64K aligned (bsc#1012628).
  - net/smc: fix illegal rmb_desc access in SMC-D connection dump
    (bsc#1012628).
  - selftests: bonding: Increase timeout to 1200s (bsc#1012628).
  - tcp: make sure init the accept_queue's spinlocks once
    (bsc#1012628).
  - bnxt_en: Wait for FLR to complete during probe (bsc#1012628).
  - bnxt_en: Prevent kernel warning when running offline self test
    (bsc#1012628).
  - vlan: skip nested type that is not IFLA_VLAN_QOS_MAPPING
    (bsc#1012628).
  - llc: make llc_ui_sendmsg() more robust against bonding changes
    (bsc#1012628).
  - llc: Drop support for ETH_P_TR_802_2 (bsc#1012628).
  - udp: fix busy polling (bsc#1012628).
  - idpf: distinguish vports by the dev_port attribute
    (bsc#1012628).
  - net: fix removing a namespace with conflicting altnames
    (bsc#1012628).
  - tun: fix missing dropped counter in tun_xdp_act (bsc#1012628).
  - tun: add missing rx stats accounting in tun_xdp_act
    (bsc#1012628).
  - dpll: fix broken error path in dpll_pin_alloc(..) (bsc#1012628).
  - dpll: fix pin dump crash for rebound module (bsc#1012628).
  - dpll: fix userspace availability of pins (bsc#1012628).
  - dpll: fix register pin with unregistered parent pin
    (bsc#1012628).
  - net: micrel: Fix PTP frame parsing for lan8814 (bsc#1012628).
  - net/rds: Fix UBSAN: array-index-out-of-bounds in rds_cmsg_recv
    (bsc#1012628).
  - netfs, fscache: Prevent Oops in fscache_put_cache()
    (bsc#1012628).
  - tracing: Ensure visibility when inserting an element into
    tracing_map (bsc#1012628).
  - afs: Hide silly-rename files from userspace (bsc#1012628).
  - afs: fix the usage of read_seqbegin_or_lock() in
    afs_find_server*() (bsc#1012628).
  - afs: Add comments on abort handling (bsc#1012628).
  - afs: Turn the afs_addr_list address array into an array of
    structs (bsc#1012628).
  - rxrpc, afs: Allow afs to pin rxrpc_peer objects (bsc#1012628).
  - afs: Handle the VIO and UAEIO aborts explicitly (bsc#1012628).
  - afs: Use op->nr_iterations=-1 to indicate to begin fileserver
    iteration (bsc#1012628).
  - afs: Wrap most op->error accesses with inline funcs
    (bsc#1012628).
  - afs: Don't put afs_call in afs_wait_for_call_to_complete()
    (bsc#1012628).
  - afs: Simplify error handling (bsc#1012628).
  - afs: Fix error handling with lookup via FS.InlineBulkStatus
    (bsc#1012628).
  - tcp: Add memory barrier to tcp_push() (bsc#1012628).
  - selftest: Don't reuse port for SO_INCOMING_CPU test
    (bsc#1012628).
  - netlink: fix potential sleeping issue in mqueue_flush_file
    (bsc#1012628).
  - ipv6: init the accept_queue's spinlocks in inet6_create
    (bsc#1012628).
  - selftests: fill in some missing configs for net (bsc#1012628).
  - net/sched: flower: Fix chain template offload (bsc#1012628).
  - net/mlx5e: Fix operation precedence bug in port timestamping
    napi_poll context (bsc#1012628).
  - net/mlx5e: Fix inconsistent hairpin RQT sizes (bsc#1012628).
  - net/mlx5e: Fix peer flow lists handling (bsc#1012628).
  - net/mlx5: Fix a WARN upon a callback command failure
    (bsc#1012628).
  - net/mlx5: Bridge, fix multicast packets sent to uplink
    (bsc#1012628).
  - net/mlx5: DR, Use the right GVMI number for drop action
    (bsc#1012628).
  - net/mlx5: DR, Can't go to uplink vport on RX rule (bsc#1012628).
  - net/mlx5: Use mlx5 device constant for selecting CQ period
    mode for ASO (bsc#1012628).
  - net/mlx5e: Allow software parsing when IPsec crypto is enabled
    (bsc#1012628).
  - net/mlx5e: Ignore IPsec replay window values on sender side
    (bsc#1012628).
  - net/mlx5e: fix a double-free in arfs_create_groups
    (bsc#1012628).
  - net/mlx5e: fix a potential double-free in fs_any_create_groups
    (bsc#1012628).
  - rcu: Defer RCU kthreads wakeup when CPU is dying (bsc#1012628).
  - netfilter: nft_limit: reject configurations that cause integer
    overflow (bsc#1012628).
  - netfilter: nf_tables: restrict anonymous set and map names to
    16 bytes (bsc#1012628).
  - netfilter: nf_tables: validate NFPROTO_* family (bsc#1012628).
  - net: stmmac: Wait a bit for the reset to take effect
    (bsc#1012628).
  - net: mvpp2: clear BM pool before initialization (bsc#1012628).
  - selftests: net: fix rps_default_mask with >32 CPUs
    (bsc#1012628).
  - selftests: netdevsim: fix the udp_tunnel_nic test (bsc#1012628).
  - xsk: recycle buffer in case Rx queue was full (bsc#1012628).
  - xsk: make xsk_buff_pool responsible for clearing xdp_buff::flags
    (bsc#1012628).
  - xsk: fix usage of multi-buffer BPF helpers for ZC XDP
    (bsc#1012628).
  - ice: work on pre-XDP prog frag count (bsc#1012628).
  - i40e: handle multi-buffer packets that are shrunk by xdp prog
    (bsc#1012628).
  - ice: remove redundant xdp_rxq_info registration (bsc#1012628).
  - intel: xsk: initialize skb_frag_t::bv_offset in ZC drivers
    (bsc#1012628).
  - ice: update xdp_rxq_info::frag_size for ZC enabled Rx queue
    (bsc#1012628).
  - xdp: reflect tail increase for MEM_TYPE_XSK_BUFF_POOL
    (bsc#1012628).
  - i40e: set xdp_rxq_info::frag_size (bsc#1012628).
  - i40e: update xdp_rxq_info::frag_size for ZC enabled Rx queue
    (bsc#1012628).
  - fjes: fix memleaks in fjes_hw_setup (bsc#1012628).
  - selftests: bonding: do not test arp/ns target with mode
    balance-alb/tlb (bsc#1012628).
  - net: fec: fix the unhandled context fault from smmu
    (bsc#1012628).
  - tsnep: Remove FCS for XDP data path (bsc#1012628).
  - tsnep: Fix XDP_RING_NEED_WAKEUP for empty fill ring
    (bsc#1012628).
  - btrfs: zoned: fix lock ordering in btrfs_zone_activate()
    (bsc#1012628).
  - btrfs: avoid copying BTRFS_ROOT_SUBVOL_DEAD flag to snapshot
    of subvolume being deleted (bsc#1012628).
  - btrfs: ref-verify: free ref cache before clearing mount opt
    (bsc#1012628).
  - btrfs: tree-checker: fix inline ref size in error messages
    (bsc#1012628).
  - btrfs: don't warn if discard range is not aligned to sector
    (bsc#1012628).
  - btrfs: defrag: reject unknown flags of
    btrfs_ioctl_defrag_range_args (bsc#1012628).
  - btrfs: don't abort filesystem when attempting to snapshot
    deleted subvolume (bsc#1012628).
  - rbd: don't move requests to the running list on errors
    (bsc#1012628).
  - exec: Fix error handling in begin_new_exec() (bsc#1012628).
  - wifi: iwlwifi: fix a memory corruption (bsc#1012628).
  - nfsd: fix RELEASE_LOCKOWNER (bsc#1012628).
  - ovl: mark xwhiteouts directory with overlay.opaque='x'
    (bsc#1012628).
  - hv_netvsc: Calculate correct ring size when PAGE_SIZE is not
    4 Kbytes (bsc#1012628).
  - netfilter: nft_chain_filter: handle NETDEV_UNREGISTER for
    inet/ingress basechain (bsc#1012628).
  - netfilter: nf_tables: reject QUEUE/DROP verdict parameters
    (bsc#1012628).
  - platform/x86: intel-uncore-freq: Fix types in sysfs callbacks
    (bsc#1012628).
  - platform/x86: p2sb: Allow p2sb_bar() calls during PCI device
    probe (bsc#1012628).
  - ksmbd: fix global oob in ksmbd_nl_policy (bsc#1012628).
  - firmware: arm_scmi: Check mailbox/SMT channel for consistency
    (bsc#1012628).
  - Revert "drivers/firmware: Move sysfb_init() from device_initcall
    to subsys_initcall_sync" (bsc#1012628).
  - drm/amdgpu: Fix the null pointer when load rlc firmware
    (bsc#1012628).
  - xfs: read only mounts with fsopen mount API are busted
    (bsc#1012628).
  - gpiolib: acpi: Ignore touchpad wakeup on GPD G1619-04
    (bsc#1012628).
  - cpufreq: intel_pstate: Refine computation of P-state for given
    frequency (bsc#1012628).
  - Revert "drm/i915/dsi: Do display on sequence later on icl+"
    (bsc#1012628).
  - drm: Don't unref the same fb many times by mistake due to
    deadlock handling (bsc#1012628).
  - drm/i915/psr: Only allow PSR in LPSP mode on HSW non-ULT
    (bsc#1012628).
  - drm/bridge: nxp-ptn3460: fix i2c_master_send() error checking
    (bsc#1012628).
  - drm: Fix TODO list mentioning non-KMS drivers (bsc#1012628).
  - drm/tidss: Fix atomic_flush check (bsc#1012628).
  - drm: Disable the cursor plane on atomic contexts with
    virtualized drivers (bsc#1012628).
  - drm/virtio: Disable damage clipping if FB changed since last
    page-flip (bsc#1012628).
  - drm: Allow drivers to indicate the damage helpers to ignore
    damage clips (bsc#1012628).
  - drm/amd/display: fix bandwidth validation failure on DCN 2.1
    (bsc#1012628).
  - drm/amd/display: Disable PSR-SU on Parade 0803 TCON again
    (bsc#1012628).
  - Revert "drm/amd/display: fix bandwidth validation failure on
    DCN 2.1" (bsc#1012628).
  - drm/bridge: nxp-ptn3460: simplify some error checking
    (bsc#1012628).
  - drm/amd/display: Fix a debugfs null pointer error (bsc#1012628).
  - drm/amdgpu: Enable GFXOFF for Compute on GFX11 (bsc#1012628).
  - drm/amdgpu: drop exp hw support check for GC 9.4.3
    (bsc#1012628).
  - drm/amdgpu: update regGL2C_CTRL4 value in golden setting
    (bsc#1012628).
  - drm/amdgpu: correct the cu count for gfx v11 (bsc#1012628).
  - drm/amd/pm: Fix smuv13.0.6 current clock reporting
    (bsc#1012628).
  - drm/amd/pm: Add error log for smu v13.0.6 reset (bsc#1012628).
  - drm/amd/display: Fix variable deferencing before NULL check
    in edp_setup_replay() (bsc#1012628).
  - drm/amd/display: Fix DML2 watermark calculation (bsc#1012628).
  - drm/amd/display: Port DENTIST hang and TDR fixes to OTG disable
    W/A (bsc#1012628).
  - drm/amd/display: Align the returned error code with legacy DP
    (bsc#1012628).
  - drm/amd/display: Fix late derefrence 'dsc' check in
    'link_set_dsc_pps_packet()' (bsc#1012628).
  - drm/amd/display: Fix a switch statement in
    populate_dml_output_cfg_from_stream_state() (bsc#1012628).
  - drm/amd/amdgpu: Assign GART pages to AMD device mapping
    (bsc#1012628).
  - drm/amd/pm: Fetch current power limit from FW (bsc#1012628).
  - drm/amdgpu: Avoid fetching vram vendor information
    (bsc#1012628).
  - drm/amdgpu: Show vram vendor only if available (bsc#1012628).
  - drm/amd/pm: update the power cap setting (bsc#1012628).
  - drm/amdgpu/pm: Fix the power source flag error (bsc#1012628).
  - drm/amd/display: Fix uninitialized variable usage in core_link_
    'read_dpcd() & write_dpcd()' functions (bsc#1012628).
  - thermal: intel: hfi: Refactor enabling code into helper
    functions (bsc#1012628).
  - thermal: intel: hfi: Disable an HFI instance when all its CPUs
    go offline (bsc#1012628).
  - thermal: intel: hfi: Add syscore callbacks for system-wide PM
    (bsc#1012628).
  - media: v4l: cci: Include linux/bits.h (bsc#1012628).
  - media: v4l: cci: Add macros to obtain register width and address
    (bsc#1012628).
  - media: v4l2-cci: Add support for little-endian encoded registers
    (bsc#1012628).
  - media: i2c: imx290: Properly encode registers as little-endian
    (bsc#1012628).
  - btrfs: zoned: factor out prepare_allocation_zoned()
    (bsc#1012628).
  - btrfs: zoned: optimize hint byte for zoned allocator
    (bsc#1012628).
  - drm/amd/display: do not send commands to DMUB if DMUB is
    inactive from S3 (bsc#1012628).
  - drm/amd/display: Refactor DMCUB enter/exit idle interface
    (bsc#1012628).
  - drm/amd/display: Wake DMCUB before sending a command
    (bsc#1012628).
  - drm/amd/display: Wake DMCUB before executing GPINT commands
    (bsc#1012628).
  - drm/amd/display: Fix conversions between bytes and KB
    (bsc#1012628).
  - drm/panel-edp: Add AUO B116XTN02, BOE NT116WHM-N21,836X2,
    NV116WHM-N49 V8.0 (bsc#1012628).
  - drm/panel-edp: drm/panel-edp: Fix AUO B116XAK01 name and timing
    (bsc#1012628).
  - drm/panel-edp: drm/panel-edp: Fix AUO B116XTN02 name
    (bsc#1012628).
  - drm/amd/display: Fix hang/underflow when transitioning to ODM4:1
    (bsc#1012628).
  - drm/amd/display: Disconnect phantom pipe OPP from OPTC being
    disabled (bsc#1012628).
  - drm/amd/display: Clear OPTC mem select on disable (bsc#1012628).
  - drm/amd/display: Add logging resource checks (bsc#1012628).
  - drm/amd/display: update pixel clock params after stream slice
    count change in context (bsc#1012628).
  - drm/amd/display: Init link enc resources in dc_state only if
    res_pool presents (bsc#1012628).
  - drm/amdgpu: Enable tunneling on high-priority compute queues
    (bsc#1012628).
  - drm/amdgpu/gfx10: set UNORD_DISPATCH in compute MQDs
    (bsc#1012628).
  - drm/amdgpu/gfx11: set UNORD_DISPATCH in compute MQDs
    (bsc#1012628).
  - drm/bridge: parade-ps8640: Wait for HPD when doing an AUX
    transfer (bsc#1012628).
  - drm: panel-simple: add missing bus flags for Tianma
    tm070jvhg[30/33] (bsc#1012628).
  - drm/panel: samsung-s6d7aa0: drop DRM_BUS_FLAG_DE_HIGH for
    lsl080al02 (bsc#1012628).
  - drm/panel/raydium-rm692e5: select CONFIG_DRM_DISPLAY_DP_HELPER
    (bsc#1012628).
  - drm/bridge: sii902x: Fix probing race issue (bsc#1012628).
  - drm/bridge: sii902x: Fix audio codec unregistration
    (bsc#1012628).
  - drm/bridge: parade-ps8640: Ensure bridge is suspended in
    .post_disable() (bsc#1012628).
  - drm/bridge: parade-ps8640: Make sure we drop the AUX mutex in
    the error case (bsc#1012628).
  - memblock: fix crash when reserved memory is not added to memory
    (bsc#1012628).
  - drm/exynos: fix accidental on-stack copy of exynos_drm_plane
    (bsc#1012628).
  - drm/exynos: gsc: minor fix for loop iteration in
    gsc_runtime_resume (bsc#1012628).
  - firmware: arm_scmi: Use xa_insert() to store opps (bsc#1012628).
  - firmware: arm_scmi: Use xa_insert() when saving raw queues
    (bsc#1012628).
  - firmware: arm_scmi: Fix the clock protocol version for v3.2
    (bsc#1012628).
  - firmware: arm_ffa: Add missing rwlock_init() in
    ffa_setup_partitions() (bsc#1012628).
  - firmware: arm_ffa: Add missing rwlock_init() for the driver
    partition (bsc#1012628).
  - firmware: arm_ffa: Check xa_load() return value (bsc#1012628).
  - platform/x86: wmi: Fix error handling in legacy WMI notify
    handler functions (bsc#1012628).
  - gpio: eic-sprd: Clear interrupt after set the interrupt type
    (bsc#1012628).
  - ARM: dts: exynos4212-tab3: add samsung,invert-vclk flag to fimd
    (bsc#1012628).
  - platform/mellanox: mlxbf-pmc: Fix offset calculation for
    crspace events (bsc#1012628).
  - spi: intel-pci: Remove Meteor Lake-S SoC PCI ID from the list
    (bsc#1012628).
  - block: Move checking GENHD_FL_NO_PART to bdev_add_partition()
    (bsc#1012628).
  - drm/bridge: anx7625: Ensure bridge is suspended in disable()
    (bsc#1012628).
  - cpufreq/amd-pstate: Fix setting scaling max/min freq values
    (bsc#1012628).
  - spi: bcm-qspi: fix SFDP BFPT read by usig mspi read
    (bsc#1012628).
  - spi: spi-cadence: Reverse the order of interleaved write and
    read operations (bsc#1012628).
  - cifs: fix stray unlock in cifs_chan_skip_or_disable
    (bsc#1012628).
  - spi: fix finalize message on error return (bsc#1012628).
  - LoongArch/smp: Call rcutree_report_cpu_starting() at tlb_init()
    (bsc#1012628).
  - MIPS: lantiq: register smp_ops on non-smp platforms
    (bsc#1012628).
  - riscv: dts: sophgo: separate sg2042 mtime and mtimecmp to fit
    aclint format (bsc#1012628).
  - drm: bridge: samsung-dsim: Don't use FORCE_STOP_STATE
    (bsc#1012628).
  - platform/x86/intel/ifs: Call release_firmware() when handling
    errors (bsc#1012628).
  - =?UTF-8?q?cxl/region=EF=BC=9AFix=20overflow=20issue=20in?=
    =?UTF-8?q?=20alloc=5Fhpa()?= (bsc#1012628).
  - mips: Call lose_fpu(0) before initializing fcr31 in
    mips_set_personality_nan (bsc#1012628).
  - genirq: Initialize resend_node hlist for all interrupt
    descriptors (bsc#1012628).
  - clocksource: Skip watchdog check for large watchdog intervals
    (bsc#1012628).
  - tick/sched: Preserve number of idle sleeps across CPU hotplug
    events (bsc#1012628).
  - x86/entry/ia32: Ensure s32 is sign extended to s64
    (bsc#1012628).
  - Rename to
    patches.kernel.org/6.7.3-114-nouveau-vmm-don-t-set-addr-on-the-fail-path-to-.patch.
  - Rename to
    patches.kernel.org/6.7.3-115-nouveau-gsp-handle-engines-in-runl-without-nons.patch.
  - Rename to
    patches.kernel.org/6.7.3-246-Revert-nouveau-push-event-block-allowing-out-of.patch.
  - Rename to
    patches.kernel.org/6.7.3-315-futex-Prevent-the-reuse-of-stale-pi_state.patch.
  - Update config files.
  - commit 036d448
* Wed Jan 31 2024 msuchanek@suse.de
  - kernel-source: Fix description typo
  - commit 8abff35
* Wed Jan 31 2024 dwagner@suse.de
  - nvmet-tcp: remove boilerplate code (bsc#1217986 bsc#1217988
    bsc#1217989 CVE-2023-6535 CVE-2023-6536 CVE-2023-6356).
  - commit 6125659
* Wed Jan 31 2024 dwagner@suse.de
  - Update
    patches.kernel.org/6.7.2-549-nvmet-tcp-Fix-a-kernel-panic-when-host-sends-an.patch
    (bsc#1012628 bsc#1217987 bsc#1217988 bsc#1217989 CVE-2023-6535
    CVE-2023-6536 CVE-2023-6356).
  - Update
    patches.kernel.org/6.7.2-550-nvmet-tcp-fix-a-crash-in-nvmet_req_complete.patch
    (bsc#1012628 bsc#1217987 bsc#1217988 bsc#1217989 CVE-2023-6535
    CVE-2023-6536 CVE-2023-6356).
  - Update
    patches.kernel.org/6.7.2-571-nvmet-tcp-Fix-the-H2C-expected-PDU-len-calculat.patch
    (bsc#1012628 bsc#1217987 bsc#1217988 bsc#1217989 CVE-2023-6535
    CVE-2023-6536 CVE-2023-6356).
  - commit 1340412
* Tue Jan 30 2024 tiwai@suse.de
  - Revert "nouveau: push event block/allowing out of the fence
    context" (bsc#1219275).
  - nouveau/vmm: don't set addr on the fail path to avoid warning
    (bsc#1219275).
  - nouveau/gsp: handle engines in runl without nonstall interrupts
    (bsc#1219275).
  - commit f5095eb
* Tue Jan 30 2024 tiwai@suse.de
  - wifi: brcmfmac: Fix use-after-free bug in brcmf_cfg80211_detach
    (CVE-2023-47233 bsc#1216702).
  - commit b9432ba
* Tue Jan 30 2024 jslaby@suse.cz
  - rpm/constraints.in: set jobs for riscv to 8
    The same workers are used for x86 and riscv and the riscv builds take
    ages. So align the riscv jobs count to x86.
  - commit b2c82b9
* Tue Jan 30 2024 jslaby@suse.cz
  - Refresh
    patches.suse/mm-huge_memory-don-t-force-huge-page-alignment-on-32.patch.
    Update upstream status and move to sorted section.
  - commit ab524e9
* Tue Jan 30 2024 jslaby@suse.cz
  - Refresh
    patches.suse/mm-huge_memory-don-t-force-huge-page-alignment-on-32.patch.
  - Rename to
    patches.suse/futex-Prevent-the-reuse-of-stale-pi_state.patch (bsc#1218801).
    Update upstream status and fix reference.
  - commit 73499be
* Tue Jan 30 2024 jslaby@suse.cz
  - Update config files. (bsc#1219328)
    Synchronize PSTORE_CONSOLE, PSTORE_PMSG, and PSTORE_FTRACE with
    SLE15-SP6.
  - commit 116df61
* Mon Jan 29 2024 tiwai@suse.de
  - wifi: mac80211: improve CSA/ECSA connection refusal
    (bsc#1219192).
  - wifi: cfg80211: detect stuck ECSA element in probe resp
    (bsc#1219192).
  - commit 31edb3f
* Mon Jan 29 2024 tiwai@suse.de
  - Re-enable CONFIG_SND_SOC_WSA883X=m for Thinkpad X13s audio (bsc#1217412)
    It was lost during merge from master branch
  - commit 4f6ccdc
* Mon Jan 29 2024 jslaby@suse.cz
  - Update config files.
    Only run oldconfig.
  - commit f71b395
* Mon Jan 29 2024 mkubecek@suse.cz
  - Update to 6.8-rc2
  - eliminate 1 patch
    - patches.suse/futex-Avoid-reusing-outdated-pi_state.patch (e626cb02ee83)
  - refresh configs
  - commit 023a12a
* Fri Jan 26 2024 msuchanek@suse.de
  - mkspec: Use variant in constraints template
    Constraints are not applied consistently with kernel package variants.
    Add variant to the constraints template as appropriate, and expand it
    in mkspec.
  - commit cc68ab9
* Fri Jan 26 2024 jslaby@suse.cz
  - rpm/constraints.in: add static multibuild packages
    Commit 841012b049a5 (rpm/mkspec: use kernel-source: prefix for
    constraints on multibuild) added "kernel-source:" prefix to the
    dynamically generated kernels. But there are also static ones like
    kernel-docs. Those fail to build as the constraints are still not
    applied.
    So add the prefix also to the static ones.
    Note kernel-docs-rt is given kernel-source-rt prefix. I am not sure it
    will ever be multibuilt...
  - commit c2e0681
* Fri Jan 26 2024 jslaby@suse.cz
  - Linux 6.7.2 (bsc#1012628).
  - x86/lib: Fix overflow when counting digits (bsc#1012628).
  - x86/mce/inject: Clear test status value (bsc#1012628).
  - EDAC/thunderx: Fix possible out-of-bounds string access
    (bsc#1012628).
  - powerpc: add crtsavres.o to always-y instead of extra-y
    (bsc#1012628).
  - fs/pipe: Fix lockdep false-positive in watchqueue pipe_write()
    (bsc#1012628).
  - x86: Fix CPUIDLE_FLAG_IRQ_ENABLE leaking timer reprogram
    (bsc#1012628).
  - powerpc/44x: select I2C for CURRITUCK (bsc#1012628).
  - powerpc/pseries/memhp: Fix access beyond end of drmem array
    (bsc#1012628).
  - x86/microcode/intel: Set new revision only after a successful
    update (bsc#1012628).
  - perf/arm-cmn: Fix HN-F class_occup_id events (bsc#1012628).
  - drivers/perf: hisi: Fix some event id for HiSilicon UC pmu
    (bsc#1012628).
  - KVM: PPC: Book3S HV: Handle pending exceptions on guest entry
    with MSR_EE (bsc#1012628).
  - selftests/powerpc: Fix error handling in FPU/VMX preemption
    tests (bsc#1012628).
  - powerpc/hv-gpci: Add return value check in
    affinity_domain_via_partition_show function (bsc#1012628).
  - powerpc/rtas: Avoid warning on invalid token argument to
    sys_rtas() (bsc#1012628).
  - powerpc/powernv: Add a null pointer check to
    scom_debug_init_one() (bsc#1012628).
  - powerpc/powernv: Add a null pointer check in opal_event_init()
    (bsc#1012628).
  - powerpc/powernv: Add a null pointer check in
    opal_powercap_init() (bsc#1012628).
  - powerpc/imc-pmu: Add a null pointer check in
    update_events_in_group() (bsc#1012628).
  - sched/fair: Update min_vruntime for reweight_entity() correctly
    (bsc#1012628).
  - perf/x86/intel/uncore: Fix NULL pointer dereference issue in
    upi_fill_topology() (bsc#1012628).
  - spi: spi-zynqmp-gqspi: fix driver kconfig dependencies
    (bsc#1012628).
  - mtd: rawnand: Increment IFC_TIMEOUT_MSECS for nand controller
    response (bsc#1012628).
  - ACPI: video: check for error while searching for backlight
    device parent (bsc#1012628).
  - ACPI: LPIT: Avoid u32 multiplication overflow (bsc#1012628).
  - KEYS: encrypted: Add check for strsep (bsc#1012628).
  - spi: cadence-quadspi: add missing clk_disable_unprepare()
    in cqspi_probe() (bsc#1012628).
  - platform/x86/intel/vsec: Fix xa_alloc memory leak (bsc#1012628).
  - cpufreq: scmi: process the result of
    devm_of_clk_add_hw_provider() (bsc#1012628).
  - calipso: fix memory leak in netlbl_calipso_add_pass()
    (bsc#1012628).
  - efivarfs: force RO when remounting if SetVariable is not
    supported (bsc#1012628).
  - efivarfs: Free s_fs_info on unmount (bsc#1012628).
  - spi: sh-msiof: Enforce fixed DTDL for R-Car H3 (bsc#1012628).
  - ACPI: LPSS: Fix the fractional clock divider flags
    (bsc#1012628).
  - ACPI: extlog: Clear Extended Error Log status when RAS_CEC
    handled the error (bsc#1012628).
  - thermal: core: Fix NULL pointer dereference in zone registration
    error path (bsc#1012628).
  - kunit: debugfs: Fix unchecked dereference in
    debugfs_print_results() (bsc#1012628).
  - kunit: debugfs: Handle errors from alloc_string_stream()
    (bsc#1012628).
  - mtd: Fix gluebi NULL pointer dereference caused by ftl notifier
    (bsc#1012628).
  - cpuidle: haltpoll: Do not enable interrupts when entering idle
    (bsc#1012628).
  - drivers/thermal/loongson2_thermal: Fix incorrect PTR_ERR()
    judgment (bsc#1012628).
  - selinux: Fix error priority for bind with AF_UNSPEC on PF_INET6
    socket (bsc#1012628).
  - crypto: rsa - add a check for allocation failure (bsc#1012628).
  - crypto: qat - prevent underflow in rp2srv_store() (bsc#1012628).
  - crypto: jh7110 - Correct deferred probe return (bsc#1012628).
  - crypto: virtio - Handle dataq logic with tasklet (bsc#1012628).
  - crypto: qat - add sysfs_added flag for ras (bsc#1012628).
  - crypto: qat - add sysfs_added flag for rate limiting
    (bsc#1012628).
  - crypto: sa2ul - Return crypto_aead_setkey to transfer the error
    (bsc#1012628).
  - crypto: ccp - fix memleak in ccp_init_dm_workarea (bsc#1012628).
  - crypto: af_alg - Disallow multiple in-flight AIO requests
    (bsc#1012628).
  - crypto: qat - fix error path in add_update_sla() (bsc#1012628).
  - crypto: qat - fix mutex ordering in adf_rl (bsc#1012628).
  - crypto: qat - add NULL pointer check (bsc#1012628).
  - hwrng: stm32 - add missing clk_disable_unprepare() in
    stm32_rng_init() (bsc#1012628).
  - crypto: safexcel - Add error handling for dma_map_sg() calls
    (bsc#1012628).
  - crypto: sahara - remove FLAGS_NEW_KEY logic (bsc#1012628).
  - crypto: sahara - fix cbc selftest failure (bsc#1012628).
  - crypto: sahara - fix ahash selftest failure (bsc#1012628).
  - crypto: sahara - fix processing requests with cryptlen <
    sg->length (bsc#1012628).
  - crypto: sahara - fix error handling in
    sahara_hw_descriptor_create() (bsc#1012628).
  - crypto: hisilicon/qm - save capability registers in qm init
    process (bsc#1012628).
  - crypto: hisilicon/zip - add zip comp high perf mode
    configuration (bsc#1012628).
  - crypto: hisilicon/qm - add a function to set qm algs
    (bsc#1012628).
  - crypto: hisilicon/hpre - save capability registers in probe
    process (bsc#1012628).
  - crypto: hisilicon/sec2 - save capability registers in probe
    process (bsc#1012628).
  - crypto: hisilicon/zip - save capability registers in probe
    process (bsc#1012628).
  - pstore: ram_core: fix possible overflow in
    persistent_ram_init_ecc() (bsc#1012628).
  - erofs: fix memory leak on short-lived bounced pages
    (bsc#1012628).
  - fs: indicate request originates from old mount API
    (bsc#1012628).
  - gfs2: Fix kernel NULL pointer dereference in gfs2_rgrp_dump
    (bsc#1012628).
  - gfs2: fix kernel BUG in gfs2_quota_cleanup (bsc#1012628).
  - dlm: fix format seq ops type 4 (bsc#1012628).
  - crypto: virtio - Wait for tasklet to complete on device remove
    (bsc#1012628).
  - crypto: sahara - avoid skcipher fallback code duplication
    (bsc#1012628).
  - crypto: sahara - handle zero-length aes requests (bsc#1012628).
  - crypto: sahara - fix ahash reqsize (bsc#1012628).
  - crypto: sahara - fix wait_for_completion_timeout() error
    handling (bsc#1012628).
  - crypto: sahara - improve error handling in sahara_sha_process()
    (bsc#1012628).
  - crypto: sahara - fix processing hash requests with req->nbytes <
    sg->length (bsc#1012628).
  - crypto: sahara - do not resize req->src when doing hash
    operations (bsc#1012628).
  - crypto: scomp - fix req->dst buffer overflow (bsc#1012628).
  - csky: fix arch_jump_label_transform_static override
    (bsc#1012628).
  - blocklayoutdriver: Fix reference leak of pnfs_device_node
    (bsc#1012628).
  - NFS: Use parent's objective cred in nfs_access_login_time()
    (bsc#1012628).
  - NFSv4.1/pnfs: Ensure we handle the error NFS4ERR_RETURNCONFLICT
    (bsc#1012628).
  - SUNRPC: fix _xprt_switch_find_current_entry logic (bsc#1012628).
  - pNFS: Fix the pnfs block driver's calculation of layoutget size
    (bsc#1012628).
  - SUNRPC: Fixup v4.1 backchannel request timeouts (bsc#1012628).
  - asm-generic: Fix 32 bit __generic_cmpxchg_local (bsc#1012628).
  - arm64: dts: qcom: qrb4210-rb2: don't force usb peripheral mode
    (bsc#1012628).
  - arm64: dts: qcom: sc8280xp-x13s: Use the correct DP PHY
    compatible (bsc#1012628).
  - arm64: dts: qcom: sc8280xp-x13s: add missing camera LED pin
    config (bsc#1012628).
  - ARM: dts: qcom: msm8226: provide dsi phy clocks to mmcc
    (bsc#1012628).
  - wifi: plfxlc: check for allocation failure in
    plfxlc_usb_wreq_async() (bsc#1012628).
  - wifi: rtw88: fix RX filter in FIF_ALLMULTI flag (bsc#1012628).
  - bpf, lpm: Fix check prefixlen before walking trie (bsc#1012628).
  - bpf: Add KF_RCU flag to bpf_refcount_acquire_impl (bsc#1012628).
  - bpf: Add crosstask check to __bpf_get_stack (bsc#1012628).
  - wifi: ath11k: Defer on rproc_get failure (bsc#1012628).
  - wifi: libertas: stop selecting wext (bsc#1012628).
  - ARM: dts: qcom: apq8064: correct XOADC register address
    (bsc#1012628).
  - scsi: lpfc: Fix list_entry null check warning in
    lpfc_cmpl_els_plogi() (bsc#1012628).
  - net/ncsi: Fix netlink major/minor version numbers (bsc#1012628).
  - firmware: ti_sci: Fix an off-by-one in ti_sci_debugfs_create()
    (bsc#1012628).
  - scsi: bfa: Use the proper data type for BLIST flags
    (bsc#1012628).
  - wifi: ath12k: fix the error handler of rfkill config
    (bsc#1012628).
  - wifi: rtlwifi: rtl8821ae: phy: fix an undefined bitwise shift
    behavior (bsc#1012628).
  - arm64: dts: ti: k3-am62a-main: Fix GPIO pin count in DT nodes
    (bsc#1012628).
  - arm64: dts: ti: k3-am65-main: Fix DSS irq trigger type
    (bsc#1012628).
  - arm64: dts: ti: iot2050: Re-add aliases (bsc#1012628).
  - wifi: rtw88: sdio: Honor the host max_req_size in the RX path
    (bsc#1012628).
  - selftests/bpf: Fix erroneous bitmask operation (bsc#1012628).
  - md: synchronize flush io with array reconfiguration
    (bsc#1012628).
  - bpf: enforce precision of R0 on callback return (bsc#1012628).
  - ARM: dts: qcom: sdx65: correct PCIe EP phy-names (bsc#1012628).
  - ARM: dts: qcom: sdx65: correct SPMI node name (bsc#1012628).
  - dt-bindings: arm: qcom: Fix html link (bsc#1012628).
  - arm64: dts: qcom: sc8180x-primus: Fix HALL_INT polarity
    (bsc#1012628).
  - arm64: dts: qcom: sm8450: correct TX Soundwire clock
    (bsc#1012628).
  - arm64: dts: qcom: sm8550: correct TX Soundwire clock
    (bsc#1012628).
  - arm64: dts: qcom: sc7180: Make watchdog bark interrupt edge
    triggered (bsc#1012628).
  - arm64: dts: qcom: sc7280: Make watchdog bark interrupt edge
    triggered (bsc#1012628).
  - arm64: dts: qcom: sdm845: Make watchdog bark interrupt edge
    triggered (bsc#1012628).
  - arm64: dts: qcom: sm8150: Make watchdog bark interrupt edge
    triggered (bsc#1012628).
  - arm64: dts: qcom: sm8250: Make watchdog bark interrupt edge
    triggered (bsc#1012628).
  - arm64: dts: qcom: sa8775p: Make watchdog bark interrupt edge
    triggered (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: Make watchdog bark interrupt edge
    triggered (bsc#1012628).
  - arm64: dts: qcom: sm6350: Make watchdog bark interrupt edge
    triggered (bsc#1012628).
  - bpf: Add map and need_defer parameters to .map_fd_put_ptr()
    (bsc#1012628).
  - bpf: Defer the free of inner map when necessary (bsc#1012628).
  - selftests/net: specify the interface when do arping
    (bsc#1012628).
  - bpf: fix check for attempt to corrupt spilled pointer
    (bsc#1012628).
  - scsi: fnic: Return error if vmalloc() failed (bsc#1012628).
  - arm64: dts: qcom: qrb2210-rb1: use USB host mode (bsc#1012628).
  - arm64: dts: qcom: qrb5165-rb5: correct LED panic indicator
    (bsc#1012628).
  - arm64: dts: qcom: sdm845-db845c: correct LED panic indicator
    (bsc#1012628).
  - arm64: dts: qcom: sm6125: add interrupts to DWC3 USB controller
    (bsc#1012628).
  - arm64: dts: qcom: sm8350: Fix DMA0 address (bsc#1012628).
  - arm64: dts: qcom: sc7280: Fix up GPU SIDs (bsc#1012628).
  - arm64: dts: qcom: sc7280: Mark Adreno SMMU as DMA coherent
    (bsc#1012628).
  - arm64: dts: qcom: sa8775p: fix USB wakeup interrupt types
    (bsc#1012628).
  - arm64: dts: qcom: sc7280: fix usb_2 wakeup interrupt types
    (bsc#1012628).
  - arm64: dts: qcom: sm8550: fix USB wakeup interrupt types
    (bsc#1012628).
  - wifi: mt76: mt7996: fix uninitialized variable in parsing txfree
    (bsc#1012628).
  - wifi: mt76: fix typo in mt76_get_of_eeprom_from_nvmem function
    (bsc#1012628).
  - wifi: mt76: mt7915: fallback to non-wed mode if
    platform_get_resource fails in mt7915_mmio_wed_init()
    (bsc#1012628).
  - wifi: mt76: mt7996: fix the size of struct bss_rate_tlv
    (bsc#1012628).
  - wifi: mt76: mt7996: fix rate usage of inband discovery frames
    (bsc#1012628).
  - wifi: mt76: mt7996: fix alignment of sta info event
    (bsc#1012628).
  - wifi: mt76: mt7915: fix EEPROM offset of TSSI flag on MT7981
    (bsc#1012628).
  - wifi: mt76: mt7915: also MT7981 is 3T3R but nss2 on 5 GHz band
    (bsc#1012628).
  - wifi: mt76: mt7996: fix mt7996_mcu_all_sta_info_event struct
    packing (bsc#1012628).
  - wifi: mt76: mt7921s: fix workqueue problem causes STA
    association fail (bsc#1012628).
  - bpf: Fix verification of indirect var-off stack access
    (bsc#1012628).
  - arm64: dts: hisilicon: hikey970-pmic: fix regulator cells
    properties (bsc#1012628).
  - bpf: Guard stack limits against 32bit overflow (bsc#1012628).
  - bpf: Fix accesses to uninit stack slots (bsc#1012628).
  - test_bpf: Rename second ALU64_SMOD_X to ALU64_SMOD_K
    (bsc#1012628).
  - dt-bindings: media: mediatek: mdp3: correct RDMA and WROT node
    with generic names (bsc#1012628).
  - arm64: dts: mediatek: mt8183: correct MDP3 DMA-related nodes
    (bsc#1012628).
  - arm64: dts: mediatek: mt8195: revise VDOSYS RDMA node name
    (bsc#1012628).
  - arm64: dts: mediatek: mt8186: Fix alias prefix for ovl_2l0
    (bsc#1012628).
  - arm64: dts: mediatek: mt8186: fix address warning for ADSP
    mailboxes (bsc#1012628).
  - wifi: mt76: mt7921: fix country count limitation for CLC
    (bsc#1012628).
  - wifi: mt76: mt7921: fix CLC command timeout when suspend/resume
    (bsc#1012628).
  - wifi: mt76: mt7921: fix wrong 6Ghz power type (bsc#1012628).
  - wifi: iwlwifi: don't support triggered EHT CQI feedback
    (bsc#1012628).
  - selftests/bpf: Relax time_tai test for equal timestamps in
    tai_forward (bsc#1012628).
  - block: Set memalloc_noio to false on device_add_disk() error
    path (bsc#1012628).
  - arm64: dts: xilinx: Apply overlays to base dtbs (bsc#1012628).
  - arm64: dts: renesas: white-hawk-cpu: Fix missing serial console
    pin control (bsc#1012628).
  - arm64: dts: imx8mm: Reduce GPU to nominal speed (bsc#1012628).
  - scsi: ufs: qcom: Fix the return value of
    ufs_qcom_ice_program_key() (bsc#1012628).
  - scsi: ufs: qcom: Fix the return value when
    platform_get_resource_byname() fails (bsc#1012628).
  - scsi: hisi_sas: Replace with standard error code return value
    (bsc#1012628).
  - scsi: hisi_sas: Check before using pointer variables
    (bsc#1012628).
  - scsi: hisi_sas: Rollback some operations if FLR failed
    (bsc#1012628).
  - scsi: hisi_sas: Correct the number of global debugfs registers
    (bsc#1012628).
  - selftests/xsk: Fix for SEND_RECEIVE_UNALIGNED test
    (bsc#1012628).
  - ARM: dts: stm32: don't mix SCMI and non-SCMI board compatibles
    (bsc#1012628).
  - bpf: Fix a race condition between btf_put() and map_free()
    (bsc#1012628).
  - selftests/net: fix grep checking for fib_nexthop_multiprefix
    (bsc#1012628).
  - ipmr: support IP_PKTINFO on cache report IGMP msg (bsc#1012628).
  - virtio/vsock: fix logic which reduces credit update messages
    (bsc#1012628).
  - virtio/vsock: send credit update during setting SO_RCVLOWAT
    (bsc#1012628).
  - dma-mapping: clear dev->dma_mem to NULL after freeing it
    (bsc#1012628).
  - bpf: Limit the number of uprobes when attaching program to
    multiple uprobes (bsc#1012628).
  - bpf: Limit the number of kprobes when attaching program to
    multiple kprobes (bsc#1012628).
  - arm64: dts: qcom: acer-aspire1: Correct audio codec definition
    (bsc#1012628).
  - soc: qcom: llcc: Fix dis_cap_alloc and retain_on_pc
    configuration (bsc#1012628).
  - arm64: dts: qcom: sm6375: fix USB wakeup interrupt types
    (bsc#1012628).
  - arm64: dts: qcom: sm6375: Hook up MPM (bsc#1012628).
  - arm64: dts: qcom: sm8150: make dispcc cast minimal vote on MMCX
    (bsc#1012628).
  - arm64: dts: qcom: sm8150-hdk: fix SS USB regulators
    (bsc#1012628).
  - firmware: qcom: qseecom: fix memory leaks in error paths
    (bsc#1012628).
  - soc: qcom: llcc: Fix LLCC_TRP_ATTR2_CFGn offset (bsc#1012628).
  - block: add check of 'minors' and 'first_minor' in
    device_add_disk() (bsc#1012628).
  - arm64: dts: qcom: sc7280: Mark SDHCI hosts as cache-coherent
    (bsc#1012628).
  - arm64: dts: qcom: ipq6018: fix clock rates for
    GCC_USB0_MOCK_UTMI_CLK (bsc#1012628).
  - arm64: dts: qcom: sm8550: Separate out X3 idle state
    (bsc#1012628).
  - arm64: dts: qcom: sm8550: Update idle state time requirements
    (bsc#1012628).
  - arm64: dts: qcom: sc8180x: Mark PCIe hosts cache-coherent
    (bsc#1012628).
  - arm64: dts: qcom: sc8180x: Fix up PCIe nodes (bsc#1012628).
  - bpf: Use c->unit_size to select target cache during free
    (bsc#1012628).
  - wifi: rtlwifi: add calculate_bit_shift() (bsc#1012628).
  - wifi: rtlwifi: rtl8188ee: phy: using calculate_bit_shift()
    (bsc#1012628).
  - wifi: rtlwifi: rtl8192c: using calculate_bit_shift()
    (bsc#1012628).
  - wifi: rtlwifi: rtl8192cu: using calculate_bit_shift()
    (bsc#1012628).
  - wifi: rtlwifi: rtl8192ce: using calculate_bit_shift()
    (bsc#1012628).
  - wifi: rtlwifi: rtl8192de: using calculate_bit_shift()
    (bsc#1012628).
  - wifi: rtlwifi: rtl8192ee: using calculate_bit_shift()
    (bsc#1012628).
  - wifi: rtlwifi: rtl8192se: using calculate_bit_shift()
    (bsc#1012628).
  - wifi: mac80211: fix advertised TTLM scheduling (bsc#1012628).
  - wifi: iwlwifi: mvm: set siso/mimo chains to 1 in FW SMPS request
    (bsc#1012628).
  - wifi: iwlwifi: mvm: send TX path flush in rfkill (bsc#1012628).
  - wifi: iwlwifi: mvm: Do not warn if valid link pair was not found
    (bsc#1012628).
  - wifi: iwlwifi: fix out of bound copy_from_user (bsc#1012628).
  - wifi: iwlwifi: assign phy_ctxt before eSR activation
    (bsc#1012628).
  - netfilter: nf_tables: mark newset as dead on transaction abort
    (bsc#1012628).
  - netfilter: nf_tables: validate chain type update if available
    (bsc#1012628).
  - Bluetooth: Fix bogus check for re-auth no supported with non-ssp
    (bsc#1012628).
  - Bluetooth: btnxpuart: fix recv_buf() return value (bsc#1012628).
  - Bluetooth: btmtkuart: fix recv_buf() return value (bsc#1012628).
  - null_blk: don't cap max_hw_sectors to BLK_DEF_MAX_SECTORS
    (bsc#1012628).
  - arm64: dts: rockchip: Fix led pinctrl of lubancat 1
    (bsc#1012628).
  - ice: Fix some null pointer dereference issues in ice_ptp.c
    (bsc#1012628).
  - wifi: cfg80211: correct comment about MLD ID (bsc#1012628).
  - wifi: cfg80211: parse all ML elements in an ML probe response
    (bsc#1012628).
  - bpf: sockmap, fix proto update hook to avoid dup calls
    (bsc#1012628).
  - sctp: support MSG_ERRQUEUE flag in recvmsg() (bsc#1012628).
  - sctp: fix busy polling (bsc#1012628).
  - s390/bpf: Fix gotol with large offsets (bsc#1012628).
  - blk-cgroup: fix rcu lockdep warning in blkg_lookup()
    (bsc#1012628).
  - net/sched: act_ct: fix skb leak and crash on ooo frags
    (bsc#1012628).
  - mlxbf_gige: Fix intermittent no ip issue (bsc#1012628).
  - mlxbf_gige: Enable the GigE port in mlxbf_gige_open
    (bsc#1012628).
  - rxrpc: Fix skbuff cleanup of call's recvmsg_queue and
    rx_oos_queue (bsc#1012628).
  - ip6_tunnel: fix NEXTHDR_FRAGMENT handling in
    ip6_tnl_parse_tlv_enc_lim() (bsc#1012628).
  - ARM: davinci: always select CONFIG_CPU_ARM926T (bsc#1012628).
  - Revert "drm/tidss: Annotate dma-fence critical section in
    commit path" (bsc#1012628).
  - Revert "drm/omapdrm: Annotate dma-fence critical section in
    commit path" (bsc#1012628).
  - drm/i915/display: Move releasing gem object away from fb
    tracking (bsc#1012628).
  - drm/dp_mst: Fix fractional DSC bpp handling (bsc#1012628).
  - drm/panfrost: Really power off GPU cores in
    panfrost_gpu_power_off() (bsc#1012628).
  - RDMA/usnic: Silence uninitialized symbol smatch warnings
    (bsc#1012628).
  - RDMA/hns: Fix inappropriate err code for unsupported operations
    (bsc#1012628).
  - drm/panel: nv3051d: Hold panel in reset for unprepare
    (bsc#1012628).
  - drm/panel-elida-kd35t133: hold panel in reset for unprepare
    (bsc#1012628).
  - drm/nouveau/fence:: fix warning directly dereferencing a rcu
    pointer (bsc#1012628).
  - drm/bridge: tpd12s015: Drop buggy __exit annotation for remove
    function (bsc#1012628).
  - drm/tilcdc: Fix irq free on unload (bsc#1012628).
  - media: pvrusb2: fix use after free on context disconnection
    (bsc#1012628).
  - media: mtk-jpeg: Remove cancel worker in mtk_jpeg_remove to
    avoid the crash of multi-core JPEG devices (bsc#1012628).
  - media: visl: Hook the (TRY_)DECODER_CMD stateless ioctls
    (bsc#1012628).
  - media: verisilicon: Hook the (TRY_)DECODER_CMD stateless ioctls
    (bsc#1012628).
  - media: rkvdec: Hook the (TRY_)DECODER_CMD stateless ioctls
    (bsc#1012628).
  - media: amphion: Fix VPU core alias name (bsc#1012628).
  - drm/sched: Fix bounds limiting when given a malformed entity
    (bsc#1012628).
  - drm/bridge: Fix typo in post_disable() description
    (bsc#1012628).
  - drm/bridge: imx93-mipi-dsi: Fix a couple of building warnings
    (bsc#1012628).
  - f2fs: fix to avoid dirent corruption (bsc#1012628).
  - Revert "drm/bridge: Add 200ms delay to wait FW HPD status
    stable" (bsc#1012628).
  - fbdev/sm712fb: Use correct initializer macros for struct fb_ops
    (bsc#1012628).
  - ASoC: fsl_rpmsg: update Kconfig dependencies (bsc#1012628).
  - drm/radeon/r600_cs: Fix possible int overflows in
    r600_cs_check_reg() (bsc#1012628).
  - drm/radeon/r100: Fix integer overflow issues in
    r100_cs_track_check() (bsc#1012628).
  - drm/radeon: check return value of radeon_ring_lock()
    (bsc#1012628).
  - drm/amd/display: Fix NULL pointer dereference at hibernate
    (bsc#1012628).
  - drm/tidss: Move reset to the end of dispc_init() (bsc#1012628).
  - drm/tidss: Return error value from from softreset (bsc#1012628).
  - drm/tidss: Check for K2G in in dispc_softreset() (bsc#1012628).
  - drm/tidss: Fix dss reset (bsc#1012628).
  - drm/imx/lcdc: Fix double-free of driver data (bsc#1012628).
  - ASoC: cs35l33: Fix GPIO name and drop legacy include
    (bsc#1012628).
  - ASoC: cs35l34: Fix GPIO name and drop legacy include
    (bsc#1012628).
  - drm/msm/a6xx: add QMP dependency (bsc#1012628).
  - drm/msm/mdp4: flush vblank event on disable (bsc#1012628).
  - drm/msm/dpu: Add missing safe_lut_tbl in sc8180x catalog
    (bsc#1012628).
  - drm/msm/dsi: Use pm_runtime_resume_and_get to prevent refcnt
    leaks (bsc#1012628).
  - drm/drv: propagate errors from drm_modeset_register_all()
    (bsc#1012628).
  - media: v4l: async: Fix duplicated list deletion (bsc#1012628).
  - ASoC: Intel: glk_rt5682_max98357a: fix board id mismatch
    (bsc#1012628).
  - ASoC: Intel: sof_sdw_rt_sdca_jack_common: ctx->headset_codec_dev
    = NULL (bsc#1012628).
  - ASoC: SOF: topology: Use partial match for disconnecting DAI
    link and DAI widget (bsc#1012628).
  - drm/msm/dpu: enable SmartDMA on SM8450 (bsc#1012628).
  - drm/msm/dpu: populate SSPP scaler block version (bsc#1012628).
  - drm/panfrost: Ignore core_mask for poweroff and disable PWRTRANS
    irq (bsc#1012628).
  - drm/msm/dpu: correct clk bit for WB2 block (bsc#1012628).
  - drm/amd/display: Return drm_connector from
    find_first_crtc_matching_connector (bsc#1012628).
  - drm/amd/display: Use drm_connector in create_stream_for_sink
    (bsc#1012628).
  - drm/amd/display: Check writeback connectors in
    create_validate_stream_for_sink (bsc#1012628).
  - drm/radeon: check the alloc_workqueue return value in
    radeon_crtc_init() (bsc#1012628).
  - drm/radeon/dpm: fix a memleak in sumo_parse_power_table
    (bsc#1012628).
  - drm/radeon/trinity_dpm: fix a memleak in
    trinity_parse_power_table (bsc#1012628).
  - drm/bridge: cdns-mhdp8546: Fix use of uninitialized variable
    (bsc#1012628).
  - drm/bridge: tc358767: Fix return value on error case
    (bsc#1012628).
  - media: cx231xx: fix a memleak in cx231xx_init_isoc
    (bsc#1012628).
  - ASoC: SOF: Intel: pci-mtl: fix ARL-S definitions (bsc#1012628).
  - RDMA/hns: Fix memory leak in free_mr_init() (bsc#1012628).
  - clk: qcom: gpucc-sm8150: Update the gpu_cc_pll1 config
    (bsc#1012628).
  - f2fs: Restrict max filesize for 16K f2fs (bsc#1012628).
  - media: bttv: start_streaming should return a proper error code
    (bsc#1012628).
  - media: bttv: add back vbi hack (bsc#1012628).
  - media: videobuf2: request more buffers for vb2_read
    (bsc#1012628).
  - media: imx-mipi-csis: Fix clock handling in remove()
    (bsc#1012628).
  - media: imx-mipi-csis: Drop extra clock enable at probe()
    (bsc#1012628).
  - media: dt-bindings: media: rkisp1: Fix the port description
    for the parallel interface (bsc#1012628).
  - media: rkisp1: Fix media device memory leak (bsc#1012628).
  - media: rkisp1: Fix memory leaks in rkisp1_isp_unregister()
    (bsc#1012628).
  - drm/msm/adreno: Fix A680 chip id (bsc#1012628).
  - drm/panel: st7701: Fix AVCL calculation (bsc#1012628).
  - f2fs: fix to wait on block writeback for post_read case
    (bsc#1012628).
  - f2fs: fix to check compress file in f2fs_move_file_range()
    (bsc#1012628).
  - f2fs: fix to update iostat correctly in f2fs_filemap_fault()
    (bsc#1012628).
  - media: dvbdev: drop refcount on error path in dvb_device_open()
    (bsc#1012628).
  - media: dvb-frontends: m88ds3103: Fix a memory leak in an error
    handling path of m88ds3103_probe() (bsc#1012628).
  - clk: renesas: rzg2l-cpg: Reuse code in rzg2l_cpg_reset()
    (bsc#1012628).
  - clk: renesas: rzg2l: Check reset monitor registers
    (bsc#1012628).
  - drm/msm/dpu: Set input_sel bit for INTF (bsc#1012628).
  - drm/msm/dpu: Drop enable and frame_count parameters from
    dpu_hw_setup_misr() (bsc#1012628).
  - media: i2c: mt9m114: use fsleep() in place of udelay()
    (bsc#1012628).
  - drm/mediatek: Return error if MDP RDMA failed to enable the
    clock (bsc#1012628).
  - drm/mediatek: Remove the redundant driver data for DPI
    (bsc#1012628).
  - drm/mediatek: Fix underrun in VDO1 when switches off the layer
    (bsc#1012628).
  - drm/amdgpu/debugfs: fix error code when smc register accessors
    are NULL (bsc#1012628).
  - drm/amd/pm: fix a double-free in si_dpm_init (bsc#1012628).
  - drivers/amd/pm: fix a use-after-free in kv_parse_power_table
    (bsc#1012628).
  - gpu/drm/radeon: fix two memleaks in radeon_vm_init
    (bsc#1012628).
  - drm/amd/pm: fix a double-free in
    amdgpu_parse_extended_power_table (bsc#1012628).
  - f2fs: fix to check return value of f2fs_recover_xattr_data
    (bsc#1012628).
  - clk: qcom: videocc-sm8150: Add missing PLL config property
    (bsc#1012628).
  - clk: sp7021: fix return value check in sp7021_clk_probe()
    (bsc#1012628).
  - drivers: clk: zynqmp: calculate closest mux rate (bsc#1012628).
  - drivers: clk: zynqmp: update divider round rate logic
    (bsc#1012628).
  - watchdog: set cdev owner before adding (bsc#1012628).
  - watchdog/hpwdt: Only claim UNKNOWN NMI if from iLO
    (bsc#1012628).
  - watchdog: bcm2835_wdt: Fix WDIOC_SETTIMEOUT handling
    (bsc#1012628).
  - watchdog: rti_wdt: Drop runtime pm reference count when watchdog
    is unused (bsc#1012628).
  - clk: rs9: Fix DIF OEn bit placement on 9FGV0241 (bsc#1012628).
  - clk: si5341: fix an error code problem in
    si5341_output_clk_set_rate (bsc#1012628).
  - ASoC: amd: vangogh: Drop conflicting ACPI-based probing
    (bsc#1012628).
  - ASoC: tas2781: add support for FW version 0x0503 (bsc#1012628).
  - drm/mediatek: dp: Add phy_mtk_dp module as pre-dependency
    (bsc#1012628).
  - accel/habanalabs: fix information leak in sec_attest_info()
    (bsc#1012628).
  - clk: qcom: gcc-sm8550: Add the missing RETAIN_FF_ENABLE GDSC
    flag (bsc#1012628).
  - clk: qcom: gcc-sm8550: Mark the PCIe GDSCs votable
    (bsc#1012628).
  - clk: qcom: gcc-sm8550: use collapse-voting for PCIe GDSCs
    (bsc#1012628).
  - clk: qcom: gcc-sm8550: Mark RCGs shared where applicable
    (bsc#1012628).
  - clk: qcom: gpucc-sm8550: Update GPU PLL settings (bsc#1012628).
  - clk: qcom: dispcc-sm8550: Update disp PLL settings
    (bsc#1012628).
  - clk: qcom: dispcc-sm8550: Use the correct PLL configuration
    function (bsc#1012628).
  - clk: fixed-rate: fix
    clk_hw_register_fixed_rate_with_accuracy_parent_hw
    (bsc#1012628).
  - pwm: stm32: Use hweight32 in stm32_pwm_detect_channels
    (bsc#1012628).
  - pwm: stm32: Fix enable count for clk in .probe() (bsc#1012628).
  - ASoC: rt5645: Drop double EF20 entry from dmi_platform_data[]
    (bsc#1012628).
  - ALSA: scarlett2: Add missing error check to
    scarlett2_config_save() (bsc#1012628).
  - ALSA: scarlett2: Add missing error check to
    scarlett2_usb_set_config() (bsc#1012628).
  - ALSA: scarlett2: Add missing error checks to *_ctl_get()
    (bsc#1012628).
  - ALSA: scarlett2: Add clamp() in scarlett2_mixer_ctl_put()
    (bsc#1012628).
  - ALSA: scarlett2: Add missing mutex lock around get meter levels
    (bsc#1012628).
  - mmc: sdhci_am654: Fix TI SoC dependencies (bsc#1012628).
  - mmc: sdhci_omap: Fix TI SoC dependencies (bsc#1012628).
  - drm/amdkfd: Fix type of 'dbg_flags' in 'struct kfd_process'
    (bsc#1012628).
  - IB/iser: Prevent invalidating wrong MR (bsc#1012628).
  - drm/amdkfd: Confirm list is non-empty before utilizing
    list_first_entry in kfd_topology.c (bsc#1012628).
  - drm/amd/pm/smu7: fix a memleak in smu7_hwmgr_backend_init
    (bsc#1012628).
  - drm/amd/display: avoid stringop-overflow warnings for
    dp_decide_lane_settings() (bsc#1012628).
  - kselftest/alsa - mixer-test: fix the number of parameters to
    ksft_exit_fail_msg() (bsc#1012628).
  - kselftest/alsa - mixer-test: Fix the print format specifier
    warning (bsc#1012628).
  - kselftest/alsa - conf: Stringify the printed errno in
    sysfs_get() (bsc#1012628).
  - ksmbd: validate the zero field of packet header (bsc#1012628).
  - of: Fix double free in of_parse_phandle_with_args_map
    (bsc#1012628).
  - fbdev: imxfb: fix left margin setting (bsc#1012628).
  - of: unittest: Fix of_count_phandle_with_args() expected value
    message (bsc#1012628).
  - class: fix use-after-free in class_register() (bsc#1012628).
  - kernfs: convert kernfs_idr_lock to an irq safe raw spinlock
    (bsc#1012628).
  - Revert "kernfs: convert kernfs_idr_lock to an irq safe raw
    spinlock" (bsc#1012628).
  - selftests/bpf: Add assert for user stacks in test_task_stack
    (bsc#1012628).
  - binder: fix async space check for 0-sized buffers (bsc#1012628).
  - binder: fix unused alloc->free_async_space (bsc#1012628).
  - Input: atkbd - use ab83 as id when skipping the getid command
    (bsc#1012628).
  - rust: Ignore preserve-most functions (bsc#1012628).
  - Revert "drm/amdkfd: Relocate TBA/TMA to opposite side of VM
    hole" (bsc#1012628).
  - xen-netback: don't produce zero-size SKB frags (bsc#1012628).
  - binder: fix race between mmput() and do_exit() (bsc#1012628).
  - clocksource/drivers/timer-ti-dm: Fix make W=n kerneldoc warnings
    (bsc#1012628).
  - dt-bindings: timer: thead,c900-aclint-mtimer: separate mtime
    and mtimecmp regs (bsc#1012628).
  - clocksource/drivers/ep93xx: Fix error handling during probe
    (bsc#1012628).
  - powerpc/64s: Increase default stack size to 32KB (bsc#1012628).
  - tick-sched: Fix idle and iowait sleeptime accounting vs CPU
    hotplug (bsc#1012628).
  - Revert "usb: gadget: f_uvc: change endpoint allocation in
    uvc_function_bind()" (bsc#1012628).
  - usb: gadget: u_ether: Re-attach netif device to mirror
    detachment (bsc#1012628).
  - usb: phy: mxs: remove CONFIG_USB_OTG condition for
    mxs_phy_is_otg_host() (bsc#1012628).
  - usb: dwc: ep0: Update request status in dwc3_ep0_stall_restart
    (bsc#1012628).
  - usb: dwc3: gadget: Handle EP0 request dequeuing properly
    (bsc#1012628).
  - usb: dwc3: gadget: Queue PM runtime idle on disconnect event
    (bsc#1012628).
  - Revert "usb: dwc3: Soft reset phy on probe for host"
    (bsc#1012628).
  - Revert "usb: dwc3: don't reset device side if dwc3 was
    configured as host-only" (bsc#1012628).
  - usb: chipidea: wait controller resume finished for wakeup irq
    (bsc#1012628).
  - usb: cdns3: fix uvc failure work since sg support enabled
    (bsc#1012628).
  - usb: cdns3: fix iso transfer error when mult is not zero
    (bsc#1012628).
  - usb: cdns3: Fix uvc fail when DMA cross 4k boundery since sg
    enabled (bsc#1012628).
  - Revert "usb: typec: class: fix typec_altmode_put_partner to
    put plugs" (bsc#1012628).
  - usb: typec: class: fix typec_altmode_put_partner to put plugs
    (bsc#1012628).
  - usb: mon: Fix atomicity violation in mon_bin_vma_fault
    (bsc#1012628).
  - dt-bindings: phy: qcom,sc8280xp-qmp-usb43dp-phy: fix path to
    header (bsc#1012628).
  - serial: Do not hold the port lock when setting rx-during-tx GPIO
    (bsc#1012628).
  - serial: core: fix sanitizing check for RTS settings
    (bsc#1012628).
  - serial: core: make sure RS485 cannot be enabled when it is
    not supported (bsc#1012628).
  - serial: core: set missing supported flag for RX during TX GPIO
    (bsc#1012628).
  - serial: 8250_bcm2835aux: Restore clock error handling
    (bsc#1012628).
  - serial: core, imx: do not set RS485 enabled if it is not
    supported (bsc#1012628).
  - serial: imx: Ensure that imx_uart_rs485_config() is called
    with enabled clock (bsc#1012628).
  - serial: 8250_exar: Set missing rs485_supported flag
    (bsc#1012628).
  - serial: omap: do not override settings for RS485 support
    (bsc#1012628).
  - ALSA: oxygen: Fix right channel of capture volume mixer
    (bsc#1012628).
  - ALSA: hda/relatek: Enable Mute LED on HP Laptop 15s-fq2xxx
    (bsc#1012628).
  - ALSA: hda/realtek: Enable mute/micmute LEDs and limit mic
    boost on HP ZBook (bsc#1012628).
  - ALSA: hda/realtek: Enable headset mic on Lenovo M70 Gen5
    (bsc#1012628).
  - ksmbd: validate mech token in session setup (bsc#1012628).
  - ksmbd: fix UAF issue in ksmbd_tcp_new_connection()
    (bsc#1012628).
  - ksmbd: only v2 leases handle the directory (bsc#1012628).
  - ceph: select FS_ENCRYPTION_ALGS if FS_ENCRYPTION (bsc#1012628).
  - LoongArch: Fix and simplify fcsr initialization on execve()
    (bsc#1012628).
  - io_uring: don't check iopoll if request completes (bsc#1012628).
  - io_uring/rw: ensure io->bytes_done is always initialized
    (bsc#1012628).
  - io_uring: ensure local task_work is run on wait timeout
    (bsc#1012628).
  - fbdev/acornfb: Fix name of fb_ops initializer macro
    (bsc#1012628).
  - fbdev: flush deferred work in fb_deferred_io_fsync()
    (bsc#1012628).
  - fbdev: flush deferred IO before closing (bsc#1012628).
  - scsi: ufs: core: Simplify power management during async scan
    (bsc#1012628).
  - scsi: target: core: add missing file_{start,end}_write()
    (bsc#1012628).
  - scsi: mpi3mr: Refresh sdev queue depth after controller reset
    (bsc#1012628).
  - scsi: mpi3mr: Clean up block devices post controller reset
    (bsc#1012628).
  - scsi: mpi3mr: Block PEL Enable Command on Controller Reset
    and Unrecoverable State (bsc#1012628).
  - md: Fix md_seq_ops() regressions (bsc#1012628).
  - drm/amd: Enable PCIe PME from D3 (bsc#1012628).
  - block: add check that partition length needs to be aligned
    with block size (bsc#1012628).
  - block: Remove special-casing of compound pages (bsc#1012628).
  - block: Fix iterating over an empty bio with
    bio_for_each_folio_all (bsc#1012628).
  - netfilter: nf_tables: check if catch-all set element is active
    in next generation (bsc#1012628).
  - pwm: jz4740: Don't use dev_err_probe() in .request()
    (bsc#1012628).
  - pwm: Fix out-of-bounds access in of_pwm_single_xlate()
    (bsc#1012628).
  - md/raid1: Use blk_opf_t for read and write operations
    (bsc#1012628).
  - rootfs: Fix support for rootfstype= when root= is given
    (bsc#1012628).
  - Bluetooth: Fix atomicity violation in {min,max}_key_size_set
    (bsc#1012628).
  - bpf: Fix re-attachment branch in bpf_tracing_prog_attach
    (bsc#1012628).
  - iommu/arm-smmu-qcom: Add missing GMU entry to match table
    (bsc#1012628).
  - iommu/dma: Trace bounce buffer usage when mapping buffers
    (bsc#1012628).
  - wifi: mt76: fix broken precal loading from MTD for mt7915
    (bsc#1012628).
  - wifi: rtlwifi: Remove bogus and dangerous ASPM disable/enable
    code (bsc#1012628).
  - wifi: rtlwifi: Convert LNKCTL change to PCIe cap RMW accessors
    (bsc#1012628).
  - wifi: mwifiex: add extra delay for firmware ready (bsc#1012628).
  - wifi: mwifiex: configure BSSID consistently when starting AP
    (bsc#1012628).
  - wifi: mwifiex: fix uninitialized firmware_stat (bsc#1012628).
  - net: stmmac: fix ethtool per-queue statistics (bsc#1012628).
  - net: stmmac: Prevent DSA tags from breaking COE (bsc#1012628).
  - Revert "net: rtnetlink: Enslave device before bringing it up"
    (bsc#1012628).
  - Revert "nSVM: Check for reserved encodings of TLB_CONTROL in
    nested VMCB" (bsc#1012628).
  - drm/amdgpu: revert "Adjust removal control flow for smu v13_0_2"
    (bsc#1012628).
  - cxl/port: Fix decoder initialization when nr_targets >
    interleave_ways (bsc#1012628).
  - PCI/P2PDMA: Remove reference to pci_p2pdma_map_sg()
    (bsc#1012628).
  - x86/pci: Reserve ECAM if BIOS didn't include it in PNP0C02 _CRS
    (bsc#1012628).
  - PCI: dwc: endpoint: Fix dw_pcie_ep_raise_msix_irq() alignment
    support (bsc#1012628).
  - PCI: mediatek: Clear interrupt status before dispatching handler
    (bsc#1012628).
  - ARM: dts: qcom: sdx55: Fix the base address of PCIe PHY
    (bsc#1012628).
  - x86/kvm: Do not try to disable kvmclock if it was not enabled
    (bsc#1012628).
  - KVM: arm64: vgic-v4: Restore pending state on host userspace
    write (bsc#1012628).
  - KVM: arm64: vgic-its: Avoid potential UAF in LPI translation
    cache (bsc#1012628).
  - KVM: x86/pmu: Move PMU reset logic to common x86 code
    (bsc#1012628).
  - KVM: x86/pmu: Reset the PMU, i.e. stop counters, before
    refreshing (bsc#1012628).
  - iio: adc: ad7091r: Pass iio_dev to event handler (bsc#1012628).
  - HID: sensor-hub: Enable hid core report processing for all
    devices (bsc#1012628).
  - HID: wacom: Correct behavior when processing some confidence ==
    false touches (bsc#1012628).
  - serial: sc16is7xx: add check for unsupported SPI modes during
    probe (bsc#1012628).
  - serial: sc16is7xx: set safe default SPI clock frequency
    (bsc#1012628).
  - ARM: 9330/1: davinci: also select PINCTRL (bsc#1012628).
  - mfd: rk8xx: fixup devices registration with PLATFORM_DEVID_AUTO
    (bsc#1012628).
  - mfd: cs42l43: Correct SoundWire port list (bsc#1012628).
  - mfd: syscon: Fix null pointer dereference in
    of_syscon_register() (bsc#1012628).
  - rcu: Restrict access to RCU CPU stall notifiers (bsc#1012628).
  - leds: aw2013: Select missing dependency REGMAP_I2C
    (bsc#1012628).
  - leds: aw200xx: Fix write to DIM parameter (bsc#1012628).
  - mfd: tps6594: Add null pointer check to tps6594_device_init()
    (bsc#1012628).
  - mfd: intel-lpss: Fix the fractional clock divider flags
    (bsc#1012628).
  - srcu: Use try-lock lockdep annotation for NMI-safe access
    (bsc#1012628).
  - mips: dmi: Fix early remap on MIPS32 (bsc#1012628).
  - mips: Fix incorrect max_low_pfn adjustment (bsc#1012628).
  - um: virt-pci: fix platform map offset (bsc#1012628).
  - riscv: Check if the code to patch lies in the exit section
    (bsc#1012628).
  - riscv: Fix wrong usage of lm_alias() when splitting a huge
    linear mapping (bsc#1012628).
  - riscv: Fix module_alloc() that did not reset the linear mapping
    permissions (bsc#1012628).
  - riscv: Fix set_direct_map_default_noflush() to reset _PAGE_EXEC
    (bsc#1012628).
  - riscv: Fixed wrong register in XIP_FIXUP_FLASH_OFFSET macro
    (bsc#1012628).
  - drm/i915/dp: Fix the max DSC bpc supported by source
    (bsc#1012628).
  - MIPS: Alchemy: Fix an out-of-bound access in db1200_dev_setup()
    (bsc#1012628).
  - MIPS: Alchemy: Fix an out-of-bound access in db1550_dev_setup()
    (bsc#1012628).
  - power: supply: cw2015: correct time_to_empty units in sysfs
    (bsc#1012628).
  - power: supply: bq256xx: fix some problem in bq256xx_hw_init
    (bsc#1012628).
  - PCI: Avoid potential out-of-bounds read in
    pci_dev_for_each_resource() (bsc#1012628).
  - serial: 8250: omap: Don't skip resource freeing if
    pm_runtime_resume_and_get() failed (bsc#1012628).
  - iommu: Map reserved memory as cacheable if device is coherent
    (bsc#1012628).
  - perf test: Remove atomics from test_loop to avoid test failures
    (bsc#1012628).
  - perf header: Fix segfault on build_mem_topology() error path
    (bsc#1012628).
  - libapi: Add missing linux/types.h header to get the __u64 type
    on io.h (bsc#1012628).
  - staging: vc04_services: vchiq_core: Log through struct
    vchiq_instance (bsc#1012628).
  - staging: vc04_services: Do not pass NULL to vchiq_log_error()
    (bsc#1012628).
  - perf test record user-regs: Fix mask for vg register
    (bsc#1012628).
  - vfio/pds: Fix calculations in pds_vfio_dirty_sync (bsc#1012628).
  - perf vendor events arm64 AmpereOne: Rename BPU_FLUSH_MEM_FAULT
    to GPC_FLUSH_MEM_FAULT (bsc#1012628).
  - perf vendor events powerpc: Update datasource event name to
    fix duplicate events (bsc#1012628).
  - perf mem: Fix error on hybrid related to availability of mem
    event in a PMU (bsc#1012628).
  - perf stat: Exit perf stat if parse groups fails (bsc#1012628).
  - base/node.c: initialize the accessor list before registering
    (bsc#1012628).
  - acpi: property: Let args be NULL in
    __acpi_node_get_property_reference (bsc#1012628).
  - software node: Let args be NULL in
    software_node_get_reference_args (bsc#1012628).
  - serial: imx: fix tx statemachine deadlock (bsc#1012628).
  - selftests/sgx: Fix uninitialized pointer dereference in error
    path (bsc#1012628).
  - selftests/sgx: Fix uninitialized pointer dereferences in
    encl_get_entry (bsc#1012628).
  - selftests/sgx: Include memory clobber for inline asm in test
    enclave (bsc#1012628).
  - selftests/sgx: Skip non X86_64 platform (bsc#1012628).
  - iio: adc: ad9467: fix reset gpio handling (bsc#1012628).
  - iio: adc: ad9467: don't ignore error codes (bsc#1012628).
  - iio: adc: ad9467: add mutex to struct ad9467_state
    (bsc#1012628).
  - iio: adc: ad9467: fix scale setting (bsc#1012628).
  - perf header: Fix one memory leakage in
    perf_event__fprintf_event_update() (bsc#1012628).
  - perf hisi-ptt: Fix one memory leakage in
    hisi_ptt_process_auxtrace_event() (bsc#1012628).
  - perf genelf: Set ELF program header addresses properly
    (bsc#1012628).
  - perf unwind-libdw: Handle JIT-generated DSOs properly
    (bsc#1012628).
  - perf unwind-libunwind: Fix base address for .eh_frame
    (bsc#1012628).
  - bus: mhi: ep: Do not allocate event ring element on stack
    (bsc#1012628).
  - bus: mhi: ep: Use slab allocator where applicable (bsc#1012628).
  - bus: mhi: ep: Pass mhi_ep_buf_info struct to read/write APIs
    (bsc#1012628).
  - PCI: epf-mhi: Fix the DMA data direction of dma_unmap_single()
    (bsc#1012628).
  - usb: cdc-acm: return correct error code on unsupported break
    (bsc#1012628).
  - arm64: dts: qcom: qrb5165-rb5: use u16 for DP altmode svid
    (bsc#1012628).
  - cdx: Explicitly include correct DT includes, again
    (bsc#1012628).
  - spmi: mtk-pmif: Serialize PMIF status check and command
    submission (bsc#1012628).
  - usb: gadget: webcam: Make g_webcam loadable again (bsc#1012628).
  - greybus: gb-beagleplay: Remove use of pad bytes (bsc#1012628).
  - iommu: Don't reserve 0-length IOVA region (bsc#1012628).
  - perf vendor events: Remove UTF-8 characters from cmn.json
    (bsc#1012628).
  - power: supply: Fix null pointer dereference in smb2_probe
    (bsc#1012628).
  - vdpa: Fix an error handling path in eni_vdpa_probe()
    (bsc#1012628).
  - apparmor: Fix ref count leak in task_kill (bsc#1012628).
  - nvmet-tcp: Fix a kernel panic when host sends an invalid H2C
    PDU length (bsc#1012628).
  - nvmet-tcp: fix a crash in nvmet_req_complete() (bsc#1012628).
  - nvmet-tcp: fix a missing endianess conversion in
    nvmet_tcp_try_peek_pdu (bsc#1012628).
  - apparmor: free the allocated pdb objects (bsc#1012628).
  - perf env: Avoid recursively taking env->bpf_progs.lock
    (bsc#1012628).
  - perf stat: Fix hard coded LL miss units (bsc#1012628).
  - cxl/region: fix x9 interleave typo (bsc#1012628).
  - apparmor: fix possible memory leak in unpack_trans_table
    (bsc#1012628).
  - apparmor: avoid crash when parsed profile name is empty
    (bsc#1012628).
  - usb: xhci-mtk: fix a short packet issue of gen1 isoc-in transfer
    (bsc#1012628).
  - serial: imx: Correct clock error message in function probe()
    (bsc#1012628).
  - serial: apbuart: fix console prompt on qemu (bsc#1012628).
  - cdx: call of_node_put() on error path (bsc#1012628).
  - cdx: Unlock on error path in rescan_store() (bsc#1012628).
  - perf db-export: Fix missing reference count get in
    call_path_from_sample() (bsc#1012628).
  - cxl/port: Fix missing target list lock (bsc#1012628).
  - spi: coldfire-qspi: Remove an erroneous clk_disable_unprepare()
    from the remove function (bsc#1012628).
  - hisi_acc_vfio_pci: Update migration data pointer correctly on
    saving/resume (bsc#1012628).
  - nvmet: re-fix tracing strncpy() warning (bsc#1012628).
  - nvme: trace: avoid memcpy overflow warning (bsc#1012628).
  - PCI: xilinx-xdma: Fix uninitialized symbols in
    xilinx_pl_dma_pcie_setup_irq() (bsc#1012628).
  - PCI: xilinx-xdma: Fix error code in
    xilinx_pl_dma_pcie_init_irq_domain() (bsc#1012628).
  - nvmet-tcp: Fix the H2C expected PDU len calculation
    (bsc#1012628).
  - PCI: keystone: Fix race condition when initializing PHYs
    (bsc#1012628).
  - apparmor: Fix memory leak in unpack_profile() (bsc#1012628).
  - PCI: mediatek-gen3: Fix translation window size calculation
    (bsc#1012628).
  - ASoC: mediatek: sof-common: Add NULL check for normal_link
    string (bsc#1012628).
  - s390/pci: fix max size calculation in zpci_memcpy_toio()
    (bsc#1012628).
  - net: qualcomm: rmnet: fix global oob in rmnet_policy
    (bsc#1012628).
  - net: dsa: fix netdev_priv() dereference before check on non-DSA
    netdevice events (bsc#1012628).
  - rxrpc: Fix use of Don't Fragment flag (bsc#1012628).
  - octeontx2-af: CN10KB: Fix FIFO length calculation for RPM2
    (bsc#1012628).
  - net: ethernet: ti: am65-cpsw: Fix max mtu to fit ethernet frames
    (bsc#1012628).
  - amt: do not use overwrapped cb area (bsc#1012628).
  - ALSA: aloop: Introduce a function to get if access is
    interleaved mode (bsc#1012628).
  - net: micrel: Fix PTP frame parsing for lan8841 (bsc#1012628).
  - net: phy: micrel: populate .soft_reset for KSZ9131
    (bsc#1012628).
  - ALSA: hda: Properly setup HDMI stream (bsc#1012628).
  - mptcp: mptcp_parse_option() fix for MPTCPOPT_MP_JOIN
    (bsc#1012628).
  - mptcp: strict validation before using mp_opt->hmac
    (bsc#1012628).
  - mptcp: use OPTION_MPTCP_MPJ_SYNACK in subflow_finish_connect()
    (bsc#1012628).
  - mptcp: use OPTION_MPTCP_MPJ_SYN in subflow_check_req()
    (bsc#1012628).
  - mptcp: refine opt_mp_capable determination (bsc#1012628).
  - block: ensure we hold a queue reference when using queue limits
    (bsc#1012628).
  - net: stmmac: Fix ethool link settings ops for integrated PCS
    (bsc#1012628).
  - udp: annotate data-races around up->pending (bsc#1012628).
  - erofs: fix inconsistent per-file compression format
    (bsc#1012628).
  - net: add more sanity check in virtio_net_hdr_to_skb()
    (bsc#1012628).
  - net: netdev_queue: netdev_txq_completed_mb(): fix wake condition
    (bsc#1012628).
  - bpf: iter_udp: Retry with a larger batch size without going
    back to the previous bucket (bsc#1012628).
  - bpf: Avoid iter->offset making backward progress in bpf_iter_udp
    (bsc#1012628).
  - net: tls, fix WARNIING in __sk_msg_free (bsc#1012628).
  - net: ravb: Fix dma_addr_t truncation in error case
    (bsc#1012628).
  - dt-bindings: gpio: xilinx: Fix node address in gpio
    (bsc#1012628).
  - gpio: mlxbf3: add an error code check in mlxbf3_gpio_probe
    (bsc#1012628).
  - ASoC: SOF: ipc4-loader: remove the CPC check warnings
    (bsc#1012628).
  - gpiolib: Fix scope-based gpio_device refcounting (bsc#1012628).
  - drm/amdkfd: fixes for HMM mem allocation (bsc#1012628).
  - drm/amdgpu: fall back to INPUT power for AVG power via INFO
    IOCTL (bsc#1012628).
  - selftests: bonding: Change script interpreter (bsc#1012628).
  - net: stmmac: ethtool: Fixed calltrace caused by unbalanced
    disable_irq_wake calls (bsc#1012628).
  - bpf: Reject variable offset alu on PTR_TO_FLOW_KEYS
    (bsc#1012628).
  - net: dsa: vsc73xx: Add null pointer check to vsc73xx_gpio_probe
    (bsc#1012628).
  - LoongArch: BPF: Prevent out-of-bounds memory access
    (bsc#1012628).
  - mptcp: relax check on MPC passive fallback (bsc#1012628).
  - net: netdevsim: don't try to destroy PHC on VFs (bsc#1012628).
  - netfilter: nf_tables: reject invalid set policy (bsc#1012628).
  - netfilter: nft_limit: do not ignore unsupported flags
    (bsc#1012628).
  - netfilter: nfnetlink_log: use proper helper for fetching
    physinif (bsc#1012628).
  - netfilter: nf_queue: remove excess nf_bridge variable
    (bsc#1012628).
  - netfilter: propagate net to nf_bridge_get_physindev
    (bsc#1012628).
  - netfilter: bridge: replace physindev with physinif in
    nf_bridge_info (bsc#1012628).
  - netfilter: nf_tables: do not allow mismatch field size and
    set key length (bsc#1012628).
  - netfilter: nf_tables: skip dead set elements in netlink dump
    (bsc#1012628).
  - netfilter: nf_tables: reject NFT_SET_CONCAT with not field
    length description (bsc#1012628).
  - ipvs: avoid stat macros calls from preemptible context
    (bsc#1012628).
  - io_uring: adjust defer tw counting (bsc#1012628).
  - kdb: Fix a potential buffer overflow in kdb_local()
    (bsc#1012628).
  - arm64/ptrace: Don't flush ZA/ZT storage when writing ZA via
    ptrace (bsc#1012628).
  - ethtool: netlink: Add missing ethnl_ops_begin/complete
    (bsc#1012628).
  - loop: fix the the direct I/O support check when used on top
    of block devices (bsc#1012628).
  - mlxsw: spectrum_acl_erp: Fix error flow of pool allocation
    failure (bsc#1012628).
  - mlxsw: spectrum_acl_tcam: Fix NULL pointer dereference in
    error path (bsc#1012628).
  - mlxsw: spectrum_acl_tcam: Fix stack corruption (bsc#1012628).
  - mlxsw: spectrum_router: Register netdevice notifier before
    nexthop (bsc#1012628).
  - selftests: mlxsw: qos_pfc: Adjust the test to support 8 lanes
    (bsc#1012628).
  - ipv6: mcast: fix data-race in ipv6_mc_down / mld_ifc_work
    (bsc#1012628).
  - i2c: s3c24xx: fix read transfers in polling mode (bsc#1012628).
  - i2c: s3c24xx: fix transferring more than one message in polling
    mode (bsc#1012628).
  - Revert "KEYS: encrypted: Add check for strsep" (bsc#1012628).
  - arm64: dts: armada-3720-turris-mox: set irq type for RTC
    (bsc#1012628).
  - Revert "Revert "md/raid5: Wait for MD_SB_CHANGE_PENDING in
    raid5d"" (bsc#1012628).
  - Rename to
    patches.kernel.org/6.7.2-083-keys-dns-Fix-size-check-of-V1-server-list-heade.patch.
  - Rename to
    patches.kernel.org/6.7.2-450-media-solo6x10-replace-max-a-min-b-c-by-clamp-b.patch.
  - commit fe3f5d5
* Thu Jan 25 2024 msuchanek@suse.de
  - Revert "Limit kernel-source build to architectures for which the kernel binary"
    This reverts commit 08a9e44c00758b5f3f3b641830ab6affff041132.
    The fix for bsc#1108281 directly causes bsc#1218768, revert.
  - commit 2943b8a
* Thu Jan 25 2024 msuchanek@suse.de
  - mkspec: Include constraints for both multibuild and plain package always
    There is no need to check for multibuild flag, the constraints can be
    always generated for both cases.
  - commit 308ea09
* Thu Jan 25 2024 jslaby@suse.cz
  - rpm/mkspec: use kernel-source: prefix for constraints on multibuild
    Otherwise the constraints are not applied with multibuild enabled.
  - commit 841012b
* Wed Jan 24 2024 jslaby@suse.cz
  - rpm/kernel-source.rpmlintrc: add action-ebpf
    Upstream commit a79d8ba734bd (selftests: tc-testing: remove buildebpf
    plugin) added this precompiled binary blob. Adapt rpmlintrc for
    kernel-source.
  - commit b5ccb33
* Tue Jan 23 2024 tiwai@suse.de
  - scripts/tar-up.sh: don't add spurious entry from kernel-sources.changes.old
    The previous change added the manual entry from kernel-sources.change.old
    to old_changelog.txt unnecessarily.  Let's fix it.
  - commit fb033e8
* Tue Jan 23 2024 jslaby@suse.cz
  - rpm/kernel-docs.spec.in: fix build with 6.8
    Since upstream commit f061c9f7d058 (Documentation: Document each netlink
    family), the build needs python yaml.
  - commit 6a7ece3
* Mon Jan 22 2024 mkubecek@suse.cz
  - Update to 6.8-rc1
  - drop 3 patches (all mainline)
    - patches.rpmify/media-solo6x10-replace-max-a-min-b-c-by-clamp-b-a-c.patch (31e97d7c9ae3)
    - patches.suse/0001-bsc-1204315-Disable-sysfb-before-creating-simple-fra.patch
    (3310288f6135)
    - patches.suse/keys-dns-Fix-size-check-of-V1-server-list-header.patch
  - disable (conflict)
    - patches.suse/btrfs-8447-serialize-subvolume-mounts-with-potentially-mi.patch
  - refresh
    - patches.suse/0001-security-lockdown-expose-a-hook-to-lock-the-kernel-down.patch
    - patches.suse/add-product-identifying-information-to-vmcoreinfo.patch
    - patches.suse/btrfs-provide-super_operations-get_inode_dev
    - patches.suse/genksyms-add-override-flag.diff
    - patches.suse/vfs-add-super_operations-get_inode_dev
  - fix patch metadata
    - patches.suse/btrfs-provide-super_operations-get_inode_dev
  - disable ARM architectures (need config update)
  - new config options
    - Virtualization
    - CONFIG_KVM_SW_PROTECTED_VM=y
    - CONFIG_KVM_HYPERV=y
    - Enable the block layer
    - CONFIG_BLK_DEV_WRITE_MOUNTED=y
    - Memory Management options
    - CONFIG_ZSWAP_SHRINKER_DEFAULT_ON=n
    - CONFIG_TRANSPARENT_HUGEPAGE_NEVER=n
    - File systems
    - CONFIG_BCACHEFS_SIX_OPTIMISTIC_SPIN=y
    - CONFIG_EROFS_FS_ONDEMAND=n
    - CONFIG_NFSD_LEGACY_CLIENT_TRACKING=n
    - Cryptographic API
    - CONFIG_CRYPTO_DEV_QAT_420XX=m
    - CONFIG_CRYPTO_DEV_IAA_CRYPTO=m
    - CONFIG_CRYPTO_DEV_IAA_CRYPTO_STATS=n
    - Library routines
    - CONFIG_STACKDEPOT_MAX_FRAMES=64
    - Misc devices
    - CONFIG_NSM=m
    - CONFIG_INTEL_MEI_VSC_HW=m
    - CONFIG_INTEL_MEI_VSC=m
    - Network device support
    - CONFIG_ICE_HWMON=y
    - CONFIG_DP83TG720_PHY=m
    - CONFIG_FRAMER=m
    - Pin controllers
    - CONFIG_PINCTRL_INTEL_PLATFORM=m
    - CONFIG_PINCTRL_METEORPOINT=m
    - Hardware Monitoring support
    - CONFIG_SENSORS_GIGABYTE_WATERFORCE=m
    - CONFIG_SENSORS_LTC4286=n
    - CONFIG_SENSORS_MP2856=m
    - CONFIG_SENSORS_MP5990=m
    - Multimedia support
    - CONFIG_VIDEO_ALVIUM_CSI2=m
    - CONFIG_VIDEO_GC0308=m
    - CONFIG_VIDEO_GC2145=m
    - CONFIG_VIDEO_OV64A40=m
    - CONFIG_VIDEO_THP7312=m
    - CONFIG_VIDEO_TW9900=m
    - Graphics support
    - CONFIG_DRM_I915_DEBUG_WAKEREF=n
    - CONFIG_DRM_XE=m
    - CONFIG_DRM_XE_DISPLAY=y
    - CONFIG_DRM_XE_FORCE_PROBE=""
    - CONFIG_DRM_XE_WERROR=n
    - CONFIG_DRM_XE_DEBUG=n
    - CONFIG_DRM_XE_DEBUG_VM=n
    - CONFIG_DRM_XE_DEBUG_SRIOV=n
    - CONFIG_DRM_XE_DEBUG_MEM=n
    - CONFIG_DRM_XE_SIMPLE_ERROR_CAPTURE=n
    - CONFIG_DRM_XE_LARGE_GUC_BUFFER=n
    - CONFIG_DRM_XE_USERPTR_INVAL_INJECT=n
    - CONFIG_DRM_XE_JOB_TIMEOUT_MAX=10000
    - CONFIG_DRM_XE_JOB_TIMEOUT_MIN=1
    - CONFIG_DRM_XE_TIMESLICE_MAX=10000000
    - CONFIG_DRM_XE_TIMESLICE_MIN=1
    - CONFIG_DRM_XE_PREEMPT_TIMEOUT=640000
    - CONFIG_DRM_XE_PREEMPT_TIMEOUT_MAX=10000000
    - CONFIG_DRM_XE_PREEMPT_TIMEOUT_MIN=1
    - CONFIG_DRM_XE_ENABLE_SCHEDTIMEOUT_LIMIT=y
    - CONFIG_BACKLIGHT_MP3309C=m
    - Real Time Clock
    - CONFIG_RTC_DRV_MAX31335=m
    - CONFIG_RTC_DRV_TPS6594=m
    - VFIO Non-Privileged userspace driver framework
    - CONFIG_VFIO_DEBUGFS=n
    - CONFIG_VIRTIO_VFIO_PCI=m
    - X86 Platform Specific Device Drivers
    - CONFIG_AMD_WBRF=y
    - CONFIG_SILICOM_PLATFORM=m
    - Industrial I/O support
    - CONFIG_AD7091R8=n
    - CONFIG_MAX34408=n
    - CONFIG_AOSONG_AGS02MA=n
    - CONFIG_MCP4821=n
    - CONFIG_BMI323_I2C=m
    - CONFIG_BMI323_SPI=m
    - CONFIG_ISL76682=n
    - CONFIG_LTR390=n
    - CONFIG_VEML6075=n
    - CONFIG_HSC030PA=n
    - CONFIG_MLX90635=m
    - CONFIG_MCP9600=m
    - Misc drivers
    - CONFIG_MTD_UBI_FAULT_INJECTION=n
    - CONFIG_ZRAM_TRACK_ENTRY_ACTIME=n
    - CONFIG_JOYSTICK_SEESAW=m
    - CONFIG_W1_MASTER_AMD_AXI=m
    - CONFIG_THERMAL_DEBUGFS=n
    - CONFIG_REGULATOR_NETLINK_EVENTS=y
    - CONFIG_SND_AMD_ASOC_ACP70=m
    - CONFIG_HID_MCP2200=m
    - CONFIG_TYPEC_MUX_WCD939X_USBSS=m
    - CONFIG_QCOM_PMIC_PDCHARGER_ULOG=m
    - CONFIG_DWC_PCIE_PMU=m
    - OF dependent (i386, ppc64/ppc64le, riscv64)
    - PINCTRL_TPS6594=n
    - DRM_PANEL_ILITEK_ILI9805=n
    - DRM_PANEL_SYNAPTICS_R63353=n
    - LEDS_MAX5970=m
    - i386
    - FRAMER_PEF2256=m
    - PINCTRL_PEF2256=n
    - s390x
    - DRM_DP_AUX_CHARDEV=y
    - DRM_DP_CEC=y
    - DRM_PANEL_RASPBERRYPI_TOUCHSCREEN=n
    - MEDIA_CEC_RC=n
    - s390x/zfcpdump
    - HW_RANDOM_VIRTIO=n
    - HW_RANDOM_S390=y
    - riscv64
    - PARAVIRT=y
    - PARAVIRT_TIME_ACCOUNTING=n
    - POLARFIRE_SOC_AUTO_UPDATE=m
    - FRAMER_PEF2256=m
    - SERIAL_EARLYCON_RISCV_SBI=y
    - HVC_RISCV_SBI=y
    - PINCTRL_PEF2256=n
    - DRM_PANEL_RASPBERRYPI_TOUCHSCREEN=m
    - DRM_PANEL_*=n (except above)
    - LEDS_SUN50I_A100=m
    - VIDEO_STARFIVE_CAMSS=m
  - commit c2014a1
* Mon Jan 22 2024 jslaby@suse.cz
  - futex: Prevent the reuse of stale pi_state (bsc#1218841).
    Update upstream status (Queued in subsystem maintainer repository).
  - commit a3ee207
* Mon Jan 22 2024 jslaby@suse.cz
  - Refresh
    patches.rpmify/media-solo6x10-replace-max-a-min-b-c-by-clamp-b-a-c.patch.
    Update usptream status.
  - commit 589bdfa
* Sun Jan 21 2024 colyli@suse.de
  - Update config files, enable CONFIG_IMA_DISABLE_HTABLE in all archs for
    Tumbleweed as SLE15-SP6 kernel does (bsc#1218400).
  - commit 020caa6
* Sat Jan 20 2024 jslaby@suse.cz
  - Linux 6.7.1 (bsc#1012628).
  - mm/memory_hotplug: fix memmap_on_memory sysfs value retrieval
    (bsc#1012628).
  - docs: kernel_feat.py: fix potential command injection
    (bsc#1012628).
  - scripts/decode_stacktrace.sh: optionally use LLVM utilities
    (bsc#1012628).
  - coresight: etm4x: Fix width of CCITMIN field (bsc#1012628).
  - PCI: Add ACS quirk for more Zhaoxin Root Ports (bsc#1012628).
  - leds: ledtrig-tty: Free allocated ttyname buffer on deactivate
    (bsc#1012628).
  - parport: parport_serial: Add Brainboxes device IDs and geometry
    (bsc#1012628).
  - parport: parport_serial: Add Brainboxes BAR details
    (bsc#1012628).
  - uio: Fix use-after-free in uio_open (bsc#1012628).
  - binder: fix comment on binder_alloc_new_buf() return value
    (bsc#1012628).
  - binder: fix trivial typo of binder_free_buf_locked()
    (bsc#1012628).
  - binder: fix use-after-free in shinker's callback (bsc#1012628).
  - binder: use EPOLLERR from eventpoll.h (bsc#1012628).
  - Revert "md/raid5: Wait for MD_SB_CHANGE_PENDING in raid5d"
    (bsc#1012628).
  - ksmbd: free ppace array on error in parse_dacl (bsc#1012628).
  - ksmbd: don't allow O_TRUNC open on read-only share
    (bsc#1012628).
  - drm/amd/display: Pass pwrseq inst for backlight and ABM
    (bsc#1012628).
  - bus: moxtet: Add spi device table (bsc#1012628).
  - bus: moxtet: Mark the irq as shared (bsc#1012628).
  - ACPI: resource: Add another DMI match for the TongFang GMxXGxx
    (bsc#1012628).
  - ALSA: hda: cs35l41: Support more HP models without _DSD
    (bsc#1012628).
  - ALSA: hda/realtek: Fix mute and mic-mute LEDs for HP Envy X360
    13-ay0xxx (bsc#1012628).
  - ALSA: hda/realtek: enable SND_PCI_QUIRK for Lenovo Legion Slim
    7 Gen 8 (2023) serie (bsc#1012628).
  - ALSA: hda: Add driver properties for cs35l41 for Lenovo Legion
    Slim 7 Gen 8 serie (bsc#1012628).
  - ALSA: hda: cs35l41: Prevent firmware load if SPI speed too low
    (bsc#1012628).
  - ALSA: hda: cs35l41: Support additional Dell models without _DSD
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirks for Dell models (bsc#1012628).
  - f2fs: explicitly null-terminate the xattr list (bsc#1012628).
  - commit b2e8ed6
* Fri Jan 19 2024 jslaby@suse.cz
  - media: solo6x10: replace max(a, min(b, c)) by clamp(b, a, c)
    (fix build and make it faster).
  - Delete
    patches.rpmify/Revert-minmax-allow-comparisons-of-int-against-unsig.patch.
  - Delete
    patches.rpmify/Revert-minmax-allow-min-max-clamp-if-the-arguments-h.patch.
  - Delete
    patches.rpmify/Revert-minmax-fix-indentation-of-__cmp_once-and-__cl.patch.
  - Delete
    patches.rpmify/Revert-minmax-relax-check-to-allow-comparison-betwee.patch.
    Replace the reverts by an upstream workaround.
  - commit 9bff21f
* Fri Jan 19 2024 jslaby@suse.cz
  - mm: huge_memory: don't force huge page alignment on 32 bit
    (bsc#1218841).
  - Delete
    patches.suse/Revert-mm-align-larger-anonymous-mappings-on-THP-bou.patch.
    Replace the revert by an upstream fix.
  - commit d54abef
* Fri Jan 19 2024 jslaby@suse.cz
  - Update patches.suse/futex-Avoid-reusing-outdated-pi_state.patch
    (bsc#1218801).
    Update to v2.
  - commit eeba83a
* Wed Jan 17 2024 jslaby@suse.cz
  - Revert "mm: align larger anonymous mappings on THP boundaries"
    (bsc#1218841).
  - commit 69537e9
* Tue Jan 16 2024 jslaby@suse.cz
  - futex: Avoid reusing outdated pi_state (bsc#1218841).
  - commit 9859079
* Thu Jan 11 2024 tiwai@suse.de
  - Store the old kernel changelog entries in kernel-docs package (bsc#1218713)
    The old entries are found in kernel-docs/old_changelog.txt in docdir.
    rpm/old_changelog.txt can be an optional file that stores the similar
    info like rpm/kernel-sources.changes.old.  It can specify the commit
    range that have been truncated.  scripts/tar-up.sh expands from the
    git log accordingly.
  - commit c9a2566
* Thu Jan 11 2024 jslaby@suse.cz
  - keys, dns: Fix size check of V1 server-list header (git-fixes).
  - commit 9e5e777
* Thu Jan 11 2024 jslaby@suse.cz
  - Linux 6.6.11 (bsc#1012628).
  - keys, dns: Fix missing size check of V1 server-list header
    (bsc#1012628).
  - ALSA: hda/tas2781: do not use regcache (bsc#1012628).
  - ALSA: hda/tas2781: move set_drv_data outside tasdevice_init
    (bsc#1012628).
  - ALSA: hda/tas2781: remove sound controls in unbind
    (bsc#1012628).
  - ALSA: hda/realtek: enable SND_PCI_QUIRK for hp pavilion
    14-ec1xxx series (bsc#1012628).
  - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ZBook
    (bsc#1012628).
  - ALSA: hda/realtek: Fix mute and mic-mute LEDs for HP ProBook
    440 G6 (bsc#1012628).
  - drm/amd/display: pbn_div need be updated for hotplug event
    (bsc#1012628).
  - mptcp: prevent tcp diag from closing listener subflows
    (bsc#1012628).
  - Revert "PCI/ASPM: Remove pcie_aspm_pm_state_change()"
    (bsc#1012628).
  - drm/mgag200: Fix gamma lut not initialized for G200ER, G200EV,
    G200SE (bsc#1012628).
  - cifs: cifs_chan_is_iface_active should be called with chan_lock
    held (bsc#1012628).
  - cifs: do not depend on release_iface for maintaining iface_list
    (bsc#1012628).
  - KVM: x86/pmu: fix masking logic for MSR_CORE_PERF_GLOBAL_CTRL
    (bsc#1012628).
  - accel/qaic: Fix GEM import path code (bsc#1012628).
  - accel/qaic: Implement quirk for SOC_HW_VERSION (bsc#1012628).
  - wifi: iwlwifi: pcie: don't synchronize IRQs from IRQ
    (bsc#1012628).
  - drm/bridge: parade-ps8640: Never store more than msg->size
    bytes in AUX xfer (bsc#1012628).
  - drm/bridge: ti-sn65dsi86: Never store more than msg->size
    bytes in AUX xfer (bsc#1012628).
  - drm/bridge: ps8640: Fix size mismatch warning w/ len
    (bsc#1012628).
  - netfilter: nf_tables: set transport offset from mac header
    for netdev/egress (bsc#1012628).
  - nfc: llcp_core: Hold a ref to llcp_local->dev when holding a
    ref to llcp_local (bsc#1012628).
  - octeontx2-af: Fix marking couple of structure as __packed
    (bsc#1012628).
  - drm/i915/dp: Fix passing the correct DPCD_REV for
    drm_dp_set_phy_test_pattern (bsc#1012628).
  - drm/i915/perf: Update handling of MMIO triggered reports
    (bsc#1012628).
  - ice: Fix link_down_on_close message (bsc#1012628).
  - ice: Shut down VSI with "link-down-on-close" enabled
    (bsc#1012628).
  - i40e: Fix filter input checks to prevent config with invalid
    values (bsc#1012628).
  - igc: Report VLAN EtherType matching back to user (bsc#1012628).
  - igc: Check VLAN TCI mask (bsc#1012628).
  - igc: Check VLAN EtherType mask (bsc#1012628).
  - ASoC: fsl_rpmsg: Fix error handler with pm_runtime_enable
    (bsc#1012628).
  - ASoC: mediatek: mt8186: fix AUD_PAD_TOP register and offset
    (bsc#1012628).
  - mlxbf_gige: fix receive packet race condition (bsc#1012628).
  - net: sched: em_text: fix possible memory leak in
    em_text_destroy() (bsc#1012628).
  - r8169: Fix PCI error on system resume (bsc#1012628).
  - net: Implement missing getsockopt(SO_TIMESTAMPING_NEW)
    (bsc#1012628).
  - selftests: bonding: do not set port down when adding to bond
    (bsc#1012628).
  - ARM: sun9i: smp: Fix array-index-out-of-bounds read in
    sunxi_mc_smp_init (bsc#1012628).
  - sfc: fix a double-free bug in efx_probe_filters (bsc#1012628).
  - net: bcmgenet: Fix FCS generation for fragmented skbuffs
    (bsc#1012628).
  - netfilter: nf_nat: fix action not being set for all ct states
    (bsc#1012628).
  - netfilter: nft_immediate: drop chain reference counter on error
    (bsc#1012628).
  - net: Save and restore msg_namelen in sock_sendmsg (bsc#1012628).
  - i40e: fix use-after-free in i40e_aqc_add_filters()
    (bsc#1012628).
  - ASoC: meson: g12a-toacodec: Validate written enum values
    (bsc#1012628).
  - ASoC: meson: g12a-tohdmitx: Validate written enum values
    (bsc#1012628).
  - ASoC: meson: g12a-toacodec: Fix event generation (bsc#1012628).
  - ASoC: meson: g12a-tohdmitx: Fix event generation for S/PDIF mux
    (bsc#1012628).
  - i40e: Restore VF MSI-X state during PCI reset (bsc#1012628).
  - igc: Fix hicredit calculation (bsc#1012628).
  - apparmor: Fix move_mount mediation by detecting if source is
    detached (bsc#1012628).
  - virtio_net: avoid data-races on dev->stats fields (bsc#1012628).
  - virtio_net: fix missing dma unmap for resize (bsc#1012628).
  - net/qla3xxx: fix potential memleak in ql_alloc_buffer_queues
    (bsc#1012628).
  - net/smc: fix invalid link access in dumping SMC-R connections
    (bsc#1012628).
  - octeontx2-af: Always configure NIX TX link credits based on
    max frame size (bsc#1012628).
  - octeontx2-af: Re-enable MAC TX in otx2_stop processing
    (bsc#1012628).
  - asix: Add check for usbnet_get_endpoints (bsc#1012628).
  - net: ravb: Wait for operating mode to be applied (bsc#1012628).
  - bnxt_en: Remove mis-applied code from bnxt_cfg_ntp_filters()
    (bsc#1012628).
  - net: Implement missing SO_TIMESTAMPING_NEW cmsg support
    (bsc#1012628).
  - mm: convert DAX lock/unlock page to lock/unlock folio
    (bsc#1012628).
  - mm/memory-failure: pass the folio and the page to
    collect_procs() (bsc#1012628).
  - xsk: add multi-buffer support for sockets sharing umem
    (bsc#1012628).
  - media: qcom: camss: Fix V4L2 async notifier error path
    (bsc#1012628).
  - media: qcom: camss: Fix genpd cleanup (bsc#1012628).
  - tcp: derive delack_max from rto_min (bsc#1012628).
  - bpftool: Fix -Wcast-qual warning (bsc#1012628).
  - bpftool: Align output skeleton ELF code (bsc#1012628).
  - crypto: xts - use 'spawn' for underlying single-block cipher
    (bsc#1012628).
  - crypto: qat - fix double free during reset (bsc#1012628).
  - crypto: hisilicon/qm - fix EQ/AEQ interrupt issue (bsc#1012628).
  - vfio/mtty: Overhaul mtty interrupt handling (bsc#1012628).
  - clk: si521xx: Increase stack based print buffer size in probe
    (bsc#1012628).
  - RDMA/mlx5: Fix mkey cache WQ flush (bsc#1012628).
  - ACPI: thermal: Fix acpi_thermal_unregister_thermal_zone()
    cleanup (bsc#1012628).
  - rcu: Break rcu_node_0 --> &rq->__lock order (bsc#1012628).
  - rcu: Introduce rcu_cpu_online() (bsc#1012628).
  - rcu/tasks: Handle new PF_IDLE semantics (bsc#1012628).
  - rcu/tasks-trace: Handle new PF_IDLE semantics (bsc#1012628).
  - riscv: don't probe unaligned access speed if already done
    (bsc#1012628).
  - KVM: s390: vsie: fix wrong VIR 37 when MSO is used
    (bsc#1012628).
  - phy: ti: gmii-sel: Fix register offset when parent is not a
    syscon node (bsc#1012628).
  - dmaengine: ti: k3-psil-am62: Fix SPI PDMA data (bsc#1012628).
  - dmaengine: ti: k3-psil-am62a: Fix SPI PDMA data (bsc#1012628).
  - dmaengine: fsl-edma: Do not suspend and resume the masked dma
    channel when the system is sleeping (bsc#1012628).
  - dmaengine: fsl-edma: Add judgment on enabling round robin
    arbitration (bsc#1012628).
  - iio: imu: adis16475: use bit numbers in assign_bit()
    (bsc#1012628).
  - iommu/vt-d: Support enforce_cache_coherency only for empty
    domains (bsc#1012628).
  - phy: mediatek: mipi: mt8183: fix minimal supported frequency
    (bsc#1012628).
  - phy: sunplus: return negative error code in sp_usb_phy_probe
    (bsc#1012628).
  - clk: rockchip: rk3128: Fix aclk_peri_src's parent (bsc#1012628).
  - clk: rockchip: rk3128: Fix SCLK_SDMMC's clock name
    (bsc#1012628).
  - drm/i915: Call intel_pre_plane_updates() also for pipes getting
    enabled (bsc#1012628).
  - drm/amd/display: Increase num voltage states to 40
    (bsc#1012628).
  - cxl: Add cxl_decoders_committed() helper (bsc#1012628).
  - cxl/core: Always hold region_rwsem while reading poison lists
    (bsc#1012628).
  - kernel/resource: Increment by align value in
    get_free_mem_region() (bsc#1012628).
  - drm/amd/display: Increase frame warning limit with KASAN or
    KCSAN in dml (bsc#1012628).
  - dmaengine: idxd: Protect int_handle field in hw descriptor
    (bsc#1012628).
  - dmaengine: fsl-edma: fix wrong pointer check in
    fsl_edma3_attach_pd() (bsc#1012628).
  - RISCV: KVM: update external interrupt atomically for IMSIC
    swfile (bsc#1012628).
  - powerpc/pseries/vas: Migration suspend waits for no in-progress
    open windows (bsc#1012628).
  - net: prevent mss overflow in skb_segment() (bsc#1012628).
  - cxl/pmu: Ensure put_device on pmu devices (bsc#1012628).
  - net: libwx: fix memory leak on free page (bsc#1012628).
  - net: constify sk_dst_get() and __sk_dst_get() argument
    (bsc#1012628).
  - mm/mglru: skip special VMAs in lru_gen_look_around()
    (bsc#1012628).
  - x86/kprobes: fix incorrect return address calculation in
    kprobe_emulate_call_indirect (bsc#1012628).
  - i2c: core: Fix atomic xfer check for non-preempt config
    (bsc#1012628).
  - mm: fix unmap_mapping_range high bits shift bug (bsc#1012628).
  - drm/amdgpu: skip gpu_info fw loading on navi12 (bsc#1012628).
  - drm/amd/display: add nv12 bounding box (bsc#1012628).
  - drm/amd/display: Fix sending VSC (+ colorimetry) packets for
    DP/eDP displays without PSR (bsc#1012628).
  - mmc: meson-mx-sdhc: Fix initialization frozen issue
    (bsc#1012628).
  - mmc: rpmb: fixes pause retune on all RPMB partitions
    (bsc#1012628).
  - mmc: core: Cancel delayed work before releasing host
    (bsc#1012628).
  - mmc: sdhci-sprd: Fix eMMC init failure after hw reset
    (bsc#1012628).
  - cxl: Add cxl_num_decoders_committed() usage to cxl_test
    (bsc#1012628).
  - cxl/hdm: Fix a benign lockdep splat (bsc#1012628).
  - cxl/memdev: Hold region_rwsem during inject and clear poison
    ops (bsc#1012628).
  - media: qcom: camss: Comment CSID dt_id field (bsc#1012628).
  - Rename to
    patches.kernel.org/6.6.11-110-firewire-ohci-suppress-unexpected-system-reboo.patch.
  - commit f421cf4
* Mon Jan 08 2024 palcantara@suse.de
  - smb: client: fix potential OOB in smb2_dump_detail()
    (bsc#1217946 CVE-2023-6610).
  - commit edb885a
* Mon Jan 08 2024 msuchanek@suse.de
  - Limit kernel-source build to architectures for which the kernel binary
    is built (bsc#1108281).
  - commit 08a9e44
* Mon Jan 08 2024 jslaby@suse.cz
  - Revert "minmax: allow min()/max()/clamp() if the arguments
    have the same signedness." (fix build and make it faster).
  - Revert "minmax: fix indentation of __cmp_once() and
    __clamp_once()" (fix build and make it faster).
  - commit 7b7f72a
* Mon Jan 08 2024 jslaby@suse.cz
  - Revert "minmax: allow comparisons of 'int' against 'unsigned
    char/short'" (fix build and make it faster).
  - Revert "minmax: relax check to allow comparison between unsigned
    arguments and signed constants" (fix build and make it faster).
  - commit a7cbb4e
* Mon Jan 08 2024 jslaby@suse.cz
  - Refresh
    patches.suse/firewire-ohci-suppress-unexpected-system-reboot-in-A.patch.
    Update upstream status and move to sorted section.
  - commit 7d3b8d0
* Mon Jan 08 2024 jslaby@suse.cz
  - Linux 6.6.10 (bsc#1012628).
  - Revert "platform/x86: p2sb: Allow p2sb_bar() calls during PCI
    device probe" (bsc#1012628).
  - netfilter: nf_tables: skip set commit for deleted/destroyed sets
    (bsc#1012628).
  - wifi: nl80211: fix deadlock in nl80211_set_cqm_rssi (6.6.x)
    (bsc#1012628).
  - wifi: cfg80211: fix CQM for non-range use (bsc#1012628).
  - tracing: Fix blocked reader of snapshot buffer (bsc#1012628).
  - ftrace: Fix modification of direct_function hash while in use
    (bsc#1012628).
  - ring-buffer: Fix wake ups when buffer_percent is set to 100
    (bsc#1012628).
  - Revert "nvme-fc: fix race between error recovery and creating
    association" (bsc#1012628).
  - mm/memory-failure: check the mapcount of the precise page
    (bsc#1012628).
  - mm/memory-failure: cast index to loff_t before shifting it
    (bsc#1012628).
  - mm: migrate high-order folios in swap cache correctly
    (bsc#1012628).
  - mm/filemap: avoid buffered read/write race to read inconsistent
    data (bsc#1012628).
  - selftests: secretmem: floor the memory size to the multiple
    of page_size (bsc#1012628).
  - maple_tree: do not preallocate nodes for slot stores
    (bsc#1012628).
  - platform/x86: p2sb: Allow p2sb_bar() calls during PCI device
    probe (bsc#1012628).
  - ksmbd: fix slab-out-of-bounds in smb_strndup_from_utf16()
    (bsc#1012628).
  - platform/x86/intel/pmc: Move GBE LTR ignore to suspend callback
    (bsc#1012628).
  - platform/x86/intel/pmc: Allow reenabling LTRs (bsc#1012628).
  - platform/x86/intel/pmc: Add suspend callback (bsc#1012628).
  - block: renumber QUEUE_FLAG_HW_WC (bsc#1012628).
  - mptcp: fix inconsistent state on fastopen race (bsc#1012628).
  - mptcp: fix possible NULL pointer dereference on close
    (bsc#1012628).
  - mptcp: refactor sndbuf auto-tuning (bsc#1012628).
  - linux/export: Ensure natural alignment of kcrctab array
    (bsc#1012628).
  - linux/export: Fix alignment for 64-bit ksymtab entries
    (bsc#1012628).
  - kexec: select CRYPTO from KEXEC_FILE instead of depending on it
    (bsc#1012628).
  - kexec: fix KEXEC_FILE dependencies (bsc#1012628).
  - Update config files.
    The defaults for s390 taken from the master branch.
  - virtio_ring: fix syncs DMA memory with different direction
    (bsc#1012628).
  - fs: cifs: Fix atime update check (bsc#1012628).
  - client: convert to new timestamp accessors (bsc#1012628).
  - fs: new accessor methods for atime and mtime (bsc#1012628).
  - ksmbd: avoid duplicate opinfo_put() call on error of
    smb21_lease_break_ack() (bsc#1012628).
  - ksmbd: lazy v2 lease break on smb2_write() (bsc#1012628).
  - ksmbd: send v2 lease break notification for directory
    (bsc#1012628).
  - ksmbd: downgrade RWH lease caching state to RH for directory
    (bsc#1012628).
  - ksmbd: set v2 lease capability (bsc#1012628).
  - ksmbd: set epoch in create context v2 lease (bsc#1012628).
  - ksmbd: don't update ->op_state as OPLOCK_STATE_NONE on error
    (bsc#1012628).
  - ksmbd: move setting SMB2_FLAGS_ASYNC_COMMAND and AsyncId
    (bsc#1012628).
  - ksmbd: release interim response after sending status pending
    response (bsc#1012628).
  - ksmbd: move oplock handling after unlock parent dir
    (bsc#1012628).
  - ksmbd: separately allocate ci per dentry (bsc#1012628).
  - ksmbd: prevent memory leak on error return (bsc#1012628).
  - ksmbd: fix kernel-doc comment of ksmbd_vfs_kern_path_locked()
    (bsc#1012628).
  - ksmbd: no need to wait for binded connection termination at
    logoff (bsc#1012628).
  - ksmbd: add support for surrogate pair conversion (bsc#1012628).
  - ksmbd: fix missing RDMA-capable flag for IPoIB device in
    ksmbd_rdma_capable_netdev() (bsc#1012628).
  - ksmbd: fix kernel-doc comment of ksmbd_vfs_setxattr()
    (bsc#1012628).
  - ksmbd: reorganize ksmbd_iov_pin_rsp() (bsc#1012628).
  - ksmbd: Remove unused field in ksmbd_user struct (bsc#1012628).
  - commit b5b393f
* Mon Jan 08 2024 jslaby@suse.cz
  - Refresh
    patches.suse/bus-mhi-host-add-mhi_power_down_no_destroy.patch.
  - Refresh
    patches.suse/bus-mhi-host-add-new-interfaces-to-handle-MHI-channe.patch.
  - Refresh
    patches.suse/wifi-ath11k-do-not-dump-SRNG-statistics-during-resum.patch.
  - Refresh
    patches.suse/wifi-ath11k-fix-warning-on-DMA-ring-capabilities-eve.patch.
  - Refresh
    patches.suse/wifi-ath11k-handle-irq-enable-disable-in-several-cod.patch.
  - Refresh
    patches.suse/wifi-ath11k-remove-MHI-LOOPBACK-channels.patch.
  - Refresh patches.suse/wifi-ath11k-support-hibernation.patch.
  - Refresh
    patches.suse/wifi-ath11k-thermal-don-t-try-to-register-multiple-t.patch.
    Note the branch name the patches are in.
  - commit 9538a8b
* Sun Jan 07 2024 mkubecek@suse.cz
  - Update to 6.7
  - refresh configs (only headers)
  - commit e615918
* Fri Jan 05 2024 petr.pavlu@suse.com
  - Delete doc/config-options.changes (jsc#PED-5021)
    Following on adedbd2a5c6 ("kernel-source: Remove config-options.changes
    (jsc#PED-5021)"), remove the now unused file from the tree.
  - commit e04388e
* Fri Jan 05 2024 jslaby@suse.cz
  - Refresh
    patches.suse/firewire-ohci-suppress-unexpected-system-reboot-in-A.patch.
    Update upstream status.
  - commit 6da42fc
* Thu Jan 04 2024 msuchanek@suse.de
  - config: ppc64le: CONFIG_MEM_SOFT_DIRTY=y (bsc#1218286 ltc#204519).
  - commit c8c5229
* Thu Jan 04 2024 jslaby@suse.cz
  - Update config files.
    Only run oldconfig to keep up with the lost configs.
  - commit 473d8d7
* Thu Jan 04 2024 jslaby@suse.cz
  - firewire: ohci: suppress unexpected system reboot in AMD Ryzen
    machines and ASM108x/VT630x PCIe cards (bsc#1215436).
  - commit 139a7b3
* Wed Jan 03 2024 msuchanek@suse.de
  - mkspec: Add multibuild support (JSC-SLE#5501, boo#1211226, bsc#1218184)
    When MULTIBUILD option in config.sh is enabled generate a _multibuild
    file listing all spec files.
  - commit f734347
* Wed Jan 03 2024 msuchanek@suse.de
  - Build in the correct KOTD repository with multibuild
    (JSC-SLE#5501, boo#1211226, bsc#1218184)
    With multibuild setting repository flags is no longer supported for
    individual spec files - see
    https://github.com/openSUSE/open-build-service/issues/3574
    Add ExclusiveArch conditional that depends on a macro set up by
    bs-upload-kernel instead. With that each package should build only in
    one repository - either standard or QA.
    Note: bs-upload-kernel does not interpret rpm conditionals, and only
    uses the first ExclusiveArch line to determine the architectures to
    enable.
  - commit aa5424d
* Wed Jan 03 2024 msuchanek@suse.de
  - rpm/config.sh: Enable multibuild.
  - commit 7b72f91
* Tue Jan 02 2024 jslaby@suse.cz
  - Linux 6.6.9 (bsc#1012628).
  - bpf: Fix prog_array_map_poke_run map poke update (bsc#1012628).
  - mm/damon/core: use number of passed access sampling as a timer
    (bsc#1012628).
  - mm/damon/core: make damon_start() waits until kdamond_fn()
    starts (bsc#1012628).
  - btrfs: qgroup: iterate qgroups without memory allocation for
    qgroup_reserve() (bsc#1012628).
  - btrfs: qgroup: use qgroup_iterator in qgroup_convert_meta()
    (bsc#1012628).
  - btrfs: free qgroup pertrans reserve on transaction abort
    (bsc#1012628).
  - drm/amd/display: fix hw rotated modes when PSR-SU is enabled
    (bsc#1012628).
  - drm/i915: Fix FEC state dump (bsc#1012628).
  - drm/i915: Introduce crtc_state->enhanced_framing (bsc#1012628).
  - drm/i915/edp: don't write to DP_LINK_BW_SET when using rate
    select (bsc#1012628).
  - drm: Update file owner during use (bsc#1012628).
  - drm: Fix FD ownership check in drm_master_check_perm()
    (bsc#1012628).
  - spi: spi-imx: correctly configure burst length when using dma
    (bsc#1012628).
  - arm64: dts: allwinner: h616: update emac for Orange Pi Zero 3
    (bsc#1012628).
  - ARM: dts: dra7: Fix DRA7 L3 NoC node register size
    (bsc#1012628).
  - ARM: OMAP2+: Fix null pointer dereference and memory leak in
    omap_soc_device_init (bsc#1012628).
  - reset: Fix crash when freeing non-existent optional resets
    (bsc#1012628).
  - s390/vx: fix save/restore of fpu kernel context (bsc#1012628).
  - platform/x86/intel/pmc: Fix hang in pmc_core_send_ltr_ignore()
    (bsc#1012628).
  - SUNRPC: Revert 5f7fc5d69f6e92ec0b38774c387f5cf7812c5806
    (bsc#1012628).
  - wifi: ieee80211: don't require protected vendor action frames
    (bsc#1012628).
  - wifi: iwlwifi: pcie: add another missing bh-disable for
    rxq->lock (bsc#1012628).
  - wifi: mac80211: check if the existing link config remains
    unchanged (bsc#1012628).
  - wifi: mac80211: don't re-add debugfs during reconfig
    (bsc#1012628).
  - wifi: mac80211: check defragmentation succeeded (bsc#1012628).
  - wifi: mac80211: mesh: check element parsing succeeded
    (bsc#1012628).
  - wifi: mac80211: mesh_plink: fix matches_local logic
    (bsc#1012628).
  - ice: fix theoretical out-of-bounds access in ethtool link modes
    (bsc#1012628).
  - bpf: syzkaller found null ptr deref in unix_bpf proto add
    (bsc#1012628).
  - Revert "net/mlx5e: fix double free of encap_header in update
    funcs" (bsc#1012628).
  - Revert "net/mlx5e: fix double free of encap_header"
    (bsc#1012628).
  - net/mlx5e: Fix slab-out-of-bounds in
    mlx5_query_nic_vport_mac_list() (bsc#1012628).
  - net/mlx5e: Fix a race in command alloc flow (bsc#1012628).
  - net/mlx5e: fix a potential double-free in fs_udp_create_groups
    (bsc#1012628).
  - net/mlx5e: Fix overrun reported by coverity (bsc#1012628).
  - net/mlx5e: Decrease num_block_tc when unblock tc offload
    (bsc#1012628).
  - net/mlx5e: XDP, Drop fragmented packets larger than MTU size
    (bsc#1012628).
  - net/mlx5: Fix fw tracer first block check (bsc#1012628).
  - net/mlx5: Refactor mlx5_flow_destination->rep pointer to vport
    num (bsc#1012628).
  - net/mlx5e: Fix error code in mlx5e_tc_action_miss_mapping_get()
    (bsc#1012628).
  - net/mlx5e: Fix error codes in alloc_branch_attr() (bsc#1012628).
  - net/mlx5e: Correct snprintf truncation handling for fw_version
    buffer (bsc#1012628).
  - net/mlx5e: Correct snprintf truncation handling for fw_version
    buffer used by representors (bsc#1012628).
  - net: mscc: ocelot: fix eMAC TX RMON stats for bucket 256-511
    and above (bsc#1012628).
  - net: mscc: ocelot: fix pMAC TX RMON stats for bucket 256-511
    and above (bsc#1012628).
  - octeontx2-pf: Fix graceful exit during PFC configuration failure
    (bsc#1012628).
  - net: Return error from sk_stream_wait_connect() if
    sk_wait_event() fails (bsc#1012628).
  - net: sched: ife: fix potential use-after-free (bsc#1012628).
  - ethernet: atheros: fix a memleak in atl1e_setup_ring_resources
    (bsc#1012628).
  - net/rose: fix races in rose_kill_by_device() (bsc#1012628).
  - Bluetooth: Fix not notifying when connection encryption changes
    (bsc#1012628).
  - Bluetooth: Fix deadlock in vhci_send_frame (bsc#1012628).
  - Bluetooth: hci_event: shut up a false-positive warning
    (bsc#1012628).
  - Bluetooth: hci_core: Fix hci_conn_hash_lookup_cis (bsc#1012628).
  - bnxt_en: do not map packet buffers twice (bsc#1012628).
  - net: phy: skip LED triggers on PHYs on SFP modules
    (bsc#1012628).
  - ice: stop trashing VF VSI aggregator node ID information
    (bsc#1012628).
  - ice: alter feature support check for SRIOV and LAG
    (bsc#1012628).
  - ice: Fix PF with enabled XDP going no-carrier after reset
    (bsc#1012628).
  - net: mana: select PAGE_POOL (bsc#1012628).
  - net: check vlan filter feature in vlan_vids_add_by_dev()
    and vlan_vids_del_by_dev() (bsc#1012628).
  - afs: Fix the dynamic root's d_delete to always delete unused
    dentries (bsc#1012628).
  - afs: Fix dynamic root lookup DNS check (bsc#1012628).
  - net: ethernet: mtk_wed: fix possible NULL pointer dereference
    in mtk_wed_wo_queue_tx_clean() (bsc#1012628).
  - net/ipv6: Revert remove expired routes with a separated list
    of routes (bsc#1012628).
  - net: check dev->gso_max_size in gso_features_check()
    (bsc#1012628).
  - keys, dns: Allow key types (eg. DNS) to be reclaimed immediately
    on expiry (bsc#1012628).
  - afs: Fix overwriting of result of DNS query (bsc#1012628).
  - afs: Fix use-after-free due to get/remove race in volume tree
    (bsc#1012628).
  - drm/i915/hwmon: Fix static analysis tool reported issues
    (bsc#1012628).
  - drm/i915/mtl: Fix HDMI/DP PLL clock selection (bsc#1012628).
  - ASoC: hdmi-codec: fix missing report for jack initial status
    (bsc#1012628).
  - ASoC: fsl_sai: Fix channel swap issue on i.MX8MP (bsc#1012628).
  - i2c: qcom-geni: fix missing clk_disable_unprepare() and
    geni_se_resources_off() (bsc#1012628).
  - drm/amdgpu: re-create idle bo's PTE during VM state machine
    reset (bsc#1012628).
  - i2c: aspeed: Handle the coalesced stop conditions with the
    start conditions (bsc#1012628).
  - x86/xen: add CPU dependencies for 32-bit build (bsc#1012628).
  - pinctrl: at91-pio4: use dedicated lock class for IRQ
    (bsc#1012628).
  - gpiolib: cdev: add gpio_device locking wrapper around
    gpio_ioctl() (bsc#1012628).
  - nvme-pci: fix sleeping function called from interrupt context
    (bsc#1012628).
  - interconnect: Treat xlate() returning NULL node as an error
    (bsc#1012628).
  - iio: imu: inv_mpu6050: fix an error code problem in
    inv_mpu6050_read_raw (bsc#1012628).
  - interconnect: qcom: sm8250: Enable sync_state (bsc#1012628).
  - Input: ipaq-micro-keys - add error handling for devm_kmemdup
    (bsc#1012628).
  - iio: adc: meson: add separate config for axg SoC family
    (bsc#1012628).
  - scsi: bnx2fc: Fix skb double free in bnx2fc_rcv() (bsc#1012628).
  - scsi: ufs: qcom: Return ufs_qcom_clk_scale_*() errors in
    ufs_qcom_clk_scale_notify() (bsc#1012628).
  - scsi: ufs: core: Let the sq_lock protect sq_tail_slot access
    (bsc#1012628).
  - iio: kx022a: Fix acceleration value scaling (bsc#1012628).
  - iio: adc: imx93: add four channels for imx93 adc (bsc#1012628).
  - iio: common: ms_sensors: ms_sensors_i2c: fix humidity conversion
    time table (bsc#1012628).
  - iio: imu: adis16475: add spi_device_id table (bsc#1012628).
  - iio: adc: ti_am335x_adc: Fix return value check of
    tiadc_request_dma() (bsc#1012628).
  - iio: tmag5273: fix temperature offset (bsc#1012628).
  - iio: triggered-buffer: prevent possible freeing of wrong buffer
    (bsc#1012628).
  - ALSA: usb-audio: Increase delay in MOTU M quirk (bsc#1012628).
  - ARM: dts: Fix occasional boot hang for am3 usb (bsc#1012628).
  - usb-storage: Add quirk for incorrect WP on Kingston DT Ultimate
    3.0 G3 (bsc#1012628).
  - wifi: mt76: fix crash with WED rx support enabled (bsc#1012628).
  - wifi: cfg80211: Add my certificate (bsc#1012628).
  - wifi: cfg80211: fix certs build to not depend on file order
    (bsc#1012628).
  - USB: serial: ftdi_sio: update Actisense PIDs constant names
    (bsc#1012628).
  - USB: serial: option: add Quectel EG912Y module support
    (bsc#1012628).
  - USB: serial: option: add Foxconn T99W265 with new baseline
    (bsc#1012628).
  - USB: serial: option: add Quectel RM500Q R13 firmware support
    (bsc#1012628).
  - ALSA: hda/tas2781: select program 0, conf 0 by default
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for ASUS ROG GV302XA (bsc#1012628).
  - ASoC: tas2781: check the validity of prm_no/cfg_no
    (bsc#1012628).
  - Bluetooth: hci_event: Fix not checking if HCI_OP_INQUIRY has
    been sent (bsc#1012628).
  - Bluetooth: af_bluetooth: Fix Use-After-Free in bt_sock_recvmsg
    (bsc#1012628).
  - Bluetooth: L2CAP: Send reject on command corrupted request
    (bsc#1012628).
  - Bluetooth: MGMT/SMP: Fix address type when using SMP over
    BREDR/LE (bsc#1012628).
  - Bluetooth: Add more enc key size check (bsc#1012628).
  - usb: typec: ucsi: fix gpio-based orientation detection
    (bsc#1012628).
  - usb: fotg210-hcd: delete an incorrect bounds test (bsc#1012628).
  - net: usb: ax88179_178a: avoid failed operations when device
    is disconnected (bsc#1012628).
  - Input: soc_button_array - add mapping for airplane mode button
    (bsc#1012628).
  - net: 9p: avoid freeing uninit memory in p9pdu_vreadf
    (bsc#1012628).
  - net: rfkill: gpio: set GPIO direction (bsc#1012628).
  - net: ks8851: Fix TX stall caused by TX buffer overrun
    (bsc#1012628).
  - net: avoid build bug in skb extension length calculation
    (bsc#1012628).
  - net: stmmac: fix incorrect flag check in timestamp interrupt
    (bsc#1012628).
  - dt-bindings: nvmem: mxs-ocotp: Document fsl,ocotp (bsc#1012628).
  - nfsd: call nfsd_last_thread() before final nfsd_put()
    (bsc#1012628).
  - smb: client: fix OOB in cifsd when receiving compounded resps
    (bsc#1012628).
  - smb: client: fix potential OOB in cifs_dump_detail()
    (bsc#1012628).
  - smb: client: fix OOB in SMB2_query_info_init() (bsc#1012628).
  - drm/i915: Reject async flips with bigjoiner (bsc#1012628).
  - drm/i915/dmc: Don't enable any pipe DMC events (bsc#1012628).
  - 9p: prevent read overrun in protocol dump tracepoint
    (bsc#1012628).
  - ring-buffer: Fix 32-bit rb_time_read() race with
    rb_time_cmpxchg() (bsc#1012628).
  - ring-buffer: Remove useless update to write_stamp in
    rb_try_to_discard() (bsc#1012628).
  - ring-buffer: Fix slowpath of interrupted event (bsc#1012628).
  - spi: atmel: Do not cancel a transfer upon any signal
    (bsc#1012628).
  - spi: atmel: Prevent spi transfers from being killed
    (bsc#1012628).
  - spi: atmel: Fix clock issue when using devices with different
    polarities (bsc#1012628).
  - nvmem: brcm_nvram: store a copy of NVRAM content (bsc#1012628).
  - Revert "scsi: aacraid: Reply queue mapping to CPUs based on
    IRQ affinity" (bsc#1012628).
  - scsi: core: Always send batch on reset or error handling command
    (bsc#1012628).
  - tracing / synthetic: Disable events after testing in
    synth_event_gen_test_init() (bsc#1012628).
  - dm-integrity: don't modify bio's immutable bio_vec in
    integrity_metadata() (bsc#1012628).
  - selftests: mptcp: join: fix subflow_send_ack lookup
    (bsc#1012628).
  - pinctrl: starfive: jh7110: ignore disabled device tree nodes
    (bsc#1012628).
  - pinctrl: starfive: jh7100: ignore disabled device tree nodes
    (bsc#1012628).
  - bus: ti-sysc: Flush posted write only after srst_udelay
    (bsc#1012628).
  - gpio: dwapb: mask/unmask IRQ when disable/enale it
    (bsc#1012628).
  - lib/vsprintf: Fix %pfwf when current node refcount == 0
    (bsc#1012628).
  - thunderbolt: Fix memory leak in margining_port_remove()
    (bsc#1012628).
  - KVM: arm64: vgic: Simplify kvm_vgic_destroy() (bsc#1012628).
  - KVM: arm64: vgic: Add a non-locking primitive for
    kvm_vgic_vcpu_destroy() (bsc#1012628).
  - KVM: arm64: vgic: Force vcpu vgic teardown on vcpu destroy
    (bsc#1012628).
  - x86/alternatives: Sync core before enabling interrupts
    (bsc#1012628).
  - x86/alternatives: Disable interrupts and sync when optimizing
    NOPs in place (bsc#1012628).
  - x86/smpboot/64: Handle X2APIC BIOS inconsistency gracefully
    (bsc#1012628).
  - spi: cadence: revert "Add SPI transfer delays" (bsc#1012628).
  - Rename to
    patches.kernel.org/6.6.9-128-smb-client-fix-OOB-in-smbCalcSize.patch.
  - commit 61d1d44
* Mon Jan 01 2024 mkubecek@suse.cz
  - Update to 6.7-rc8
  - update configs
    - s390x/zfcpdump:
    - KEXEC_FILE=y
  - commit 521bba4
* Wed Dec 27 2023 palcantara@suse.de
  - smb: client: fix OOB in smbCalcSize() (bsc#1217947
    CVE-2023-6606).
  - commit c2168b0
* Sun Dec 24 2023 mkubecek@suse.cz
  - Update to 6.7-rc7
  - refresh configs
  - commit 65d9931
* Wed Dec 20 2023 jslaby@suse.cz
  - Linux 6.6.8 (bsc#1012628).
  - r8152: add vendor/device ID pair for ASUS USB-C2500
    (bsc#1012628).
  - ext4: fix warning in ext4_dio_write_end_io() (bsc#1012628).
  - ksmbd: fix memory leak in smb2_lock() (bsc#1012628).
  - afs: Fix refcount underflow from error handling race
    (bsc#1012628).
  - HID: lenovo: Restrict detection of patched firmware only to
    USB cptkbd (bsc#1012628).
  - net/mlx5e: Honor user choice of IPsec replay window size
    (bsc#1012628).
  - net/mlx5e: Ensure that IPsec sequence packet number starts
    from 1 (bsc#1012628).
  - net/mlx5e: Unify esw and normal IPsec status table
    creation/destruction (bsc#1012628).
  - net/mlx5e: Tidy up IPsec NAT-T SA discovery (bsc#1012628).
  - net/mlx5e: Reduce eswitch mode_lock protection context
    (bsc#1012628).
  - net/mlx5e: Check the number of elements before walk TC
    rhashtable (bsc#1012628).
  - RDMA/mlx5: Send events from IB driver about device affiliation
    state (bsc#1012628).
  - net/mlx5e: Disable IPsec offload support if not FW steering
    (bsc#1012628).
  - net/mlx5e: Fix possible deadlock on mlx5e_tx_timeout_work
    (bsc#1012628).
  - net/mlx5e: TC, Don't offload post action rule if not supported
    (bsc#1012628).
  - net/mlx5: Nack sync reset request when HotPlug is enabled
    (bsc#1012628).
  - net/mlx5e: Check netdev pointer before checking its net ns
    (bsc#1012628).
  - net/mlx5: Fix a NULL vs IS_ERR() check (bsc#1012628).
  - net: ipv6: support reporting otherwise unknown prefix flags
    in RTM_NEWPREFIX (bsc#1012628).
  - qca_debug: Prevent crash on TX ring changes (bsc#1012628).
  - qca_debug: Fix ethtool -G iface tx behavior (bsc#1012628).
  - qca_spi: Fix reset behavior (bsc#1012628).
  - bnxt_en: Clear resource reservation during resume (bsc#1012628).
  - bnxt_en: Fix skb recycling logic in bnxt_deliver_skb()
    (bsc#1012628).
  - bnxt_en: Fix wrong return value check in bnxt_close_nic()
    (bsc#1012628).
  - bnxt_en: Fix HWTSTAMP_FILTER_ALL packet timestamp logic
    (bsc#1012628).
  - atm: solos-pci: Fix potential deadlock on &cli_queue_lock
    (bsc#1012628).
  - atm: solos-pci: Fix potential deadlock on &tx_queue_lock
    (bsc#1012628).
  - net: fec: correct queue selection (bsc#1012628).
  - octeontx2-af: fix a use-after-free in rvu_nix_register_reporters
    (bsc#1012628).
  - net/sched: act_ct: Take per-cb reference to tcf_ct_flow_table
    (bsc#1012628).
  - octeon_ep: explicitly test for firmware ready value
    (bsc#1012628).
  - octeontx2-pf: Fix promisc mcam entry action (bsc#1012628).
  - octeontx2-af: Update RSS algorithm index (bsc#1012628).
  - octeontx2-af: Fix pause frame configuration (bsc#1012628).
  - atm: Fix Use-After-Free in do_vcc_ioctl (bsc#1012628).
  - net/rose: Fix Use-After-Free in rose_ioctl (bsc#1012628).
  - iavf: Introduce new state machines for flow director
    (bsc#1012628).
  - iavf: Handle ntuple on/off based on new state machines for
    flow director (bsc#1012628).
  - iavf: Fix iavf_shutdown to call iavf_remove instead iavf_close
    (bsc#1012628).
  - qed: Fix a potential use-after-free in qed_cxt_tables_alloc
    (bsc#1012628).
  - net: Remove acked SYN flag from packet in the transmit queue
    correctly (bsc#1012628).
  - net: ena: Destroy correct number of xdp queues upon failure
    (bsc#1012628).
  - net: ena: Fix xdp drops handling due to multibuf packets
    (bsc#1012628).
  - net: ena: Fix DMA syncing in XDP path when SWIOTLB is on
    (bsc#1012628).
  - net: ena: Fix XDP redirection error (bsc#1012628).
  - stmmac: dwmac-loongson: Make sure MDIO is initialized before
    use (bsc#1012628).
  - sign-file: Fix incorrect return values check (bsc#1012628).
  - vsock/virtio: Fix unsigned integer wrap around in
    virtio_transport_has_space() (bsc#1012628).
  - dpaa2-switch: fix size of the dma_unmap (bsc#1012628).
  - dpaa2-switch: do not ask for MDB, VLAN and FDB replay
    (bsc#1012628).
  - net: stmmac: dwmac-qcom-ethqos: Fix drops in 10M SGMII RX
    (bsc#1012628).
  - net: stmmac: Handle disabled MDIO busses from devicetree
    (bsc#1012628).
  - appletalk: Fix Use-After-Free in atalk_ioctl (bsc#1012628).
  - net: atlantic: fix double free in ring reinit logic
    (bsc#1012628).
  - cred: switch to using atomic_long_t (bsc#1012628).
  - cred: get rid of CONFIG_DEBUG_CREDENTIALS (bsc#1012628).
  - HID: i2c-hid: Add IDEA5002 to i2c_hid_acpi_blacklist[]
    (bsc#1012628).
  - HID: Add quirk for Labtec/ODDOR/aikeec handbrake (bsc#1012628).
  - fuse: Rename DIRECT_IO_RELAX to DIRECT_IO_ALLOW_MMAP
    (bsc#1012628).
  - fuse: share lookup state between submount and its parent
    (bsc#1012628).
  - fuse: disable FOPEN_PARALLEL_DIRECT_WRITES with
    FUSE_DIRECT_IO_ALLOW_MMAP (bsc#1012628).
  - fuse: dax: set fc->dax to NULL in fuse_dax_conn_free()
    (bsc#1012628).
  - io_uring/cmd: fix breakage in SOCKET_URING_OP_SIOC*
    implementation (bsc#1012628).
  - ALSA: hda/hdmi: add force-connect quirk for NUC5CPYB
    (bsc#1012628).
  - ALSA: hda/hdmi: add force-connect quirks for ASUSTeK Z170
    variants (bsc#1012628).
  - ALSA: hda/realtek: Apply mute LED quirk for HP15-db
    (bsc#1012628).
  - ALSA: hda/tas2781: leave hda_component in usable state
    (bsc#1012628).
  - ALSA: hda/tas2781: handle missing EFI calibration data
    (bsc#1012628).
  - ALSA: hda/tas2781: call cleanup functions only once
    (bsc#1012628).
  - ALSA: hda/tas2781: reset the amp before component_add
    (bsc#1012628).
  - Revert "PCI: acpiphp: Reassign resources on bridge if necessary"
    (bsc#1012628).
  - PCI: loongson: Limit MRRS to 256 (bsc#1012628).
  - PCI/ASPM: Add pci_enable_link_state_locked() (bsc#1012628).
  - ksmbd: fix wrong name of SMB2_CREATE_ALLOCATION_SIZE
    (bsc#1012628).
  - PCI: vmd: Fix potential deadlock when enabling ASPM
    (bsc#1012628).
  - drm/mediatek: fix kernel oops if no crtc is found (bsc#1012628).
  - drm/mediatek: Add spinlock for setting vblank event in
    atomic_begin (bsc#1012628).
  - accel/ivpu: Print information about used workarounds
    (bsc#1012628).
  - accel/ivpu/37xx: Fix interrupt_clear_with_0 WA initialization
    (bsc#1012628).
  - drm/i915/selftests: Fix engine reset count storage for
    multi-tile (bsc#1012628).
  - drm/i915: Use internal class when counting engine resets
    (bsc#1012628).
  - selftests/mm: cow: print ksft header before printing anything
    else (bsc#1012628).
  - x86/hyperv: Fix the detection of E820_TYPE_PRAM in a Gen2 VM
    (bsc#1012628).
  - usb: aqc111: check packet for fixup for true limit
    (bsc#1012628).
  - stmmac: dwmac-loongson: Add architecture dependency
    (bsc#1012628).
  - rxrpc: Fix some minor issues with bundle tracing (bsc#1012628).
  - blk-throttle: fix lockdep warning of "cgroup_mutex or RCU read
    lock required!" (bsc#1012628).
  - blk-cgroup: bypass blkcg_deactivate_policy after destroying
    (bsc#1012628).
  - bcache: avoid oversize memory allocation by small stripe_size
    (bsc#1012628).
  - bcache: remove redundant assignment to variable cur_idx
    (bsc#1012628).
  - bcache: add code comments for bch_btree_node_get() and
    __bch_btree_node_alloc() (bsc#1012628).
  - bcache: avoid NULL checking to c->root in run_cache_set()
    (bsc#1012628).
  - nbd: fold nbd config initialization into nbd_alloc_config()
    (bsc#1012628).
  - nbd: factor out a helper to get nbd_config without holding
    'config_lock' (bsc#1012628).
  - nbd: fix null-ptr-dereference while accessing 'nbd->config'
    (bsc#1012628).
  - nvme-auth: set explanation code for failure2 msgs (bsc#1012628).
  - nvme: catch errors from nvme_configure_metadata() (bsc#1012628).
  - selftests/bpf: fix bpf_loop_bench for new callback verification
    scheme (bsc#1012628).
  - LoongArch: Add dependency between vmlinuz.efi and vmlinux.efi
    (bsc#1012628).
  - LoongArch: Record pc instead of offset in la_abs relocation
    (bsc#1012628).
  - LoongArch: Silence the boot warning about 'nokaslr'
    (bsc#1012628).
  - LoongArch: Mark {dmw,tlb}_virt_to_page() exports as non-GPL
    (bsc#1012628).
  - LoongArch: Implement constant timer shutdown interface
    (bsc#1012628).
  - platform/x86: intel_telemetry: Fix kernel doc descriptions
    (bsc#1012628).
  - HID: mcp2221: Set driver data before I2C adapter add
    (bsc#1012628).
  - HID: mcp2221: Allow IO to start during probe (bsc#1012628).
  - HID: apple: add Jamesdonkey and A3R to non-apple keyboards list
    (bsc#1012628).
  - HID: glorious: fix Glorious Model I HID report (bsc#1012628).
  - HID: add ALWAYS_POLL quirk for Apple kb (bsc#1012628).
  - nbd: pass nbd_sock to nbd_read_reply() instead of index
    (bsc#1012628).
  - HID: hid-asus: reset the backlight brightness level on resume
    (bsc#1012628).
  - HID: multitouch: Add quirk for HONOR GLO-GXXX touchpad
    (bsc#1012628).
  - nfc: virtual_ncidev: Add variable to check if ndev is running
    (bsc#1012628).
  - scripts/checkstack.pl: match all stack sizes for s390
    (bsc#1012628).
  - asm-generic: qspinlock: fix queued_spin_value_unlocked()
    implementation (bsc#1012628).
  - eventfs: Do not allow NULL parent to eventfs_start_creating()
    (bsc#1012628).
  - net: usb: qmi_wwan: claim interface 4 for ZTE MF290
    (bsc#1012628).
  - smb: client: implement ->query_reparse_point() for SMB1
    (bsc#1012628).
  - smb: client: introduce ->parse_reparse_point() (bsc#1012628).
  - smb: client: set correct file type from NFS reparse points
    (bsc#1012628).
  - arm64: add dependency between vmlinuz.efi and Image
    (bsc#1012628).
  - HID: hid-asus: add const to read-only outgoing usb buffer
    (bsc#1012628).
  - perf: Fix perf_event_validate_size() lockdep splat
    (bsc#1012628).
  - btrfs: do not allow non subvolume root targets for snapshot
    (bsc#1012628).
  - cxl/hdm: Fix dpa translation locking (bsc#1012628).
  - soundwire: stream: fix NULL pointer dereference for multi_link
    (bsc#1012628).
  - ext4: prevent the normalized size from exceeding EXT_MAX_BLOCKS
    (bsc#1012628).
  - Revert "selftests: error out if kernel header files are not
    yet built" (bsc#1012628).
  - arm64: mm: Always make sw-dirty PTEs hw-dirty in pte_modify
    (bsc#1012628).
  - team: Fix use-after-free when an option instance allocation
    fails (bsc#1012628).
  - drm/amdgpu/sdma5.2: add begin/end_use ring callbacks
    (bsc#1012628).
  - drm/mediatek: Fix access violation in mtk_drm_crtc_dma_dev_get
    (bsc#1012628).
  - dmaengine: stm32-dma: avoid bitfield overflow assertion
    (bsc#1012628).
  - dmaengine: fsl-edma: fix DMA channel leak in eDMAv4
    (bsc#1012628).
  - mm/mglru: fix underprotected page cache (bsc#1012628).
  - mm/mglru: try to stop at high watermarks (bsc#1012628).
  - mm/mglru: respect min_ttl_ms with memcgs (bsc#1012628).
  - mm/mglru: reclaim offlined memcgs harder (bsc#1012628).
  - mm/shmem: fix race in shmem_undo_range w/THP (bsc#1012628).
  - kexec: drop dependency on ARCH_SUPPORTS_KEXEC from CRASH_DUMP
    (bsc#1012628).
  - btrfs: free qgroup reserve when ORDERED_IOERR is set
    (bsc#1012628).
  - btrfs: fix qgroup_free_reserved_data int overflow (bsc#1012628).
  - btrfs: don't clear qgroup reserved bit in release_folio
    (bsc#1012628).
  - drm/amdgpu: fix tear down order in amdgpu_vm_pt_free
    (bsc#1012628).
  - drm/edid: also call add modes in EDID connector update fallback
    (bsc#1012628).
  - drm/amd/display: Restore guard against default backlight value <
    1 nit (bsc#1012628).
  - drm/amd/display: Disable PSR-SU on Parade 0803 TCON again
    (bsc#1012628).
  - drm/i915: Fix ADL+ tiled plane stride when the POT stride is
    smaller than the original (bsc#1012628).
  - drm/i915: Fix intel_atomic_setup_scalers() plane_state handling
    (bsc#1012628).
  - drm/i915: Fix remapped stride with CCS on ADL+ (bsc#1012628).
  - smb: client: fix OOB in receive_encrypted_standard()
    (bsc#1012628).
  - smb: client: fix potential OOBs in smb2_parse_contexts()
    (bsc#1012628).
  - smb: client: fix NULL deref in asn1_ber_decoder() (bsc#1012628).
  - smb: client: fix OOB in smb2_query_reparse_point()
    (bsc#1012628).
  - ring-buffer: Fix memory leak of free page (bsc#1012628).
  - tracing: Update snapshot buffer on resize if it is allocated
    (bsc#1012628).
  - ring-buffer: Do not update before stamp when switching
    sub-buffers (bsc#1012628).
  - ring-buffer: Have saved event hold the entire event
    (bsc#1012628).
  - ring-buffer: Fix writing to the buffer with max_data_size
    (bsc#1012628).
  - ring-buffer: Fix a race in rb_time_cmpxchg() for 32 bit archs
    (bsc#1012628).
  - ring-buffer: Do not try to put back write_stamp (bsc#1012628).
  - ring-buffer: Have rb_time_cmpxchg() set the msb counter too
    (bsc#1012628).
  - x86/speculation, objtool: Use absolute relocations for
    annotations (bsc#1012628).
  - RDMA/mlx5: Change the key being sent for MPV device affiliation
    (bsc#1012628).
  - Rename to
    patches.kernel.org/6.6.8-004-efi-x86-Avoid-physical-KASLR-on-older-Dell-syst.patch.
  - commit e7a87d7
* Mon Dec 18 2023 mkubecek@suse.cz
  - Update to 6.7-rc6
  - refresh configs
  - commit 8a25837
* Sat Dec 16 2023 dmueller@suse.com
  - config: update riscv64
  - sync few options with arm
  - config.conf: reenable armv6hl/armv7hl
  - Update config files.
  - commit 4466ed8
* Sat Dec 16 2023 dmueller@suse.com
  - config.conf: Reenable arm64
  - Update config files for arm64. Take settings from x86_64, enable
    everthing that can be enabled as modules.
  - commit 979aa1b
* Thu Dec 14 2023 tiwai@suse.de
  - efi/x86: Avoid physical KASLR on older Dell systems
    (bsc#1217344).
  - commit ee1123d
* Thu Dec 14 2023 jslaby@suse.cz
  - Linux 6.6.7 (bsc#1012628).
  - vdpa/mlx5: preserve CVQ vringh index (bsc#1012628).
  - scsi: sd: Fix sshdr use in sd_suspend_common() (bsc#1012628).
  - hrtimers: Push pending hrtimers away from outgoing CPU earlier
    (bsc#1012628).
  - i2c: designware: Fix corrupted memory seen in the ISR
    (bsc#1012628).
  - i2c: ocores: Move system PM hooks to the NOIRQ phase
    (bsc#1012628).
  - netfilter: ipset: fix race condition between swap/destroy and
    kernel side add/del/test (bsc#1012628).
  - nouveau: use an rwlock for the event lock (bsc#1012628).
  - zstd: Fix array-index-out-of-bounds UBSAN warning (bsc#1012628).
  - tg3: Move the [rt]x_dropped counters to tg3_napi (bsc#1012628).
  - tg3: Increment tx_dropped in tg3_tso_bug() (bsc#1012628).
  - modpost: fix section mismatch message for RELA (bsc#1012628).
  - kconfig: fix memory leak from range properties (bsc#1012628).
  - drm/amdgpu: Do not program VF copy regs in mmhub v1.8 under
    SRIOV (v2) (bsc#1012628).
  - drm/amdgpu: finalizing mem_partitions at the end of GMC v9
    sw_fini (bsc#1012628).
  - drm/amdgpu: correct chunk_ptr to a pointer to chunk
    (bsc#1012628).
  - dm-crypt: start allocating with MAX_ORDER (bsc#1012628).
  - x86: Introduce ia32_enabled() (bsc#1012628).
  - x86/coco: Disable 32-bit emulation by default on TDX and SEV
    (bsc#1012628).
  - x86/entry: Convert INT 0x80 emulation to IDTENTRY (bsc#1012628).
  - x86/entry: Do not allow external 0x80 interrupts (bsc#1012628).
  - x86/tdx: Allow 32-bit emulation by default (bsc#1012628).
  - dt: dt-extract-compatibles: Handle cfile arguments in generator
    function (bsc#1012628).
  - dt: dt-extract-compatibles: Don't follow symlinks when walking
    tree (bsc#1012628).
  - platform/x86: asus-wmi: Move i8042 filter install to shared
    asus-wmi code (bsc#1012628).
  - of: dynamic: Fix of_reconfig_get_state_change() return value
    documentation (bsc#1012628).
  - platform/x86: wmi: Skip blocks with zero instances
    (bsc#1012628).
  - ipv6: fix potential NULL deref in fib6_add() (bsc#1012628).
  - octeontx2-pf: Add missing mutex lock in otx2_get_pauseparam
    (bsc#1012628).
  - octeontx2-af: Check return value of nix_get_nixlf before using
    nixlf (bsc#1012628).
  - bpf: Fix a verifier bug due to incorrect branch offset
    comparison with cpu=v4 (bsc#1012628).
  - hv_netvsc: rndis_filter needs to select NLS (bsc#1012628).
  - r8152: Hold the rtnl_lock for all of reset (bsc#1012628).
  - r8152: Add RTL8152_INACCESSIBLE checks to more loops
    (bsc#1012628).
  - r8152: Add RTL8152_INACCESSIBLE to r8156b_wait_loading_flash()
    (bsc#1012628).
  - r8152: Add RTL8152_INACCESSIBLE to r8153_pre_firmware_1()
    (bsc#1012628).
  - r8152: Add RTL8152_INACCESSIBLE to r8153_aldps_en()
    (bsc#1012628).
  - mlxbf-bootctl: correctly identify secure boot with development
    keys (bsc#1012628).
  - platform/mellanox: Add null pointer checks for devm_kasprintf()
    (bsc#1012628).
  - platform/mellanox: Check
    devm_hwmon_device_register_with_groups() return value
    (bsc#1012628).
  - arcnet: restoring support for multiple Sohard Arcnet cards
    (bsc#1012628).
  - octeontx2-pf: consider both Rx and Tx packet stats for adaptive
    interrupt coalescing (bsc#1012628).
  - net: stmmac: fix FPE events losing (bsc#1012628).
  - xsk: Skip polling event check for unbound socket (bsc#1012628).
  - octeontx2-af: fix a use-after-free in rvu_npa_register_reporters
    (bsc#1012628).
  - ice: Restore fix disabling RX VLAN filtering (bsc#1012628).
  - i40e: Fix unexpected MFS warning message (bsc#1012628).
  - iavf: validate tx_coalesce_usecs even if rx_coalesce_usecs is
    zero (bsc#1012628).
  - net: bnxt: fix a potential use-after-free in bnxt_init_tc
    (bsc#1012628).
  - tcp: fix mid stream window clamp (bsc#1012628).
  - ionic: fix snprintf format length warning (bsc#1012628).
  - ionic: Fix dim work handling in split interrupt mode
    (bsc#1012628).
  - ipv4: ip_gre: Avoid skb_pull() failure in ipgre_xmit()
    (bsc#1012628).
  - net: atlantic: Fix NULL dereference of skb pointer in
    (bsc#1012628).
  - net: hns: fix wrong head when modify the tx feature when
    sending packets (bsc#1012628).
  - net: hns: fix fake link up on xge port (bsc#1012628).
  - octeontx2-af: Adjust Tx credits when MCS external bypass is
    disabled (bsc#1012628).
  - octeontx2-af: Fix mcs sa cam entries size (bsc#1012628).
  - octeontx2-af: Fix mcs stats register address (bsc#1012628).
  - octeontx2-af: Add missing mcs flr handler call (bsc#1012628).
  - octeontx2-af: Update Tx link register range (bsc#1012628).
  - dt-bindings: interrupt-controller: Allow #power-domain-cells
    (bsc#1012628).
  - netfilter: bpf: fix bad registration on nf_defrag (bsc#1012628).
  - netfilter: nf_tables: fix 'exist' matching on bigendian arches
    (bsc#1012628).
  - netfilter: nf_tables: bail out on mismatching dynset and set
    expressions (bsc#1012628).
  - netfilter: nf_tables: validate family when identifying table
    via handle (bsc#1012628).
  - netfilter: xt_owner: Fix for unsafe access of sk->sk_socket
    (bsc#1012628).
  - tcp: do not accept ACK of bytes we never sent (bsc#1012628).
  - net: dsa: mv88e6xxx: Restore USXGMII support for 6393X
    (bsc#1012628).
  - net: tls, update curr on splice as well (bsc#1012628).
  - bpf: sockmap, updating the sg structure should also update curr
    (bsc#1012628).
  - psample: Require 'CAP_NET_ADMIN' when joining "packets" group
    (bsc#1012628).
  - drop_monitor: Require 'CAP_SYS_ADMIN' when joining "events"
    group (bsc#1012628).
  - net: dsa: microchip: provide a list of valid protocols for
    xmit handler (bsc#1012628).
  - net/smc: fix missing byte order conversion in CLC handshake
    (bsc#1012628).
  - drm/amd/amdgpu/amdgpu_doorbell_mgr: Correct misdocumented param
    'doorbell_index' (bsc#1012628).
  - drm/amdkfd: get doorbell's absolute offset based on the db_size
    (bsc#1012628).
  - mm/damon/sysfs: eliminate potential uninitialized variable
    warning (bsc#1012628).
  - tee: optee: Fix supplicant based device enumeration
    (bsc#1012628).
  - RDMA/core: Fix uninit-value access in ib_get_eth_speed()
    (bsc#1012628).
  - RDMA/hns: Fix unnecessary err return when using invalid congest
    control algorithm (bsc#1012628).
  - RDMA/irdma: Do not modify to SQD on error (bsc#1012628).
  - RDMA/irdma: Add wait for suspend on SQD (bsc#1012628).
  - arm64: dts: rockchip: Expand reg size of vdec node for RK3328
    (bsc#1012628).
  - arm64: dts: rockchip: Expand reg size of vdec node for RK3399
    (bsc#1012628).
  - ASoC: fsl_sai: Fix no frame sync clock issue on i.MX8MP
    (bsc#1012628).
  - RDMA/rtrs-srv: Do not unconditionally enable irq (bsc#1012628).
  - RDMA/rtrs-clt: Start hb after path_up (bsc#1012628).
  - RDMA/rtrs-srv: Check return values while processing info request
    (bsc#1012628).
  - RDMA/rtrs-srv: Free srv_mr iu only when always_invalidate is
    true (bsc#1012628).
  - RDMA/rtrs-srv: Destroy path files after making sure no IOs
    in-flight (bsc#1012628).
  - RDMA/rtrs-clt: Fix the max_send_wr setting (bsc#1012628).
  - RDMA/rtrs-clt: Remove the warnings for req in_use check
    (bsc#1012628).
  - RDMA/bnxt_re: Correct module description string (bsc#1012628).
  - RDMA/irdma: Fix UAF in irdma_sc_ccq_get_cqe_info()
    (bsc#1012628).
  - arm64: dt: imx93: tqma9352-mba93xxla: Fix LPUART2 pad config
    (bsc#1012628).
  - ARM: dts: imx6q: skov: fix ethernet clock regression
    (bsc#1012628).
  - ARM: dts: rockchip: Fix sdmmc_pwren's pinmux setting for RK3128
    (bsc#1012628).
  - ARM: dts: bcm2711-rpi-400: Fix delete-node of led_act
    (bsc#1012628).
  - hwmon: (acpi_power_meter) Fix 4.29 MW bug (bsc#1012628).
  - ASoC: codecs: lpass-tx-macro: set active_decimator correct
    default value (bsc#1012628).
  - hwmon: (nzxt-kraken2) Fix error handling path in kraken2_probe()
    (bsc#1012628).
  - firmware: arm_scmi: Extend perf protocol ops to get number of
    domains (bsc#1012628).
  - firmware: arm_scmi: Extend perf protocol ops to get information
    of a domain (bsc#1012628).
  - firmware: arm_scmi: Fix frequency truncation by promoting
    multiplier type (bsc#1012628).
  - firmware: arm_scmi: Simplify error path in
    scmi_dvfs_device_opps_add() (bsc#1012628).
  - firmware: arm_scmi: Fix possible frequency truncation when
    using level indexing mode (bsc#1012628).
  - ASoC: wm_adsp: fix memleak in wm_adsp_buffer_populate
    (bsc#1012628).
  - RDMA/core: Fix umem iterator when PAGE_SIZE is greater then
    HCA pgsz (bsc#1012628).
  - RDMA/irdma: Ensure iWarp QP queue memory is OS paged aligned
    (bsc#1012628).
  - RDMA/irdma: Fix support for 64k pages (bsc#1012628).
  - RDMA/irdma: Avoid free the non-cqp_request scratch
    (bsc#1012628).
  - drm/bridge: tc358768: select CONFIG_VIDEOMODE_HELPERS
    (bsc#1012628).
  - arm64: dts: imx8mp: imx8mq: Add parkmode-disable-ss-quirk on
    DWC3 (bsc#1012628).
  - ARM: dts: imx6ul-pico: Describe the Ethernet PHY clock
    (bsc#1012628).
  - io_uring/kbuf: Fix an NULL vs IS_ERR() bug in
    io_alloc_pbuf_ring() (bsc#1012628).
  - io_uring/kbuf: check for buffer list readiness after NULL check
    (bsc#1012628).
  - tracing: Fix a warning when allocating buffered events fails
    (bsc#1012628).
  - scsi: be2iscsi: Fix a memleak in beiscsi_init_wrb_handle()
    (bsc#1012628).
  - arm64: dts: imx8-ss-lsio: Add PWM interrupts (bsc#1012628).
  - arm64: dts: freescale: imx8-ss-lsio: Fix #pwm-cells
    (bsc#1012628).
  - arm64: dts: imx93: correct mediamix power (bsc#1012628).
  - ARM: imx: Check return value of devm_kasprintf in
    imx_mmdc_perf_init (bsc#1012628).
  - arm64: dts: imx8-apalis: set wifi regulator to always-on
    (bsc#1012628).
  - ARM: dts: imx7: Declare timers compatible with fsl,imx6dl-gpt
    (bsc#1012628).
  - ARM: dts: imx28-xea: Pass the 'model' property (bsc#1012628).
  - arm64: dts: rockchip: Fix eMMC Data Strobe PD on rk3588
    (bsc#1012628).
  - riscv: fix misaligned access handling of C.SWSP and C.SDSP
    (bsc#1012628).
  - riscv: errata: andes: Probe for IOCP only once in boot stage
    (bsc#1012628).
  - md: don't leave 'MD_RECOVERY_FROZEN' in error path of
    md_set_readonly() (bsc#1012628).
  - kernel/Kconfig.kexec: drop select of KEXEC for CRASH_DUMP
    (bsc#1012628).
  - scripts/gdb: fix lx-device-list-bus and lx-device-list-class
    (bsc#1012628).
  - rethook: Use __rcu pointer for rethook::handler (bsc#1012628).
  - ASoC: amd: yc: Fix non-functional mic on ASUS E1504FA
    (bsc#1012628).
  - io_uring/af_unix: disable sending io_uring over sockets
    (bsc#1012628).
  - nvme-pci: Add sleep quirk for Kingston drives (bsc#1012628).
  - io_uring: fix mutex_unlock with unreferenced ctx (bsc#1012628).
  - ALSA: usb-audio: Add Pioneer DJM-450 mixer controls
    (bsc#1012628).
  - ALSA: pcm: fix out-of-bounds in snd_pcm_state_names
    (bsc#1012628).
  - ALSA: hda/realtek: Apply quirk for ASUS UM3504DA (bsc#1012628).
  - ALSA: hda/realtek: fix speakers on XPS 9530 (2023)
    (bsc#1012628).
  - ALSA: hda/realtek: Enable headset on Lenovo M90 Gen5
    (bsc#1012628).
  - ALSA: hda/realtek: add new Framework laptop to quirks
    (bsc#1012628).
  - ALSA: hda/realtek: Add Framework laptop 16 to quirks
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Lenovo Yoga Pro 7
    (bsc#1012628).
  - ring-buffer: Test last update in 32bit version of
    __rb_time_read() (bsc#1012628).
  - ring-buffer: Force absolute timestamp on discard of event
    (bsc#1012628).
  - highmem: fix a memory copy problem in memcpy_from_folio
    (bsc#1012628).
  - nilfs2: fix missing error check for sb_set_blocksize call
    (bsc#1012628).
  - nilfs2: prevent WARNING in nilfs_sufile_set_segment_usage()
    (bsc#1012628).
  - lib/group_cpus.c: avoid acquiring cpu hotplug lock in
    group_cpus_evenly (bsc#1012628).
  - cgroup_freezer: cgroup_freezing: Check if not frozen
    (bsc#1012628).
  - checkstack: fix printed address (bsc#1012628).
  - tracing: Always update snapshot buffer size (bsc#1012628).
  - tracing: Stop current tracer when resizing buffer (bsc#1012628).
  - tracing: Disable snapshot buffer when stopping instance tracers
    (bsc#1012628).
  - tracing: Fix incomplete locking when disabling buffered events
    (bsc#1012628).
  - tracing: Fix a possible race when disabling buffered events
    (bsc#1012628).
  - leds: trigger: netdev: fix RTNL handling to prevent potential
    deadlock (bsc#1012628).
  - nfp: flower: fix for take a mutex lock in soft irq context
    and rcu lock (bsc#1012628).
  - packet: Move reference count in packet_sock to atomic_long_t
    (bsc#1012628).
  - r8169: fix rtl8125b PAUSE frames blasting when suspended
    (bsc#1012628).
  - regmap: fix bogus error on regcache_sync success (bsc#1012628).
  - platform/surface: aggregator: fix recv_buf() return value
    (bsc#1012628).
  - workqueue: Make sure that wq_unbound_cpumask is never empty
    (bsc#1012628).
  - hugetlb: fix null-ptr-deref in hugetlb_vma_lock_write
    (bsc#1012628).
  - drivers/base/cpu: crash data showing should depends on
    KEXEC_CORE (bsc#1012628).
  - mm/memory_hotplug: add missing mem_hotplug_lock (bsc#1012628).
  - mm: fix oops when filemap_map_pmd() without prealloc_pte
    (bsc#1012628).
  - mm/memory_hotplug: fix error handling in add_memory_resource()
    (bsc#1012628).
  - powercap: DTPM: Fix missing cpufreq_cpu_put() calls
    (bsc#1012628).
  - md/raid6: use valid sector values to determine if an I/O should
    wait on the reshape (bsc#1012628).
  - drm/atomic-helpers: Invoke end_fb_access while owning plane
    state (bsc#1012628).
  - drm/i915/mst: Fix .mode_valid_ctx() return values (bsc#1012628).
  - drm/i915/mst: Reject modes that require the bigjoiner
    (bsc#1012628).
  - drm/i915: Skip some timing checks on BXT/GLK DSI transcoders
    (bsc#1012628).
  - arm64: dts: mt7986: change cooling trips (bsc#1012628).
  - arm64: dts: mt7986: define 3W max power to both SFP on BPI-R3
    (bsc#1012628).
  - arm64: dts: mt7986: fix emmc hs400 mode without uboot
    initialization (bsc#1012628).
  - arm64: dts: mediatek: mt7622: fix memory node warning check
    (bsc#1012628).
  - arm64: dts: mediatek: mt8183-kukui-jacuzzi: fix dsi unnecessary
    cells properties (bsc#1012628).
  - arm64: dts: mediatek: cherry: Fix interrupt cells for MT6360
    on I2C7 (bsc#1012628).
  - arm64: dts: mediatek: mt8173-evb: Fix regulator-fixed node names
    (bsc#1012628).
  - arm64: dts: mediatek: mt8195: Fix PM suspend/resume with venc
    clocks (bsc#1012628).
  - arm64: dts: mediatek: mt8183: Fix unit address for scp reserved
    memory (bsc#1012628).
  - arm64: dts: mediatek: mt8183: Move thermal-zones to the root
    node (bsc#1012628).
  - arm64: dts: mediatek: mt8183-evb: Fix unit_address_vs_reg
    warning on ntc (bsc#1012628).
  - arm64: dts: mediatek: mt8186: fix clock names for power domains
    (bsc#1012628).
  - arm64: dts: mediatek: mt8186: Change gpu speedbin nvmem cell
    name (bsc#1012628).
  - coresight: etm4x: Remove bogous __exit annotation for some
    functions (bsc#1012628).
  - coresight: Fix crash when Perf and sysfs modes are used
    concurrently (bsc#1012628).
  - hwtracing: hisi_ptt: Add dummy callback pmu::read()
    (bsc#1012628).
  - coresight: ultrasoc-smb: Fix sleep while close preempt in
    enable_smb (bsc#1012628).
  - coresight: ultrasoc-smb: Config SMB buffer before register sink
    (bsc#1012628).
  - coresight: ultrasoc-smb: Fix uninitialized before use
    buf_hw_base (bsc#1012628).
  - misc: mei: client.c: return negative error code in mei_cl_write
    (bsc#1012628).
  - misc: mei: client.c: fix problem of return '-EOVERFLOW' in
    mei_cl_write (bsc#1012628).
  - perf vendor events arm64: AmpereOne: Add missing
    DefaultMetricgroupName fields (bsc#1012628).
  - LoongArch: BPF: Don't sign extend memory load operand
    (bsc#1012628).
  - LoongArch: BPF: Don't sign extend function return value
    (bsc#1012628).
  - parisc: Reduce size of the bug_table on 64-bit kernel by half
    (bsc#1012628).
  - arm64: dts: mediatek: add missing space before { (bsc#1012628).
  - arm64: dts: mt8183: kukui: Fix underscores in node names
    (bsc#1012628).
  - drm/amdgpu: disable MCBP by default (bsc#1012628).
  - perf: Fix perf_event_validate_size() (bsc#1012628).
  - x86/sev: Fix kernel crash due to late update to read-only
    ghcb_version (bsc#1012628).
  - gpiolib: sysfs: Fix error handling on failed export
    (bsc#1012628).
  - ASoC: ops: add correct range check for limiting volume
    (bsc#1012628).
  - kprobes: consistent rcu api usage for kretprobe holder
    (bsc#1012628).
  - usb: gadget: f_hid: fix report descriptor allocation
    (bsc#1012628).
  - nvmem: Do not expect fixed layouts to grab a layout driver
    (bsc#1012628).
  - serial: 8250_dw: Add ACPI ID for Granite Rapids-D UART
    (bsc#1012628).
  - serial: ma35d1: Validate console index before assignment
    (bsc#1012628).
  - parport: Add support for Brainboxes IX/UC/PX parallel cards
    (bsc#1012628).
  - cifs: Fix non-availability of dedup breaking generic/304
    (bsc#1012628).
  - Revert "xhci: Loosen RPM as default policy to cover for AMD
    xHC 1.1" (bsc#1012628).
  - smb: client: fix potential NULL deref in parse_dfs_referrals()
    (bsc#1012628).
  - usb: typec: class: fix typec_altmode_put_partner to put plugs
    (bsc#1012628).
  - ARM: PL011: Fix DMA support (bsc#1012628).
  - serial: sc16is7xx: address RX timeout interrupt errata
    (bsc#1012628).
  - serial: 8250: 8250_omap: Clear UART_HAS_RHR_IT_DIS bit
    (bsc#1012628).
  - serial: 8250: 8250_omap: Do not start RX DMA on THRI interrupt
    (bsc#1012628).
  - serial: 8250_omap: Add earlycon support for the AM654 UART
    controller (bsc#1012628).
  - devcoredump: Send uevent once devcd is ready (bsc#1012628).
  - x86/CPU/AMD: Check vendor in the AMD microcode callback
    (bsc#1012628).
  - powerpc/ftrace: Fix stack teardown in ftrace_no_trace
    (bsc#1012628).
  - USB: gadget: core: adjust uevent timing on gadget unbind
    (bsc#1012628).
  - cifs: Fix flushing, invalidation and file size with
    copy_file_range() (bsc#1012628).
  - cifs: Fix flushing, invalidation and file size with FICLONE
    (bsc#1012628).
  - MIPS: kernel: Clear FPU states when setting up kernel threads
    (bsc#1012628).
  - KVM: s390/mm: Properly reset no-dat (bsc#1012628).
  - KVM: SVM: Update EFER software model on CR0 trap for SEV-ES
    (bsc#1012628).
  - perf list: Fix JSON segfault by setting the used
    skip_duplicate_pmus callback (bsc#1012628).
  - perf metrics: Avoid segv if default metricgroup isn't set
    (bsc#1012628).
  - MIPS: Loongson64: Reserve vgabios memory on boot (bsc#1012628).
  - MIPS: Loongson64: Handle more memory types passed from firmware
    (bsc#1012628).
  - MIPS: Loongson64: Enable DMA noncoherent support (bsc#1012628).
  - netfilter: nft_set_pipapo: skip inactive elements during set
    walk (bsc#1012628).
  - ASoC: qcom: sc8280xp: Limit speaker digital volumes
    (bsc#1012628).
  - gcc-plugins: randstruct: Update code comment in
    relayout_struct() (bsc#1012628).
  - riscv: Kconfig: Add select ARM_AMBA to SOC_STARFIVE
    (bsc#1012628).
  - drm/amdgpu: Fix refclk reporting for SMU v13.0.6 (bsc#1012628).
  - drm/amdgpu: update retry times for psp BL wait (bsc#1012628).
  - drm/amdgpu: Restrict extended wait to PSP v13.0.6 (bsc#1012628).
  - Update config files.
  - Rename to
    patches.kernel.org/6.6.7-201-parisc-Fix-asm-operand-number-out-of-range-buil.patch.
  - commit 59f1683
* Mon Dec 11 2023 jslaby@suse.cz
  - Linux 6.6.6 (bsc#1012628).
  - Revert "wifi: cfg80211: fix CQM for non-range use"
    (bsc#1012628).
  - commit a946a9f
* Mon Dec 11 2023 mkubecek@suse.cz
  - Update to 6.7-rc5
  - refresh configs
  - commit 91bd996
* Fri Dec 08 2023 petr.pavlu@suse.com
  - kernel-source: Remove config-options.changes (jsc#PED-5021)
    The file doc/config-options.changes was used in the past to document
    kernel config changes. It was introduced in 2010 but haven't received
    any updates on any branch since 2015. The file is renamed by tar-up.sh
    to config-options.changes.txt and shipped in the kernel-source RPM
    package under /usr/share/doc. As its content now only contains outdated
    information, retaining it can lead to confusion for users encountering
    this file.
    Config changes are nowadays described in associated Git commit messages,
    which get automatically collected and are incorporated into changelogs
    of kernel RPM packages.
    Drop then this obsolete file, starting with its packaging logic.
    For branch maintainers: Upon merging this commit on your branch, please
    correspondingly delete the file doc/config-options.changes.
  - commit adedbd2
* Fri Dec 08 2023 petr.pavlu@suse.com
  - doc/README.SUSE: Simplify the list of references (jsc#PED-5021)
    Reduce indentation in the list of references, make the style consistent
    with README.md.
  - commit 70e3c33
* Fri Dec 08 2023 jslaby@suse.cz
  - parisc: Fix asm operand number out of range build error in
    bug table (git-fixes).
  - commit 7675861
* Fri Dec 08 2023 jslaby@suse.cz
  - Linux 6.6.5 (bsc#1012628).
  - cifs: Fix FALLOC_FL_ZERO_RANGE by setting i_size if EOF moved
    (bsc#1012628).
  - cifs: Fix FALLOC_FL_INSERT_RANGE by setting i_size after EOF
    moved (bsc#1012628).
  - smb: client: fix missing mode bits for SMB symlinks
    (bsc#1012628).
  - smb: client: report correct st_size for SMB and NFS symlinks
    (bsc#1012628).
  - ksmbd: fix possible deadlock in smb2_open (bsc#1012628).
  - pinctrl: avoid reload of p state in list iteration
    (bsc#1012628).
  - firewire: core: fix possible memory leak in create_units()
    (bsc#1012628).
  - mmc: sdhci-pci-gli: Disable LPM during initialization
    (bsc#1012628).
  - mmc: sdhci-sprd: Fix vqmmc not shutting down after the card
    was pulled (bsc#1012628).
  - mmc: cqhci: Increase recovery halt timeout (bsc#1012628).
  - mmc: cqhci: Warn of halt or task clear failure (bsc#1012628).
  - mmc: cqhci: Fix task clearing in CQE error recovery
    (bsc#1012628).
  - mmc: block: Retry commands in CQE error recovery (bsc#1012628).
  - mmc: block: Do not lose cache flush during CQE error recovery
    (bsc#1012628).
  - mmc: block: Be sure to wait while busy in CQE error recovery
    (bsc#1012628).
  - ALSA: hda: Disable power-save on KONTRON SinglePC (bsc#1012628).
  - ALSA: hda/realtek: Headset Mic VREF to 100% (bsc#1012628).
  - ALSA: hda/realtek: Add supported ALC257 for ChromeOS
    (bsc#1012628).
  - net: libwx: fix memory leak on msix entry (bsc#1012628).
  - dm-verity: align struct dm_verity_fec_io properly (bsc#1012628).
  - scsi: Change SCSI device boolean fields to single bit flags
    (bsc#1012628).
  - scsi: sd: Fix system start for ATA devices (bsc#1012628).
  - scsi: ufs: core: Clear cmd if abort succeeds in MCQ mode
    (bsc#1012628).
  - drm/amd: Enable PCIe PME from D3 (bsc#1012628).
  - drm/amdgpu: correct the amdgpu runtime dereference usage count
    (bsc#1012628).
  - drm/amdgpu: Force order between a read and write to the same
    address (bsc#1012628).
  - drm/amdgpu: fix memory overflow in the IB test (bsc#1012628).
  - drm/amdgpu: Update EEPROM I2C address for smu v13_0_0
    (bsc#1012628).
  - drm/amd/display: Include udelay when waiting for INBOX0 ACK
    (bsc#1012628).
  - drm/amd/display: Remove min_dst_y_next_start check for Z8
    (bsc#1012628).
  - drm/amd/display: Use DRAM speed from validation for dummy
    p-state (bsc#1012628).
  - drm/amd/display: Update min Z8 residency time to 2100 for DCN314
    (bsc#1012628).
  - drm/amd/display: fix ABM disablement (bsc#1012628).
  - drm/amd/display: force toggle rate wa for first link training
    for a retimer (bsc#1012628).
  - dm verity: initialize fec io before freeing it (bsc#1012628).
  - dm verity: don't perform FEC for failed readahead IO
    (bsc#1012628).
  - nvme: check for valid nvme_identify_ns() before using it
    (bsc#1012628).
  - r8169: fix deadlock on RTL8125 in jumbo mtu mode (bsc#1012628).
  - ACPI: video: Use acpi_video_device for cooling-dev driver data
    (bsc#1012628).
  - io_uring: don't allow discontig pages for IORING_SETUP_NO_MMAP
    (bsc#1012628).
  - iommu/vt-d: Fix incorrect cache invalidation for mm notification
    (bsc#1012628).
  - io_uring: free io_buffer_list entries via RCU (bsc#1012628).
  - nouveau: find the smallest page allocation to cover a buffer
    alloc (bsc#1012628).
  - powercap: DTPM: Fix unneeded conversions to micro-Watts
    (bsc#1012628).
  - cpufreq/amd-pstate: Fix the return value of
    amd_pstate_fast_switch() (bsc#1012628).
  - dma-buf: fix check in dma_resv_add_fence (bsc#1012628).
  - io_uring: don't guard IORING_OFF_PBUF_RING with SETUP_NO_MMAP
    (bsc#1012628).
  - iommu: Avoid more races around device probe (bsc#1012628).
  - bcache: revert replacing IS_ERR_OR_NULL with IS_ERR
    (bsc#1012628).
  - ext2: Fix ki_pos update for DIO buffered-io fallback case
    (bsc#1012628).
  - iommu/vt-d: Add MTL to quirk list to skip TE disabling
    (bsc#1012628).
  - KVM: PPC: Book3S HV: Fix KVM_RUN clobbering FP/VEC user
    registers (bsc#1012628).
  - powerpc: Don't clobber f0/vs0 during fp|altivec register save
    (bsc#1012628).
  - parisc: Mark ex_table entries 32-bit aligned in assembly.h
    (bsc#1012628).
  - parisc: Mark ex_table entries 32-bit aligned in uaccess.h
    (bsc#1012628).
  - parisc: Use natural CPU alignment for bug_table (bsc#1012628).
  - parisc: Mark lock_aligned variables 16-byte aligned on SMP
    (bsc#1012628).
  - parisc: Drop the HP-UX ENOSYM and EREMOTERELEASE error codes
    (bsc#1012628).
  - parisc: Mark jump_table naturally aligned (bsc#1012628).
  - parisc: Ensure 32-bit alignment on parisc unwind section
    (bsc#1012628).
  - parisc: Mark altinstructions read-only and 32-bit aligned
    (bsc#1012628).
  - btrfs: add dmesg output for first mount and last unmount of
    a filesystem (bsc#1012628).
  - btrfs: ref-verify: fix memory leaks in btrfs_ref_tree_mod()
    (bsc#1012628).
  - btrfs: fix off-by-one when checking chunk map includes logical
    address (bsc#1012628).
  - btrfs: send: ensure send_fd is writable (bsc#1012628).
  - btrfs: make error messages more clear when getting a chunk map
    (bsc#1012628).
  - btrfs: free the allocated memory if btrfs_alloc_page_array()
    fails (bsc#1012628).
  - btrfs: fix 64bit compat send ioctl arguments not initializing
    version member (bsc#1012628).
  - io_uring: enable io_mem_alloc/free to be used in other parts
    (bsc#1012628).
  - io_uring/kbuf: defer release of mapped buffer rings
    (bsc#1012628).
  - io_uring/kbuf: recycle freed mapped buffer ring entries
    (bsc#1012628).
  - wifi: cfg80211: fix CQM for non-range use (bsc#1012628).
  - pinctrl: stm32: Add check for devm_kcalloc (bsc#1012628).
  - pinctrl: stm32: fix array read out of bound (bsc#1012628).
  - media: v4l2-subdev: Fix a 64bit bug (bsc#1012628).
  - netdevsim: Don't accept device bound programs (bsc#1012628).
  - net: rswitch: Fix type of ret in rswitch_start_xmit()
    (bsc#1012628).
  - net: rswitch: Fix return value in rswitch_start_xmit()
    (bsc#1012628).
  - net: rswitch: Fix missing dev_kfree_skb_any() in error path
    (bsc#1012628).
  - ipv4: igmp: fix refcnt uaf issue when receiving igmp query
    packet (bsc#1012628).
  - wifi: iwlwifi: mvm: fix an error code in iwl_mvm_mld_add_sta()
    (bsc#1012628).
  - wifi: mac80211: do not pass AP_VLAN vif pointer to drivers
    during flush (bsc#1012628).
  - net: dsa: mv88e6xxx: fix marvell 6350 switch probing
    (bsc#1012628).
  - net: dsa: mv88e6xxx: fix marvell 6350 probe crash (bsc#1012628).
  - dpaa2-eth: increase the needed headroom to account for alignment
    (bsc#1012628).
  - dpaa2-eth: recycle the RX buffer only after all processing done
    (bsc#1012628).
  - bpf: Add missed allocation hint for bpf_mem_cache_alloc_flags()
    (bsc#1012628).
  - uapi: propagate __struct_group() attributes to the container
    union (bsc#1012628).
  - selftests/net: ipsec: fix constant out of range (bsc#1012628).
  - selftests/net: fix a char signedness issue (bsc#1012628).
  - selftests/net: unix: fix unused variable compiler warning
    (bsc#1012628).
  - selftests/net: mptcp: fix uninitialized variable warnings
    (bsc#1012628).
  - octeontx2-af: Fix possible buffer overflow (bsc#1012628).
  - net: stmmac: xgmac: Disable FPE MMC interrupts (bsc#1012628).
  - octeontx2-pf: Fix adding mbox work queue entry when num_vfs >
    64 (bsc#1012628).
  - octeontx2-pf: Restore TC ingress police rules when interface
    is up (bsc#1012628).
  - neighbour: Fix __randomize_layout crash in struct neighbour
    (bsc#1012628).
  - efi/unaccepted: Fix off-by-one when checking for overlapping
    ranges (bsc#1012628).
  - r8169: prevent potential deadlock in rtl8169_close
    (bsc#1012628).
  - ravb: Fix races between ravb_tx_timeout_work() and net related
    ops (bsc#1012628).
  - ethtool: don't propagate EOPNOTSUPP from dumps (bsc#1012628).
  - bpf, sockmap: af_unix stream sockets need to hold ref for pair
    sock (bsc#1012628).
  - ice: Fix VF Reset paths when interface in a failed over
    aggregate (bsc#1012628).
  - net: ravb: Check return value of reset_control_deassert()
    (bsc#1012628).
  - net: ravb: Use pm_runtime_resume_and_get() (bsc#1012628).
  - net: ravb: Make write access to CXR35 first before accessing
    other EMAC registers (bsc#1012628).
  - net: ravb: Start TX queues after HW initialization succeeded
    (bsc#1012628).
  - net: ravb: Stop DMA in case of failures on ravb_open()
    (bsc#1012628).
  - net: ravb: Keep reverse order of operations in ravb_remove()
    (bsc#1012628).
  - powerpc/pseries/iommu: enable_ddw incorrectly returns direct
    mapping for SR-IOV device (bsc#1012628).
  - s390/cmma: fix handling of swapper_pg_dir and invalid_pg_dir
    (bsc#1012628).
  - drm/amd/display: Refactor edp power control (bsc#1012628).
  - drm/amd/display: Remove power sequencing check (bsc#1012628).
  - cpufreq: imx6q: Don't disable 792 Mhz OPP unnecessarily
    (bsc#1012628).
  - iommu/vt-d: Omit devTLB invalidation requests when TES=0
    (bsc#1012628).
  - iommu/vt-d: Disable PCI ATS in legacy passthrough mode
    (bsc#1012628).
  - iommu/vt-d: Make context clearing consistent with context
    mapping (bsc#1012628).
  - drm/i915/gsc: Mark internal GSC engine with reserved uabi class
    (bsc#1012628).
  - drm/panel: starry-2081101qfh032011-53g: Fine tune the panel
    power sequence (bsc#1012628).
  - drm/panel: nt36523: fix return value check in nt36523_probe()
    (bsc#1012628).
  - cpufreq/amd-pstate: Fix scaling_min_freq and scaling_max_freq
    update (bsc#1012628).
  - cpufreq/amd-pstate: Only print supported EPP values for
    performance governor (bsc#1012628).
  - drm/amd/pm: fix a memleak in aldebaran_tables_init
    (bsc#1012628).
  - iommu: Fix printk arg in of_iommu_get_resv_regions()
    (bsc#1012628).
  - drm/amd/display: refactor ILR to make it work (bsc#1012628).
  - drm/amd/display: Reduce default backlight min from 5 nits to
    1 nits (bsc#1012628).
  - drm/amd/display: Simplify brightness initialization
    (bsc#1012628).
  - drm/amd/display: Fix MPCC 1DLUT programming (bsc#1012628).
  - vfio/pds: Fix mutex lock->magic != lock warning (bsc#1012628).
  - vfio/pds: Fix possible sleep while in atomic context
    (bsc#1012628).
  - x86/xen: fix percpu vcpu_info allocation (bsc#1012628).
  - vfio: Drop vfio_file_iommu_group() stub to fudge around a KVM
    wart (bsc#1012628).
  - Rename to
    patches.kernel.org/6.6.5-001-leds-class-Don-t-expose-color-sysfs-entry.patch.
  - Rename to
    patches.kernel.org/6.6.5-017-drm-i915-Also-check-for-VGA-converter-in-eDP-pr.patch.
  - commit 30a850b
* Thu Dec 07 2023 petr.pavlu@suse.com
  - doc/README.SUSE: Add how to update the config for module signing
    (jsc#PED-5021)
    Configuration files for SUSE kernels include settings to integrate with
    signing support provided by the Open Build Service. This creates
    problems if someone tries to use such a configuration file to build
    a "standalone" kernel as described in doc/README.SUSE:
    * Default configuration files available in the kernel-source repository
    unset CONFIG_MODULE_SIG_ALL to leave module signing to
    pesign-obs-integration. In case of a "standalone" build, this
    integration is not available and the modules don't get signed.
    * The kernel spec file overrides CONFIG_MODULE_SIG_KEY to
    ".kernel_signing_key.pem" which is a file populated by certificates
    provided by OBS but otherwise not available. The value ends up in
    /boot/config-$VERSION-$RELEASE-$FLAVOR and /proc/config.gz. If someone
    decides to use one of these files as their base configuration then the
    build fails with an error because the specified module signing key is
    missing.
    Add information on how to enable module signing and where to find the
    relevant upstream documentation.
  - commit a699dc3
* Wed Dec 06 2023 petr.pavlu@suse.com
  - doc/README.SUSE: Remove how to build modules using kernel-source
    (jsc#PED-5021)
    Remove the first method how to build kernel modules from the readme. It
    describes a process consisting of the kernel-source installation,
    configuring this kernel and then performing an ad-hoc module build.
    This method is not ideal as no modversion data is involved in the
    process. It results in a module with no symbol CRCs which can be wrongly
    loaded on an incompatible kernel.
    Removing the method also simplifies the readme because only two main
    methods how to build the modules are then described, either doing an
    ad-hoc build using kernel-devel, or creating a proper Kernel Module
    Package.
  - commit 9285bb8
* Tue Dec 05 2023 jslaby@suse.cz
  - Refresh
    patches.suse/drm-i915-Also-check-for-VGA-converter-in-eDP-probe.patch.
  - Refresh
    patches.suse/leds-class-Don-t-expose-color-sysfs-entry.patch.
    Update upstream status and move to sorted section.
  - commit a1661c2
* Sun Dec 03 2023 mkubecek@suse.cz
  - Update to 6.7-rc4
  - update configs
    - BCACHEFS_ERASURE_CODING=n
  - commit 900d9a2
* Sun Dec 03 2023 jslaby@suse.cz
  - Linux 6.6.4 (bsc#1012628).
  - USB: dwc3: qcom: fix wakeup after probe deferral (bsc#1012628).
  - USB: dwc3: qcom: fix software node leak on probe errors
    (bsc#1012628).
  - usb: dwc3: set the dma max_seg_size (bsc#1012628).
  - usb: dwc3: Fix default mode initialization (bsc#1012628).
  - USB: dwc2: write HCINT with INTMASK applied (bsc#1012628).
  - usb: typec: tcpm: Skip hard reset when in error recovery
    (bsc#1012628).
  - usb: typec: tcpm: Fix sink caps op current check (bsc#1012628).
  - USB: serial: option: don't claim interface 4 for ZTE MF290
    (bsc#1012628).
  - USB: serial: option: fix FM101R-GL defines (bsc#1012628).
  - USB: serial: option: add Fibocom L7xx modules (bsc#1012628).
  - usb: cdnsp: Fix deadlock issue during using NCM gadget
    (bsc#1012628).
  - usb: config: fix iteration issue in 'usb_get_bos_descriptor()'
    (bsc#1012628).
  - USB: xhci-plat: fix legacy PHY double init (bsc#1012628).
  - bcache: fixup lock c->root error (bsc#1012628).
  - bcache: fixup init dirty data errors (bsc#1012628).
  - bcache: prevent potential division by zero error (bsc#1012628).
  - bcache: check return value from btree_node_alloc_replacement()
    (bsc#1012628).
  - veth: Use tstats per-CPU traffic counters (bsc#1012628).
  - dm-delay: fix a race between delay_presuspend and delay_bio
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirks for ASUS 2024 Zenbooks
    (bsc#1012628).
  - ALSA: hda: ASUS UM5302LA: Added quirks for cs35L41/10431A83
    on i2c bus (bsc#1012628).
  - cifs: fix leak of iface for primary channel (bsc#1012628).
  - cifs: account for primary channel in the interface list
    (bsc#1012628).
  - cifs: distribute channels across interfaces based on speed
    (bsc#1012628).
  - Revert "phy: realtek: usb: Add driver for the Realtek SoC USB
    2.0 PHY" (bsc#1012628).
  - Revert "phy: realtek: usb: Add driver for the Realtek SoC USB
    3.0 PHY" (bsc#1012628).
  - Revert "usb: phy: add usb phy notify port status API"
    (bsc#1012628).
  - hv_netvsc: Mark VF as slave before exposing it to user-mode
    (bsc#1012628).
  - hv_netvsc: Fix race of register_netdevice_notifier and VF
    register (bsc#1012628).
  - hv_netvsc: fix race of netvsc and VF register_netdevice
    (bsc#1012628).
  - platform/x86: ideapad-laptop: Set max_brightness before using it
    (bsc#1012628).
  - platform/x86/amd/pmc: adjust getting DRAM size behavior
    (bsc#1012628).
  - USB: serial: option: add Luat Air72*U series products
    (bsc#1012628).
  - usb: misc: onboard-hub: add support for Microchip USB5744
    (bsc#1012628).
  - dt-bindings: usb: microchip,usb5744: Add second supply
    (bsc#1012628).
  - platform/x86: hp-bioscfg: Fix error handling in
    hp_add_other_attributes() (bsc#1012628).
  - platform/x86: hp-bioscfg: move mutex_lock() down in
    hp_add_other_attributes() (bsc#1012628).
  - platform/x86: hp-bioscfg: Simplify return check in
    hp_add_other_attributes() (bsc#1012628).
  - s390/dasd: protect device queue against concurrent access
    (bsc#1012628).
  - io_uring/fs: consider link->flags when getting path for LINKAT
    (bsc#1012628).
  - bcache: fixup multi-threaded bch_sectors_dirty_init() wake-up
    race (bsc#1012628).
  - md: fix bi_status reporting in md_end_clone_io (bsc#1012628).
  - bcache: replace a mistaken IS_ERR() by IS_ERR_OR_NULL() in
    btree_gc_coalesce() (bsc#1012628).
  - io_uring: fix off-by one bvec index (bsc#1012628).
  - tls: fix NULL deref on tls_sw_splice_eof() with empty record
    (bsc#1012628).
  - swiotlb-xen: provide the "max_mapping_size" method
    (bsc#1012628).
  - ACPI: PM: Add acpi_device_fix_up_power_children() function
    (bsc#1012628).
  - ACPI: resource: Skip IRQ override on ASUS ExpertBook B1402CVA
    (bsc#1012628).
  - ACPI: processor_idle: use raw_safe_halt() in
    acpi_idle_play_dead() (bsc#1012628).
  - ACPI: video: Use acpi_device_fix_up_power_children()
    (bsc#1012628).
  - thunderbolt: Set lane bonding bit only for downstream port
    (bsc#1012628).
  - drm/ast: Disconnect BMC if physical connector is connected
    (bsc#1012628).
  - drm/msm/dpu: Add missing safe_lut_tbl in sc8280xp catalog
    (bsc#1012628).
  - kselftest/arm64: Fix output formatting for za-fork
    (bsc#1012628).
  - prctl: Disable prctl(PR_SET_MDWE) on parisc (bsc#1012628).
  - mm: add a NO_INHERIT flag to the PR_SET_MDWE prctl
    (bsc#1012628).
  - lockdep: Fix block chain corruption (bsc#1012628).
  - USB: dwc3: qcom: fix ACPI platform device leak (bsc#1012628).
  - USB: dwc3: qcom: fix resource leaks on probe deferral
    (bsc#1012628).
  - nvmet: nul-terminate the NQNs passed in the connect command
    (bsc#1012628).
  - nvme: blank out authentication fabrics options if not configured
    (bsc#1012628).
  - afs: Fix file locking on R/O volumes to operate in local mode
    (bsc#1012628).
  - afs: Return ENOENT if no cell DNS record can be found
    (bsc#1012628).
  - net: ipa: fix one GSI register field width (bsc#1012628).
  - net: axienet: Fix check for partial TX checksum (bsc#1012628).
  - vsock/test: fix SEQPACKET message bounds test (bsc#1012628).
  - i40e: Fix adding unsupported cloud filters (bsc#1012628).
  - amd-xgbe: propagate the correct speed and duplex status
    (bsc#1012628).
  - amd-xgbe: handle the corner-case during tx completion
    (bsc#1012628).
  - amd-xgbe: handle corner-case during sfp hotplug (bsc#1012628).
  - net: veth: fix ethtool stats reporting (bsc#1012628).
  - octeontx2-pf: Fix ntuple rule creation to direct packet to VF
    with higher Rx queue than its PF (bsc#1012628).
  - arm/xen: fix xen_vcpu_info allocation alignment (bsc#1012628).
  - arm64: mm: Fix "rodata=on" when
    CONFIG_RODATA_FULL_DEFAULT_ENABLED=y (bsc#1012628).
  - s390/ipl: add missing IPL_TYPE_ECKD_DUMP case to ipl_init()
    (bsc#1012628).
  - net/smc: avoid data corruption caused by decline (bsc#1012628).
  - net: usb: ax88179_178a: fix failed operations during
    ax88179_reset (bsc#1012628).
  - drm/panel: boe-tv101wum-nl6: Fine tune Himax83102-j02 panel
    HFP and HBP (bsc#1012628).
  - ipv4: Correct/silence an endian warning in __ip_do_redirect
    (bsc#1012628).
  - HID: fix HID device resource race between HID core and debugging
    support (bsc#1012628).
  - accel/ivpu/37xx: Fix hangs related to MMIO reset (bsc#1012628).
  - accel/ivpu: Do not initialize parameters on power up
    (bsc#1012628).
  - bpf: Fix dev's rx stats for bpf_redirect_peer traffic
    (bsc#1012628).
  - net: Move {l,t,d}stats allocation to core and convert veth &
    vrf (bsc#1012628).
  - net, vrf: Move dstats structure to core (bsc#1012628).
  - PM: tools: Fix sleepgraph syntax error (bsc#1012628).
  - drm/rockchip: vop: Fix color for RGB888/BGR888 format on VOP
    full (bsc#1012628).
  - libfs: getdents() should return 0 after reaching EOD
    (bsc#1012628).
  - block: update the stable_writes flag in bdev_add (bsc#1012628).
  - filemap: add a per-mapping stable writes flag (bsc#1012628).
  - drm/i915: do not clean GT table on error path (bsc#1012628).
  - ata: pata_isapnp: Add missing error check for devm_ioport_map()
    (bsc#1012628).
  - octeontx2-pf: Fix memory leak during interface down
    (bsc#1012628).
  - wireguard: use DEV_STATS_INC() (bsc#1012628).
  - net: wangxun: fix kernel panic due to null pointer
    (bsc#1012628).
  - drm/panel: simple: Fix Innolux G101ICE-L01 timings
    (bsc#1012628).
  - drm/panel: simple: Fix Innolux G101ICE-L01 bus flags
    (bsc#1012628).
  - fs: Pass AT_GETATTR_NOSEC flag to getattr interface function
    (bsc#1012628).
  - drm/panel: auo,b101uan08.3: Fine tune the panel power sequence
    (bsc#1012628).
  - blk-cgroup: avoid to warn !rcu_read_lock_held() in blkg_lookup()
    (bsc#1012628).
  - afs: Make error on cell lookup failure consistent with OpenAFS
    (bsc#1012628).
  - afs: Fix afs_server_list to be cleaned up with RCU
    (bsc#1012628).
  - rxrpc: Defer the response to a PING ACK until we've parsed it
    (bsc#1012628).
  - rxrpc: Fix RTT determination to use any ACK as a source
    (bsc#1012628).
  - s390/ism: ism driver implies smc protocol (bsc#1012628).
  - drm/msm/dsi: use the correct VREG_CTRL_1 value for 4nm cphy
    (bsc#1012628).
  - sched/fair: Fix the decision for load balance (bsc#1012628).
  - sched/eevdf: Fix vruntime adjustment on reweight (bsc#1012628).
  - hv/hv_kvp_daemon: Some small fixes for handling NM keyfiles
    (bsc#1012628).
  - irqchip/gic-v3-its: Flush ITS tables correctly in non-coherent
    GIC designs (bsc#1012628).
  - NFSD: Fix checksum mismatches in the duplicate reply cache
    (bsc#1012628).
  - NFSD: Fix "start of NFS reply" pointer passed to
    nfsd_cache_update() (bsc#1012628).
  - commit eafb2e8
* Fri Dec 01 2023 msuchanek@suse.de
  - kernel-binary: suse-module-tools is also required when installed
    Requires(pre) adds dependency for the specific sciptlet.
    However, suse-module-tools also ships modprobe.d files which may be
    needed at posttrans time or any time the kernel is on the system for
    generating ramdisk. Add plain Requires as well.
  - commit 8c12816
* Fri Dec 01 2023 msuchanek@suse.de
  - rpm: Use run_if_exists for all external scriptlets
    With that the scriptlets do not need to be installed for build.
  - commit 25edd65
* Thu Nov 30 2023 jslaby@suse.cz
  - README.SUSE: fix patches.addon use
    It's series, not series.conf in there.
    And make it more precise on when the patches are applied.
  - commit cb8969c
* Wed Nov 29 2023 bwiedemann@suse.de
  - Do not store build host name in initrd
    Without this patch, kernel-obs-build stored the build host name
    in its .build.initrd.kvm
    This patch allows for reproducible builds of kernel-obs-build and thus
    avoids re-publishing the kernel-obs-build.rpm when nothing changed.
    Note that this has no influence on the /etc/hosts file
    that is used during other OBS builds.
    https://bugzilla.opensuse.org/show_bug.cgi?id=1084909
  - commit fd3a75e
* Wed Nov 29 2023 tiwai@suse.de
  - Update ath11k hibernation patches from the latest code (bsc#1207948)
  - commit 9b910a2
* Wed Nov 29 2023 jslaby@suse.cz
  - Linux 6.6.3 (bsc#1012628).
  - locking/ww_mutex/test: Fix potential workqueue corruption
    (bsc#1012628).
  - btrfs: abort transaction on generation mismatch when marking
    eb as dirty (bsc#1012628).
  - lib/generic-radix-tree.c: Don't overflow in peek()
    (bsc#1012628).
  - x86/retpoline: Make sure there are no unconverted return thunks
    due to KCSAN (bsc#1012628).
  - perf/core: Bail out early if the request AUX area is out of
    bound (bsc#1012628).
  - srcu: Fix srcu_struct node grpmask overflow on 64-bit systems
    (bsc#1012628).
  - selftests/lkdtm: Disable CONFIG_UBSAN_TRAP in test config
    (bsc#1012628).
  - clocksource/drivers/timer-imx-gpt: Fix potential memory leak
    (bsc#1012628).
  - clocksource/drivers/timer-atmel-tcb: Fix initialization on
    SAM9 hardware (bsc#1012628).
  - srcu: Only accelerate on enqueue time (bsc#1012628).
  - smp,csd: Throw an error if a CSD lock is stuck for too long
    (bsc#1012628).
  - cpu/hotplug: Don't offline the last non-isolated CPU
    (bsc#1012628).
  - workqueue: Provide one lock class key per work_on_cpu() callsite
    (bsc#1012628).
  - x86/mm: Drop the 4 MB restriction on minimal NUMA node memory
    size (bsc#1012628).
  - wifi: plfxlc: fix clang-specific fortify warning (bsc#1012628).
  - wifi: ath12k: Ignore fragments from uninitialized peer in dp
    (bsc#1012628).
  - wifi: mac80211_hwsim: fix clang-specific fortify warning
    (bsc#1012628).
  - wifi: mac80211: don't return unset power in
    ieee80211_get_tx_power() (bsc#1012628).
  - atl1c: Work around the DMA RX overflow issue (bsc#1012628).
  - bpf: Detect IP == ksym.end as part of BPF program (bsc#1012628).
  - wifi: ath9k: fix clang-specific fortify warnings (bsc#1012628).
  - wifi: ath12k: fix possible out-of-bound read in
    ath12k_htt_pull_ppdu_stats() (bsc#1012628).
  - wifi: ath10k: fix clang-specific fortify warning (bsc#1012628).
  - wifi: ath12k: fix possible out-of-bound write in
    ath12k_wmi_ext_hal_reg_caps() (bsc#1012628).
  - ACPI: APEI: Fix AER info corruption when error status data
    has multiple sections (bsc#1012628).
  - net: sfp: add quirk for Fiberstone GPON-ONU-34-20BI
    (bsc#1012628).
  - wifi: mt76: mt7921e: Support MT7992 IP in Xiaomi Redmibook 15
    Pro (2023) (bsc#1012628).
  - wifi: mt76: fix clang-specific fortify warnings (bsc#1012628).
  - net: annotate data-races around sk->sk_tx_queue_mapping
    (bsc#1012628).
  - net: annotate data-races around sk->sk_dst_pending_confirm
    (bsc#1012628).
  - wifi: ath12k: mhi: fix potential memory leak in
    ath12k_mhi_register() (bsc#1012628).
  - wifi: ath10k: Don't touch the CE interrupt registers after
    power up (bsc#1012628).
  - net: sfp: add quirk for FS's 2.5G copper SFP (bsc#1012628).
  - vsock: read from socket's error queue (bsc#1012628).
  - bpf: Ensure proper register state printing for cond jumps
    (bsc#1012628).
  - wifi: iwlwifi: mvm: fix size check for fw_link_id (bsc#1012628).
  - Bluetooth: btusb: Add date->evt_skb is NULL check (bsc#1012628).
  - Bluetooth: Fix double free in hci_conn_cleanup (bsc#1012628).
  - ACPI: EC: Add quirk for HP 250 G7 Notebook PC (bsc#1012628).
  - tsnep: Fix tsnep_request_irq() format-overflow warning
    (bsc#1012628).
  - gpiolib: acpi: Add a ignore interrupt quirk for Peaq C1010
    (bsc#1012628).
  - platform/chrome: kunit: initialize lock for fake ec_dev
    (bsc#1012628).
  - of: address: Fix address translation when address-size is
    greater than 2 (bsc#1012628).
  - platform/x86: thinkpad_acpi: Add battery quirk for Thinkpad
    X120e (bsc#1012628).
  - drm/gma500: Fix call trace when psb_gem_mm_init() fails
    (bsc#1012628).
  - drm/amdkfd: ratelimited SQ interrupt messages (bsc#1012628).
  - drm/komeda: drop all currently held locks if deadlock happens
    (bsc#1012628).
  - drm/amd/display: Blank phantom OTG before enabling
    (bsc#1012628).
  - drm/amd/display: Don't lock phantom pipe on disabling
    (bsc#1012628).
  - drm/amd/display: add seamless pipe topology transition check
    (bsc#1012628).
  - drm/edid: Fixup h/vsync_end instead of h/vtotal (bsc#1012628).
  - md: don't rely on 'mddev->pers' to be set in mddev_suspend()
    (bsc#1012628).
  - drm/amdgpu: not to save bo in the case of RAS err_event_athub
    (bsc#1012628).
  - drm/amdkfd: Fix a race condition of vram buffer unref in svm
    code (bsc#1012628).
  - drm/amdgpu: update retry times for psp vmbx wait (bsc#1012628).
  - drm/amd: Update `update_pcie_parameters` functions to use
    uint8_t arguments (bsc#1012628).
  - drm/amd/display: use full update for clip size increase of
    large plane source (bsc#1012628).
  - string.h: add array-wrappers for (v)memdup_user() (bsc#1012628).
  - kernel: kexec: copy user-array safely (bsc#1012628).
  - kernel: watch_queue: copy user-array safely (bsc#1012628).
  - drm_lease.c: copy user-array safely (bsc#1012628).
  - drm: vmwgfx_surface.c: copy user-array safely (bsc#1012628).
  - drm/msm/dp: skip validity check for DP CTS EDID checksum
    (bsc#1012628).
  - drm/amd: Fix UBSAN array-index-out-of-bounds for SMU7
    (bsc#1012628).
  - drm/amd: Fix UBSAN array-index-out-of-bounds for Polaris and
    Tonga (bsc#1012628).
  - drm/amdgpu: Fix potential null pointer derefernce (bsc#1012628).
  - drm/panel: fix a possible null pointer dereference
    (bsc#1012628).
  - drm/panel/panel-tpo-tpg110: fix a possible null pointer
    dereference (bsc#1012628).
  - drm/radeon: fix a possible null pointer dereference
    (bsc#1012628).
  - drm/amdgpu/vkms: fix a possible null pointer dereference
    (bsc#1012628).
  - drm/panel: st7703: Pick different reset sequence (bsc#1012628).
  - drm/amdkfd: Fix shift out-of-bounds issue (bsc#1012628).
  - drm/amdgpu: Fix a null pointer access when the smc_rreg pointer
    is NULL (bsc#1012628).
  - drm/amd: Disable PP_PCIE_DPM_MASK when dynamic speed switching
    not supported (bsc#1012628).
  - drm/amd/display: fix num_ways overflow error (bsc#1012628).
  - drm/amd: check num of link levels when update pcie param
    (bsc#1012628).
  - soc: qcom: pmic: Fix resource leaks in a
    device_for_each_child_node() loop (bsc#1012628).
  - arm64: dts: rockchip: Add NanoPC T6 PCIe e-key support
    (bsc#1012628).
  - arm64: dts: ls208xa: use a pseudo-bus to constrain usb dma size
    (bsc#1012628).
  - selftests/efivarfs: create-read: fix a resource leak
    (bsc#1012628).
  - ASoC: mediatek: mt8188-mt6359: support dynamic pinctrl
    (bsc#1012628).
  - ASoC: soc-card: Add storage for PCI SSID (bsc#1012628).
  - ASoC: SOF: Pass PCI SSID to machine driver (bsc#1012628).
  - ASoC: Intel: sof_sdw: Copy PCI SSID to struct snd_soc_card
    (bsc#1012628).
  - ASoC: cs35l56: Use PCI SSID as the firmware UID (bsc#1012628).
  - crypto: pcrypt - Fix hungtask for PADATA_RESET (bsc#1012628).
  - ASoC: SOF: ipc4: handle EXCEPTION_CAUGHT notification from
    firmware (bsc#1012628).
  - RDMA/hfi1: Use FIELD_GET() to extract Link Width (bsc#1012628).
  - scsi: hisi_sas: Set debugfs_dir pointer to NULL after removing
    debugfs (bsc#1012628).
  - scsi: ibmvfc: Remove BUG_ON in the case of an empty event pool
    (bsc#1012628).
  - fs/jfs: Add check for negative db_l2nbperpage (bsc#1012628).
  - fs/jfs: Add validity check for db_maxag and db_agpref
    (bsc#1012628).
  - jfs: fix array-index-out-of-bounds in dbFindLeaf (bsc#1012628).
  - jfs: fix array-index-out-of-bounds in diAlloc (bsc#1012628).
  - HID: lenovo: Detect quirk-free fw on cptkbd and stop applying
    workaround (bsc#1012628).
  - ARM: 9320/1: fix stack depot IRQ stack filter (bsc#1012628).
  - ALSA: hda: Fix possible null-ptr-deref when assigning a stream
    (bsc#1012628).
  - gpiolib: of: Add quirk for mt2701-cs42448 ASoC sound
    (bsc#1012628).
  - PCI: tegra194: Use FIELD_GET()/FIELD_PREP() with Link Width
    fields (bsc#1012628).
  - PCI: mvebu: Use FIELD_PREP() with Link Width (bsc#1012628).
  - atm: iphase: Do PCI error checks on own line (bsc#1012628).
  - PCI: Do error check on own line to split long "if" conditions
    (bsc#1012628).
  - scsi: libfc: Fix potential NULL pointer dereference in
    fc_lport_ptp_setup() (bsc#1012628).
  - PCI: Use FIELD_GET() to extract Link Width (bsc#1012628).
  - PCI: Extract ATS disabling to a helper function (bsc#1012628).
  - PCI: Disable ATS for specific Intel IPU E2000 devices
    (bsc#1012628).
  - PCI: dwc: Add dw_pcie_link_set_max_link_width() (bsc#1012628).
  - PCI: dwc: Add missing PCI_EXP_LNKCAP_MLW handling (bsc#1012628).
  - misc: pci_endpoint_test: Add Device ID for R-Car S4-8 PCIe
    controller (bsc#1012628).
  - PCI: Use FIELD_GET() in Sapphire RX 5600 XT Pulse quirk
    (bsc#1012628).
  - ASoC: Intel: soc-acpi-cht: Add Lenovo Yoga Tab 3 Pro YT3-X90
    quirk (bsc#1012628).
  - crypto: hisilicon/qm - prevent soft lockup in receive loop
    (bsc#1012628).
  - HID: Add quirk for Dell Pro Wireless Keyboard and Mouse KM5221W
    (bsc#1012628).
  - exfat: support handle zero-size directory (bsc#1012628).
  - mfd: intel-lpss: Add Intel Lunar Lake-M PCI IDs (bsc#1012628).
  - iio: adc: stm32-adc: harden against NULL pointer deref in
    stm32_adc_probe() (bsc#1012628).
  - thunderbolt: Apply USB 3.x bandwidth quirk only in software
    connection manager (bsc#1012628).
  - tty: vcc: Add check for kstrdup() in vcc_probe() (bsc#1012628).
  - dt-bindings: phy: qcom,snps-eusb2-repeater: Add magic tuning
    overrides (bsc#1012628).
  - phy: qualcomm: phy-qcom-eusb2-repeater: Use regmap_fields
    (bsc#1012628).
  - phy: qualcomm: phy-qcom-eusb2-repeater: Zero out untouched
    tuning regs (bsc#1012628).
  - usb: dwc3: core: configure TX/RX threshold for DWC3_IP
    (bsc#1012628).
  - usb: ucsi: glink: use the connector orientation GPIO to provide
    switch events (bsc#1012628).
  - soundwire: dmi-quirks: update HP Omen match (bsc#1012628).
  - f2fs: fix error path of __f2fs_build_free_nids (bsc#1012628).
  - f2fs: fix error handling of __get_node_page (bsc#1012628).
  - usb: host: xhci: Avoid XHCI resume delay if SSUSB device is
    not present (bsc#1012628).
  - usb: gadget: f_ncm: Always set current gadget in ncm_bind()
    (bsc#1012628).
  - 9p/trans_fd: Annotate data-racy writes to file::f_flags
    (bsc#1012628).
  - 9p: v9fs_listxattr: fix %s null argument warning (bsc#1012628).
  - i3c: mipi-i3c-hci: Fix out of bounds access in
    hci_dma_irq_handler (bsc#1012628).
  - i2c: i801: Add support for Intel Birch Stream SoC (bsc#1012628).
  - i2c: fix memleak in i2c_new_client_device() (bsc#1012628).
  - i2c: sun6i-p2wi: Prevent potential division by zero
    (bsc#1012628).
  - virtio-blk: fix implicit overflow on virtio_max_dma_size
    (bsc#1012628).
  - i3c: master: mipi-i3c-hci: Fix a kernel panic for accessing
    DAT_data (bsc#1012628).
  - media: gspca: cpia1: shift-out-of-bounds in set_flicker
    (bsc#1012628).
  - media: vivid: avoid integer overflow (bsc#1012628).
  - media: ipu-bridge: increase sensor_name size (bsc#1012628).
  - gfs2: ignore negated quota changes (bsc#1012628).
  - gfs2: fix an oops in gfs2_permission (bsc#1012628).
  - media: cobalt: Use FIELD_GET() to extract Link Width
    (bsc#1012628).
  - media: ccs: Fix driver quirk struct documentation (bsc#1012628).
  - media: imon: fix access to invalid resource for the second
    interface (bsc#1012628).
  - drm/amd/display: Avoid NULL dereference of timing generator
    (bsc#1012628).
  - gfs2: Fix slab-use-after-free in gfs2_qd_dealloc (bsc#1012628).
  - kgdb: Flush console before entering kgdb on panic (bsc#1012628).
  - riscv: VMAP_STACK overflow detection thread-safe (bsc#1012628).
  - i2c: dev: copy userspace array safely (bsc#1012628).
  - ASoC: ti: omap-mcbsp: Fix runtime PM underflow warnings
    (bsc#1012628).
  - drm/qxl: prevent memory leak (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for ASUS UX7602ZM (bsc#1012628).
  - drm/amdgpu: fix software pci_unplug on some chips (bsc#1012628).
  - pwm: Fix double shift bug (bsc#1012628).
  - mtd: rawnand: tegra: add missing check for platform_get_irq()
    (bsc#1012628).
  - wifi: iwlwifi: Use FW rate for non-data frames (bsc#1012628).
  - sched/core: Optimize in_task() and in_interrupt() a bit
    (bsc#1012628).
  - samples/bpf: syscall_tp_user: Rename num_progs into nr_tests
    (bsc#1012628).
  - samples/bpf: syscall_tp_user: Fix array out-of-bound access
    (bsc#1012628).
  - dt-bindings: serial: fix regex pattern for matching serial
    node children (bsc#1012628).
  - SUNRPC: ECONNRESET might require a rebind (bsc#1012628).
  - mtd: rawnand: intel: check return value of devm_kasprintf()
    (bsc#1012628).
  - mtd: rawnand: meson: check return value of devm_kasprintf()
    (bsc#1012628).
  - drm/i915/mtl: avoid stringop-overflow warning (bsc#1012628).
  - NFSv4.1: fix handling NFS4ERR_DELAY when testing for session
    trunking (bsc#1012628).
  - SUNRPC: Add an IS_ERR() check back to where it was
    (bsc#1012628).
  - NFSv4.1: fix SP4_MACH_CRED protection for pnfs IO (bsc#1012628).
  - SUNRPC: Fix RPC client cleaned up the freed pipefs dentries
    (bsc#1012628).
  - RISC-V: hwprobe: Fix vDSO SIGSEGV (bsc#1012628).
  - riscv: provide riscv-specific is_trap_insn() (bsc#1012628).
  - gfs2: Silence "suspicious RCU usage in gfs2_permission" warning
    (bsc#1012628).
  - drm/i915/tc: Fix -Wformat-truncation in intel_tc_port_init
    (bsc#1012628).
  - riscv: split cache ops out of dma-noncoherent.c (bsc#1012628).
  - vdpa_sim_blk: allocate the buffer zeroed (bsc#1012628).
  - vhost-vdpa: fix use after free in vhost_vdpa_probe()
    (bsc#1012628).
  - gcc-plugins: randstruct: Only warn about true flexible arrays
    (bsc#1012628).
  - bpf: handle ldimm64 properly in check_cfg() (bsc#1012628).
  - bpf: fix precision backtracking instruction iteration
    (bsc#1012628).
  - bpf: fix control-flow graph checking in privileged mode
    (bsc#1012628).
  - net: set SOCK_RCU_FREE before inserting socket into hashtable
    (bsc#1012628).
  - ipvlan: add ipvlan_route_v6_outbound() helper (bsc#1012628).
  - tty: Fix uninit-value access in ppp_sync_receive()
    (bsc#1012628).
  - net: ti: icssg-prueth: Add missing icss_iep_put to error path
    (bsc#1012628).
  - net: ti: icssg-prueth: Fix error cleanup on failing
    pruss_request_mem_region (bsc#1012628).
  - xen/events: avoid using info_for_irq() in xen_send_IPI_one()
    (bsc#1012628).
  - net: hns3: fix add VLAN fail issue (bsc#1012628).
  - net: hns3: add barrier in vf mailbox reply process
    (bsc#1012628).
  - net: hns3: fix incorrect capability bit display for copper port
    (bsc#1012628).
  - net: hns3: fix out-of-bounds access may occur when coalesce
    info is read via debugfs (bsc#1012628).
  - net: hns3: fix variable may not initialized problem in
    hns3_init_mac_addr() (bsc#1012628).
  - net: hns3: fix VF reset fail issue (bsc#1012628).
  - net: hns3: fix VF wrong speed and duplex issue (bsc#1012628).
  - tipc: Fix kernel-infoleak due to uninitialized TLV value
    (bsc#1012628).
  - net: mvneta: fix calls to page_pool_get_stats (bsc#1012628).
  - ppp: limit MRU to 64K (bsc#1012628).
  - xen/events: fix delayed eoi list handling (bsc#1012628).
  - blk-mq: make sure active queue usage is held for
    bio_integrity_prep() (bsc#1012628).
  - ptp: annotate data-race around q->head and q->tail
    (bsc#1012628).
  - bonding: stop the device in bond_setup_by_slave() (bsc#1012628).
  - net: ethernet: cortina: Fix max RX frame define (bsc#1012628).
  - net: ethernet: cortina: Handle large frames (bsc#1012628).
  - net: ethernet: cortina: Fix MTU max setting (bsc#1012628).
  - af_unix: fix use-after-free in unix_stream_read_actor()
    (bsc#1012628).
  - netfilter: nf_conntrack_bridge: initialize err to 0
    (bsc#1012628).
  - netfilter: nf_tables: fix pointer math issue in
    nft_byteorder_eval() (bsc#1012628).
  - netfilter: nf_tables: bogus ENOENT when destroying element
    which does not exist (bsc#1012628).
  - net: stmmac: fix rx budget limit check (bsc#1012628).
  - net: stmmac: avoid rx queue overrun (bsc#1012628).
  - pds_core: use correct index to mask irq (bsc#1012628).
  - pds_core: fix up some format-truncation complaints
    (bsc#1012628).
  - gve: Fixes for napi_poll when budget is 0 (bsc#1012628).
  - io_uring/fdinfo: remove need for sqpoll lock for thread/pid
    retrieval (bsc#1012628).
  - Revert "net/mlx5: DR, Supporting inline WQE when possible"
    (bsc#1012628).
  - net/mlx5: Free used cpus mask when an IRQ is released
    (bsc#1012628).
  - net/mlx5: Decouple PHC .adjtime and .adjphase implementations
    (bsc#1012628).
  - net/mlx5e: fix double free of encap_header (bsc#1012628).
  - net/mlx5e: fix double free of encap_header in update funcs
    (bsc#1012628).
  - net/mlx5e: Fix pedit endianness (bsc#1012628).
  - net/mlx5e: Don't modify the peer sent-to-vport rules for IPSec
    offload (bsc#1012628).
  - net/mlx5e: Avoid referencing skb after free-ing in drop path
    of mlx5e_sq_xmit_wqe (bsc#1012628).
  - net/mlx5e: Track xmit submission to PTP WQ after populating
    metadata map (bsc#1012628).
  - net/mlx5e: Update doorbell for port timestamping CQ before
    the software counter (bsc#1012628).
  - net/mlx5: Increase size of irq name buffer (bsc#1012628).
  - net/mlx5e: Reduce the size of icosq_str (bsc#1012628).
  - net/mlx5e: Check return value of snprintf writing to fw_version
    buffer (bsc#1012628).
  - net/mlx5e: Check return value of snprintf writing to fw_version
    buffer for representors (bsc#1012628).
  - net: sched: do not offload flows with a helper in act_ct
    (bsc#1012628).
  - macvlan: Don't propagate promisc change to lower dev in passthru
    (bsc#1012628).
  - tools/power/turbostat: Fix a knl bug (bsc#1012628).
  - tools/power/turbostat: Enable the C-state Pre-wake printing
    (bsc#1012628).
  - scsi: ufs: core: Expand MCQ queue slot to DeviceQueueDepth +
    1 (bsc#1012628).
  - cifs: spnego: add ';' in HOST_KEY_LEN (bsc#1012628).
  - cifs: fix check of rc in function generate_smb3signingkey
    (bsc#1012628).
  - perf/core: Fix cpuctx refcounting (bsc#1012628).
  - i915/perf: Fix NULL deref bugs with drm_dbg() calls
    (bsc#1012628).
  - perf: arm_cspmu: Reject events meant for other PMUs
    (bsc#1012628).
  - drivers: perf: Check find_first_bit() return value
    (bsc#1012628).
  - media: venus: hfi: add checks to perform sanity on queue
    pointers (bsc#1012628).
  - perf intel-pt: Fix async branch flags (bsc#1012628).
  - powerpc/perf: Fix disabling BHRB and instruction sampling
    (bsc#1012628).
  - randstruct: Fix gcc-plugin performance mode to stay in group
    (bsc#1012628).
  - spi: Fix null dereference on suspend (bsc#1012628).
  - bpf: Fix check_stack_write_fixed_off() to correctly spill imm
    (bsc#1012628).
  - bpf: Fix precision tracking for BPF_ALU | BPF_TO_BE | BPF_END
    (bsc#1012628).
  - scsi: mpt3sas: Fix loop logic (bsc#1012628).
  - scsi: megaraid_sas: Increase register read retry rount from
    3 to 30 for selected registers (bsc#1012628).
  - scsi: ufs: qcom: Update PHY settings only when scaling to
    higher gears (bsc#1012628).
  - scsi: qla2xxx: Fix system crash due to bad pointer access
    (bsc#1012628).
  - scsi: ufs: core: Fix racing issue between ufshcd_mcq_abort()
    and ISR (bsc#1012628).
  - x86/shstk: Delay signal entry SSP write until after user
    accesses (bsc#1012628).
  - crypto: x86/sha - load modules based on CPU features
    (bsc#1012628).
  - x86/PCI: Avoid PME from D3hot/D3cold for AMD Rembrandt and
    Phoenix USB4 (bsc#1012628).
  - x86/apic/msi: Fix misconfigured non-maskable MSI quirk
    (bsc#1012628).
  - x86/cpu/hygon: Fix the CPU topology evaluation for real
    (bsc#1012628).
  - KVM: x86: hyper-v: Don't auto-enable stimer on write from
    user-space (bsc#1012628).
  - KVM: x86: Ignore MSR_AMD64_TW_CFG access (bsc#1012628).
  - KVM: x86: Clear bit12 of ICR after APIC-write VM-exit
    (bsc#1012628).
  - KVM: x86: Fix lapic timer interrupt lost after loading a
    snapshot (bsc#1012628).
  - mmc: sdhci-pci-gli: GL9755: Mask the replay timer timeout of
    AER (bsc#1012628).
  - sched: psi: fix unprivileged polling against cgroups
    (bsc#1012628).
  - audit: don't take task_lock() in audit_exe_compare() code path
    (bsc#1012628).
  - audit: don't WARN_ON_ONCE(!current->mm) in audit_exe_compare()
    (bsc#1012628).
  - proc: sysctl: prevent aliased sysctls from getting passed to
    init (bsc#1012628).
  - tty/sysrq: replace smp_processor_id() with get_cpu()
    (bsc#1012628).
  - tty: serial: meson: fix hard LOCKUP on crtscts mode
    (bsc#1012628).
  - acpi/processor: sanitize _OSC/_PDC capabilities for Xen dom0
    (bsc#1012628).
  - hvc/xen: fix console unplug (bsc#1012628).
  - hvc/xen: fix error path in xen_hvc_init() to always register
    frontend driver (bsc#1012628).
  - hvc/xen: fix event channel handling for secondary consoles
    (bsc#1012628).
  - PCI/sysfs: Protect driver's D3cold preference from user space
    (bsc#1012628).
  - mm/damon/sysfs: remove requested targets when online-commit
    inputs (bsc#1012628).
  - mm/damon/sysfs: update monitoring target regions for online
    input commit (bsc#1012628).
  - watchdog: move softlockup_panic back to early_param
    (bsc#1012628).
  - iommufd: Fix missing update of domains_itree after splitting
    iopt_area (bsc#1012628).
  - fbdev: stifb: Make the STI next font pointer a 32-bit signed
    offset (bsc#1012628).
  - dm crypt: account large pages in cc->n_allocated_pages
    (bsc#1012628).
  - mm/damon/lru_sort: avoid divide-by-zero in hot threshold
    calculation (bsc#1012628).
  - mm/damon/ops-common: avoid divide-by-zero during region hotness
    calculation (bsc#1012628).
  - mm/damon: implement a function for max nr_accesses safe
    calculation (bsc#1012628).
  - mm/damon/core: avoid divide-by-zero during monitoring results
    update (bsc#1012628).
  - mm/damon/sysfs-schemes: handle tried region directory allocation
    failure (bsc#1012628).
  - mm/damon/sysfs-schemes: handle tried regions sysfs directory
    allocation failure (bsc#1012628).
  - mm/damon/core.c: avoid unintentional filtering out of schemes
    (bsc#1012628).
  - mm/damon/sysfs: check error from damon_sysfs_update_target()
    (bsc#1012628).
  - parisc: Add nop instructions after TLB inserts (bsc#1012628).
  - ACPI: resource: Do IRQ override on TongFang GMxXGxx
    (bsc#1012628).
  - regmap: Ensure range selector registers are updated after
    cache sync (bsc#1012628).
  - wifi: ath11k: fix temperature event locking (bsc#1012628).
  - wifi: ath11k: fix dfs radar event locking (bsc#1012628).
  - wifi: ath11k: fix htt pktlog locking (bsc#1012628).
  - wifi: ath11k: fix gtk offload status event locking
    (bsc#1012628).
  - wifi: ath12k: fix htt mlo-offset event locking (bsc#1012628).
  - wifi: ath12k: fix dfs-radar and temperature event locking
    (bsc#1012628).
  - mmc: meson-gx: Remove setting of CMD_CFG_ERROR (bsc#1012628).
  - genirq/generic_chip: Make irq_remove_generic_chip() irqdomain
    aware (bsc#1012628).
  - sched/core: Fix RQCF_ACT_SKIP leak (bsc#1012628).
  - pmdomain: bcm: bcm2835-power: check if the ASB register is
    equal to enable (bsc#1012628).
  - KEYS: trusted: tee: Refactor register SHM usage (bsc#1012628).
  - KEYS: trusted: Rollback init_trusted() consistently
    (bsc#1012628).
  - PCI: keystone: Don't discard .remove() callback (bsc#1012628).
  - PCI: keystone: Don't discard .probe() callback (bsc#1012628).
  - pmdomain: amlogic: Fix mask for the second NNA mem PD domain
    (bsc#1012628).
  - arm64: Restrict CPU_BIG_ENDIAN to GNU as or LLVM IAS 15.x or
    newer (bsc#1012628).
  - arm64: module: Fix PLT counting when CONFIG_RANDOMIZE_BASE=n
    (bsc#1012628).
  - pmdomain: imx: Make imx pgc power domain also set the fwnode
    (bsc#1012628).
  - parisc/agp: Use 64-bit LE values in SBA IOMMU PDIR table
    (bsc#1012628).
  - parisc/pdc: Add width field to struct pdc_model (bsc#1012628).
  - parisc/power: Add power soft-off when running on qemu
    (bsc#1012628).
  - cpufreq: stats: Fix buffer overflow detection in trans_stats()
    (bsc#1012628).
  - powercap: intel_rapl: Downgrade BIOS locked limits pr_warn()
    to pr_debug() (bsc#1012628).
  - clk: socfpga: Fix undefined behavior bug in struct
    stratix10_clock_data (bsc#1012628).
  - clk: visconti: Fix undefined behavior bug in struct
    visconti_pll_provider (bsc#1012628).
  - integrity: powerpc: Do not select CA_MACHINE_KEYRING
    (bsc#1012628).
  - clk: qcom: ipq8074: drop the CLK_SET_RATE_PARENT flag from
    PLL clocks (bsc#1012628).
  - clk: qcom: ipq6018: drop the CLK_SET_RATE_PARENT flag from
    PLL clocks (bsc#1012628).
  - ksmbd: fix recursive locking in vfs helpers (bsc#1012628).
  - ksmbd: handle malformed smb1 message (bsc#1012628).
  - ksmbd: fix slab out of bounds write in smb_inherit_dacl()
    (bsc#1012628).
  - mmc: vub300: fix an error code (bsc#1012628).
  - mmc: sdhci_am654: fix start loop index for TAP value parsing
    (bsc#1012628).
  - mmc: Add quirk MMC_QUIRK_BROKEN_CACHE_FLUSH for Micron eMMC
    Q2J54A (bsc#1012628).
  - PCI: qcom-ep: Add dedicated callback for writing to DBI2
    registers (bsc#1012628).
  - PCI/ASPM: Fix L1 substate handling in aspm_attr_store_common()
    (bsc#1012628).
  - PCI: kirin: Don't discard .remove() callback (bsc#1012628).
  - PCI: exynos: Don't discard .remove() callback (bsc#1012628).
  - PCI: Lengthen reset delay for VideoPropulsion Torrent QN16e card
    (bsc#1012628).
  - wifi: wilc1000: use vmm_table as array in wilc struct
    (bsc#1012628).
  - svcrdma: Drop connection after an RDMA Read error (bsc#1012628).
  - rcu/tree: Defer setting of jiffies during stall reset
    (bsc#1012628).
  - arm64: dts: qcom: ipq6018: Fix hwlock index for SMEM
    (bsc#1012628).
  - dt-bindings: timer: renesas,rz-mtu3: Fix overflow/underflow
    interrupt names (bsc#1012628).
  - PM: hibernate: Use __get_safe_page() rather than touching the
    list (bsc#1012628).
  - PM: hibernate: Clean up sync_read handling in
    snapshot_write_next() (bsc#1012628).
  - rcu: kmemleak: Ignore kmemleak false positives when RCU-freeing
    objects (bsc#1012628).
  - btrfs: don't arbitrarily slow down delalloc if we're committing
    (bsc#1012628).
  - thermal: intel: powerclamp: fix mismatch in get function for
    max_idle (bsc#1012628).
  - arm64: dts: qcom: ipq5332: Fix hwlock index for SMEM
    (bsc#1012628).
  - arm64: dts: qcom: ipq8074: Fix hwlock index for SMEM
    (bsc#1012628).
  - firmware: qcom_scm: use 64-bit calling convention only when
    client is 64-bit (bsc#1012628).
  - ACPI: FPDT: properly handle invalid FPDT subtables
    (bsc#1012628).
  - arm64: dts: qcom: ipq9574: Fix hwlock index for SMEM
    (bsc#1012628).
  - arm64: dts: qcom: ipq6018: Fix tcsr_mutex register size
    (bsc#1012628).
  - leds: trigger: netdev: Move size check in set_device_name
    (bsc#1012628).
  - mfd: qcom-spmi-pmic: Fix reference leaks in revid helper
    (bsc#1012628).
  - mfd: qcom-spmi-pmic: Fix revid implementation (bsc#1012628).
  - ima: annotate iint mutex to avoid lockdep false positive
    warnings (bsc#1012628).
  - ima: detect changes to the backing overlay file (bsc#1012628).
  - netfilter: nf_tables: remove catchall element in GC sync path
    (bsc#1012628).
  - netfilter: nf_tables: split async and sync catchall in two
    functions (bsc#1012628).
  - selftests/resctrl: Fix uninitialized .sa_flags (bsc#1012628).
  - selftests/resctrl: Remove duplicate feature check from CMT test
    (bsc#1012628).
  - selftests/resctrl: Move _GNU_SOURCE define into Makefile
    (bsc#1012628).
  - selftests/resctrl: Refactor feature check to use resource and
    feature name (bsc#1012628).
  - selftests/resctrl: Fix feature checks (bsc#1012628).
  - selftests/resctrl: Reduce failures due to outliers in MBA/MBM
    tests (bsc#1012628).
  - hid: lenovo: Resend all settings on reset_resume for compact
    keyboards (bsc#1012628).
  - ASoC: codecs: wsa-macro: fix uninitialized stack variables
    with name prefix (bsc#1012628).
  - jbd2: fix potential data lost in recovering journal raced with
    synchronizing fs bdev (bsc#1012628).
  - quota: explicitly forbid quota files from being encrypted
    (bsc#1012628).
  - kernel/reboot: emergency_restart: Set correct system_state
    (bsc#1012628).
  - scripts/gdb/vmalloc: disable on no-MMU (bsc#1012628).
  - fs: use nth_page() in place of direct struct page manipulation
    (bsc#1012628).
  - mips: use nth_page() in place of direct struct page manipulation
    (bsc#1012628).
  - i2c: core: Run atomic i2c xfer when !preemptible (bsc#1012628).
  - selftests/clone3: Fix broken test under !CONFIG_TIME_NS
    (bsc#1012628).
  - tracing: Have the user copy of synthetic event address use
    correct context (bsc#1012628).
  - driver core: Release all resources during unbind before updating
    device links (bsc#1012628).
  - mcb: fix error handling for different scenarios when parsing
    (bsc#1012628).
  - dmaengine: stm32-mdma: correct desc prep when channel running
    (bsc#1012628).
  - s390/mm: add missing arch_set_page_dat() call to
    vmem_crst_alloc() (bsc#1012628).
  - s390/mm: add missing arch_set_page_dat() call to gmap
    allocations (bsc#1012628).
  - s390/cmma: fix detection of DAT pages (bsc#1012628).
  - mm/cma: use nth_page() in place of direct struct page
    manipulation (bsc#1012628).
  - mm/hugetlb: use nth_page() in place of direct struct page
    manipulation (bsc#1012628).
  - mm/memory_hotplug: use pfn math in place of direct struct page
    manipulation (bsc#1012628).
  - mm: make PR_MDWE_REFUSE_EXEC_GAIN an unsigned long
    (bsc#1012628).
  - mtd: cfi_cmdset_0001: Byte swap OTP info (bsc#1012628).
  - cxl/region: Do not try to cleanup after
    cxl_region_setup_targets() fails (bsc#1012628).
  - i3c: master: cdns: Fix reading status register (bsc#1012628).
  - i3c: master: svc: fix race condition in ibi work thread
    (bsc#1012628).
  - i3c: master: svc: fix wrong data return when IBI happen during
    start frame (bsc#1012628).
  - i3c: master: svc: fix ibi may not return mandatory data byte
    (bsc#1012628).
  - i3c: master: svc: fix check wrong status register in irq handler
    (bsc#1012628).
  - i3c: master: svc: fix SDA keep low when polling IBIWON timeout
    happen (bsc#1012628).
  - i3c: master: svc: fix random hot join failure since timeout
    error (bsc#1012628).
  - cxl/region: Fix x1 root-decoder granularity calculations
    (bsc#1012628).
  - cxl/port: Fix delete_endpoint() vs parent unregistration race
    (bsc#1012628).
  - apparmor: Fix kernel-doc warnings in apparmor/audit.c
    (bsc#1012628).
  - apparmor: Fix kernel-doc warnings in apparmor/lib.c
    (bsc#1012628).
  - apparmor: Fix kernel-doc warnings in apparmor/resource.c
    (bsc#1012628).
  - apparmor: Fix kernel-doc warnings in apparmor/policy.c
    (bsc#1012628).
  - apparmor: combine common_audit_data and apparmor_audit_data
    (bsc#1012628).
  - apparmor: rename audit_data->label to audit_data->subj_label
    (bsc#1012628).
  - apparmor: pass cred through to audit info (bsc#1012628).
  - apparmor: Fix regression in mount mediation (bsc#1012628).
  - Bluetooth: btusb: Add RTW8852BE device 13d3:3570 to device
    tables (bsc#1012628).
  - Bluetooth: btusb: Add 0bda:b85b for Fn-Link RTL8852BE
    (bsc#1012628).
  - drm/amd/display: enable dsc_clk even if dsc_pg disabled
    (bsc#1012628).
  - torture: Make torture_hrtimeout_ns() take an hrtimer mode
    parameter (bsc#1012628).
  - rcutorture: Fix stuttering races and other issues (bsc#1012628).
  - selftests/resctrl: Remove bw_report and bm_type from main()
    (bsc#1012628).
  - selftests/resctrl: Simplify span lifetime (bsc#1012628).
  - selftests/resctrl: Make benchmark command const and build it
    with pointers (bsc#1012628).
  - selftests/resctrl: Extend signal handler coverage to unmount
    on receiving signal (bsc#1012628).
  - parisc: Prevent booting 64-bit kernels on PA1.x machines
    (bsc#1012628).
  - parisc/pgtable: Do not drop upper 5 address bits of physical
    address (bsc#1012628).
  - parisc/power: Fix power soft-off when running on qemu
    (bsc#1012628).
  - parisc: fix mmap_base calculation when stack grows upwards
    (bsc#1012628).
  - xhci: Enable RPM on controllers that support low-power states
    (bsc#1012628).
  - smb3: fix creating FIFOs when mounting with "sfu" mount option
    (bsc#1012628).
  - smb3: fix touch -h of symlink (bsc#1012628).
  - smb3: allow dumping session and tcon id to improve stats
    analysis and debugging (bsc#1012628).
  - smb3: fix caching of ctime on setxattr (bsc#1012628).
  - smb: client: fix use-after-free bug in
    cifs_debug_data_proc_show() (bsc#1012628).
  - smb: client: fix use-after-free in smb2_query_info_compound()
    (bsc#1012628).
  - smb: client: fix potential deadlock when releasing mids
    (bsc#1012628).
  - smb: client: fix mount when dns_resolver key is not available
    (bsc#1012628).
  - cifs: reconnect helper should set reconnect for the right
    channel (bsc#1012628).
  - cifs: force interface update before a fresh session setup
    (bsc#1012628).
  - cifs: do not reset chan_max if multichannel is not supported
    at mount (bsc#1012628).
  - cifs: do not pass cifs_sb when trying to add channels
    (bsc#1012628).
  - cifs: Fix encryption of cleared, but unset rq_iter data buffers
    (bsc#1012628).
  - xfs: recovery should not clear di_flushiter unconditionally
    (bsc#1012628).
  - btrfs: zoned: wait for data BG to be finished on direct IO
    allocation (bsc#1012628).
  - ALSA: info: Fix potential deadlock at disconnection
    (bsc#1012628).
  - ALSA: hda/realtek: Enable Mute LED on HP 255 G8 (bsc#1012628).
  - ALSA: hda/realtek - Add Dell ALC295 to pin fall back table
    (bsc#1012628).
  - ALSA: hda/realtek - Enable internal speaker of ASUS K6500ZC
    (bsc#1012628).
  - ALSA: hda/realtek: Enable Mute LED on HP 255 G10 (bsc#1012628).
  - ALSA: hda/realtek: Add quirks for HP Laptops (bsc#1012628).
  - Revert ncsi: Propagate carrier gain/loss events to the NCSI
    controller (bsc#1012628).
  - Revert "i2c: pxa: move to generic GPIO recovery" (bsc#1012628).
  - lsm: fix default return value for vm_enough_memory
    (bsc#1012628).
  - lsm: fix default return value for inode_getsecctx (bsc#1012628).
  - sbsa_gwdt: Calculate timeout with 64-bit math (bsc#1012628).
  - i2c: designware: Disable TX_EMPTY irq while waiting for block
    length byte (bsc#1012628).
  - s390/ap: fix AP bus crash on early config change callback
    invocation (bsc#1012628).
  - net: ethtool: Fix documentation of ethtool_sprintf()
    (bsc#1012628).
  - net: dsa: lan9303: consequently nested-lock physical MDIO
    (bsc#1012628).
  - net: phylink: initialize carrier state at creation
    (bsc#1012628).
  - gfs2: don't withdraw if init_threads() got interrupted
    (bsc#1012628).
  - i2c: i801: fix potential race in
    i801_block_transaction_byte_by_byte (bsc#1012628).
  - f2fs: do not return EFSCORRUPTED, but try to run online repair
    (bsc#1012628).
  - f2fs: set the default compress_level on ioctl (bsc#1012628).
  - f2fs: avoid format-overflow warning (bsc#1012628).
  - f2fs: split initial and dynamic conditions for extent_cache
    (bsc#1012628).
  - media: lirc: drop trailing space from scancode transmit
    (bsc#1012628).
  - media: sharp: fix sharp encoding (bsc#1012628).
  - media: venus: hfi_parser: Add check to keep the number of
    codecs within range (bsc#1012628).
  - media: venus: hfi: fix the check to handle session buffer
    requirement (bsc#1012628).
  - media: venus: hfi: add checks to handle capabilities from
    firmware (bsc#1012628).
  - media: ccs: Correctly initialise try compose rectangle
    (bsc#1012628).
  - drm/mediatek/dp: fix memory leak on ->get_edid callback audio
    detection (bsc#1012628).
  - drm/mediatek/dp: fix memory leak on ->get_edid callback error
    path (bsc#1012628).
  - dm-bufio: fix no-sleep mode (bsc#1012628).
  - dm-verity: don't use blocking calls from tasklets (bsc#1012628).
  - nfsd: fix file memleak on client_opens_release (bsc#1012628).
  - NFSD: Update nfsd_cache_append() to use xdr_stream
    (bsc#1012628).
  - LoongArch: Mark __percpu functions as always inline
    (bsc#1012628).
  - tracing: fprobe-event: Fix to check tracepoint event and return
    (bsc#1012628).
  - swiotlb: do not free decrypted pages if dynamic (bsc#1012628).
  - swiotlb: fix out-of-bounds TLB allocations with
    CONFIG_SWIOTLB_DYNAMIC (bsc#1012628).
  - riscv: Using TOOLCHAIN_HAS_ZIHINTPAUSE marco replace zihintpause
    (bsc#1012628).
  - riscv: put interrupt entries into .irqentry.text (bsc#1012628).
  - riscv: mm: Update the comment of CONFIG_PAGE_OFFSET
    (bsc#1012628).
  - riscv: correct pt_level name via pgtable_l5/4_enabled
    (bsc#1012628).
  - riscv: kprobes: allow writing to x0 (bsc#1012628).
  - mmc: sdhci-pci-gli: A workaround to allow GL9750 to enter ASPM
    L1.2 (bsc#1012628).
  - mm: fix for negative counter: nr_file_hugepages (bsc#1012628).
  - mm: kmem: drop __GFP_NOFAIL when allocating objcg vectors
    (bsc#1012628).
  - mptcp: deal with large GSO size (bsc#1012628).
  - mptcp: add validity check for sending RM_ADDR (bsc#1012628).
  - mptcp: fix setsockopt(IP_TOS) subflow locking (bsc#1012628).
  - selftests: mptcp: fix fastclose with csum failure (bsc#1012628).
  - r8169: fix network lost after resume on DASH systems
    (bsc#1012628).
  - r8169: add handling DASH when DASH is disabled (bsc#1012628).
  - mmc: sdhci-pci-gli: GL9750: Mask the replay timer timeout of
    AER (bsc#1012628).
  - media: qcom: camss: Fix pm_domain_on sequence in probe
    (bsc#1012628).
  - media: qcom: camss: Fix vfe_get() error jump (bsc#1012628).
  - media: qcom: camss: Fix VFE-17x vfe_disable_output()
    (bsc#1012628).
  - media: qcom: camss: Fix VFE-480 vfe_disable_output()
    (bsc#1012628).
  - media: qcom: camss: Fix missing vfe_lite clocks check
    (bsc#1012628).
  - media: qcom: camss: Fix set CSI2_RX_CFG1_VC_MODE when VC is
    greater than 3 (bsc#1012628).
  - media: qcom: camss: Fix invalid clock enable bit disjunction
    (bsc#1012628).
  - media: qcom: camss: Fix csid-gen2 for test pattern generator
    (bsc#1012628).
  - Revert "HID: logitech-dj: Add support for a new lightspeed
    receiver iteration" (bsc#1012628).
  - Revert "net: r8169: Disable multicast filter for RTL8168H and
    RTL8107E" (bsc#1012628).
  - ext4: fix race between writepages and remount (bsc#1012628).
  - ext4: no need to generate from free list in mballoc
    (bsc#1012628).
  - ext4: make sure allocate pending entry not fail (bsc#1012628).
  - ext4: apply umask if ACL support is disabled (bsc#1012628).
  - ext4: correct offset of gdb backup in non meta_bg group to
    update_backups (bsc#1012628).
  - ext4: mark buffer new if it is unwritten to avoid stale data
    exposure (bsc#1012628).
  - ext4: correct return value of ext4_convert_meta_bg
    (bsc#1012628).
  - ext4: correct the start block of counting reserved clusters
    (bsc#1012628).
  - ext4: remove gdb backup copy for meta bg in
    setup_new_flex_group_blocks (bsc#1012628).
  - ext4: add missed brelse in update_backups (bsc#1012628).
  - ext4: properly sync file size update after O_SYNC direct IO
    (bsc#1012628).
  - ext4: fix racy may inline data check in dio write (bsc#1012628).
  - drm/amd/pm: Handle non-terminated overdrive commands
    (bsc#1012628).
  - drm: bridge: it66121: ->get_edid callback must not return err
    pointers (bsc#1012628).
  - x86/srso: Move retbleed IBPB check into existing 'has_microcode'
    code block (bsc#1012628).
  - drm/amd/display: Add Null check for DPP resource (bsc#1012628).
  - drm/i915/mtl: Support HBR3 rate with C10 phy and eDP in MTL
    (bsc#1012628).
  - drm/i915: Bump GLK CDCLK frequency when driving multiple pipes
    (bsc#1012628).
  - drm/i915: Fix potential spectre vulnerability (bsc#1012628).
  - drm/i915: Flush WC GGTT only on required platforms
    (bsc#1012628).
  - drm/amd/pm: Fix error of MACO flag setting code (bsc#1012628).
  - drm/amdgpu/smu13: drop compute workload workaround
    (bsc#1012628).
  - drm/amdgpu: don't use pci_is_thunderbolt_attached()
    (bsc#1012628).
  - drm/amdgpu: fix GRBM read timeout when do mes_self_test
    (bsc#1012628).
  - drm/amdgpu: add a retry for IP discovery init (bsc#1012628).
  - drm/amdgpu: don't use ATRM for external devices (bsc#1012628).
  - drm/amdgpu: fix error handling in amdgpu_vm_init (bsc#1012628).
  - drm/amdgpu: fix error handling in amdgpu_bo_list_get()
    (bsc#1012628).
  - drm/amdgpu: lower CS errors to debug severity (bsc#1012628).
  - drm/amdgpu: Fix possible null pointer dereference (bsc#1012628).
  - drm/amd/display: Guard against invalid RPTR/WPTR being set
    (bsc#1012628).
  - drm/amd/display: Fix DSC not Enabled on Direct MST Sink
    (bsc#1012628).
  - drm/amd/display: fix a NULL pointer dereference in
    amdgpu_dm_i2c_xfer() (bsc#1012628).
  - drm/amd/display: Enable fast plane updates on DCN3.2 and above
    (bsc#1012628).
  - drm/amd/display: Clear dpcd_sink_ext_caps if not set
    (bsc#1012628).
  - drm/amd/display: Change the DMCUB mailbox memory location from
    FB to inbox (bsc#1012628).
  - Refresh patches.suse/vfs-add-super_operations-get_inode_dev.
  - Rename to
    patches.kernel.org/6.6.3-350-ASoC-soc-dai-add-flag-to-mute-and-unmute-stream.patch.
  - Rename to
    patches.kernel.org/6.6.3-351-ASoC-codecs-wsa883x-make-use-of-new-mute_unmute.patch.
  - commit d766c57
* Mon Nov 27 2023 duwe@suse.de
  - rpm/mkspec-dtb: build DTBs for Sophgo based riscv64 systems.
    These are new in 6.7 and required for the Milk-V Pioneer.
  - commit c86e052
* Mon Nov 27 2023 mkubecek@suse.cz
  - Update to 6.7-rc3
  - refresh configs
  - commit e7296f9
* Fri Nov 24 2023 fcrozat@suse.com
  - Ensure ia32_emulation is always enabled for kernel-obs-build
    If ia32_emulation is disabled by default, ensure it is enabled
    back for OBS kernel to allow building 32bit binaries (jsc#PED-3184)
    [ms: Always pass the parameter, no need to grep through the config which
    may not be very reliable]
  - commit 56a2c2f
* Wed Nov 22 2023 tiwai@suse.de
  - ASoC: codecs: wsa883x: make use of new mute_unmute_on_trigger
    flag (bsc#1217412).
  - ASoC: soc-dai: add flag to mute and unmute stream during trigger
    (bsc#1217412).
  - commit 1a298a3
* Wed Nov 22 2023 tiwai@suse.de
  - Update config files: CONFIG_SND_SOC_WSA883X=m for Thinkpad X13s audio (bsc#1217412)
  - commit 9bf78b1
* Wed Nov 22 2023 msuchanek@suse.de
  - rpm: Define git commit as macro
  - commit bcc92c8
* Wed Nov 22 2023 msuchanek@suse.de
  - kernel-source: Move provides after sources
  - commit dbbf742
* Tue Nov 21 2023 tiwai@suse.de
  - leds: class: Don't expose color sysfs entry (bsc#1217172).
  - commit 1be1eb4
* Mon Nov 20 2023 tiwai@suse.de
  - drm/i915: Also check for VGA converter in eDP probe
    (bsc#1217282).
  - commit b22e785
* Mon Nov 20 2023 jslaby@suse.cz
  - Linux 6.6.2 (bsc#1012628).
  - hwmon: (nct6775) Fix incorrect variable reuse in fan_div
    calculation (bsc#1012628).
  - numa: Generalize numa_map_to_online_node() (bsc#1012628).
  - sched/topology: Fix sched_numa_find_nth_cpu() in CPU-less case
    (bsc#1012628).
  - sched/topology: Fix sched_numa_find_nth_cpu() in non-NUMA case
    (bsc#1012628).
  - sched/fair: Fix cfs_rq_is_decayed() on !SMP (bsc#1012628).
  - iov_iter, x86: Be consistent about the __user tag on
    copy_mc_to_user() (bsc#1012628).
  - sched/uclamp: Set max_spare_cap_cpu even if max_spare_cap is 0
    (bsc#1012628).
  - sched/uclamp: Ignore (util == 0) optimization in feec() when
    p_util_max = 0 (bsc#1012628).
  - objtool: Propagate early errors (bsc#1012628).
  - sched: Fix stop_one_cpu_nowait() vs hotplug (bsc#1012628).
  - nfsd: Handle EOPENSTALE correctly in the filecache
    (bsc#1012628).
  - vfs: fix readahead(2) on block devices (bsc#1012628).
  - writeback, cgroup: switch inodes with dirty timestamps to
    release dying cgwbs (bsc#1012628).
  - x86/srso: Fix SBPB enablement for (possible) future fixed HW
    (bsc#1012628).
  - x86/srso: Print mitigation for retbleed IBPB case (bsc#1012628).
  - x86/srso: Fix vulnerability reporting for missing microcode
    (bsc#1012628).
  - x86/srso: Fix unret validation dependencies (bsc#1012628).
  - futex: Don't include process MM in futex key on no-MMU
    (bsc#1012628).
  - x86/numa: Introduce numa_fill_memblks() (bsc#1012628).
  - ACPI/NUMA: Apply SRAT proximity domain to entire CFMWS window
    (bsc#1012628).
  - cgroup/cpuset: Fix load balance state in
    update_partition_sd_lb() (bsc#1012628).
  - x86/sev-es: Allow copy_from_kernel_nofault() in earlier boot
    (bsc#1012628).
  - x86/boot: Fix incorrect startup_gdt_descr.size (bsc#1012628).
  - cpu/SMT: Make SMT control more robust against enumeration
    failures (bsc#1012628).
  - x86/apic: Fake primary thread mask for XEN/PV (bsc#1012628).
  - srcu: Fix callbacks acceleration mishandling (bsc#1012628).
  - drivers/clocksource/timer-ti-dm: Don't call clk_get_rate()
    in stop function (bsc#1012628).
  - x86/nmi: Fix out-of-order NMI nesting checks & false positive
    warning (bsc#1012628).
  - pstore/platform: Add check for kstrdup (bsc#1012628).
  - perf: Optimize perf_cgroup_switch() (bsc#1012628).
  - selftests/x86/lam: Zero out buffer for readlink() (bsc#1012628).
  - PCI/MSI: Provide stubs for IMS functions (bsc#1012628).
  - string: Adjust strtomem() logic to allow for smaller sources
    (bsc#1012628).
  - genirq/matrix: Exclude managed interrupts in
    irq_matrix_allocated() (bsc#1012628).
  - irqchip/sifive-plic: Fix syscore registration for multi-socket
    systems (bsc#1012628).
  - wifi: ath12k: fix undefined behavior with __fls in dp
    (bsc#1012628).
  - wifi: cfg80211: add flush functions for wiphy work
    (bsc#1012628).
  - wifi: mac80211: move radar detect work to wiphy work
    (bsc#1012628).
  - wifi: mac80211: move scan work to wiphy work (bsc#1012628).
  - wifi: mac80211: move offchannel works to wiphy work
    (bsc#1012628).
  - wifi: mac80211: move sched-scan stop work to wiphy work
    (bsc#1012628).
  - wifi: mac80211: fix RCU usage warning in mesh fast-xmit
    (bsc#1012628).
  - wifi: cfg80211: fix off-by-one in element defrag (bsc#1012628).
  - wifi: mac80211: fix # of MSDU in A-MSDU calculation
    (bsc#1012628).
  - wifi: iwlwifi: honor the enable_ini value (bsc#1012628).
  - wifi: iwlwifi: don't use an uninitialized variable
    (bsc#1012628).
  - i40e: fix potential memory leaks in i40e_remove() (bsc#1012628).
  - iavf: Fix promiscuous mode configuration flow messages
    (bsc#1012628).
  - selftests/bpf: Correct map_fd to data_fd in tailcalls
    (bsc#1012628).
  - bpf, x64: Fix tailcall infinite loop (bsc#1012628).
  - wifi: cfg80211: fix kernel-doc for wiphy_delayed_work_flush()
    (bsc#1012628).
  - udp: introduce udp->udp_flags (bsc#1012628).
  - udp: move udp->no_check6_tx to udp->udp_flags (bsc#1012628).
  - udp: move udp->no_check6_rx to udp->udp_flags (bsc#1012628).
  - udp: move udp->gro_enabled to udp->udp_flags (bsc#1012628).
  - udp: add missing WRITE_ONCE() around up->encap_rcv
    (bsc#1012628).
  - udp: move udp->accept_udp_{l4|fraglist} to udp->udp_flags
    (bsc#1012628).
  - udp: lockless UDP_ENCAP_L2TPINUDP / UDP_GRO (bsc#1012628).
  - udp: annotate data-races around udp->encap_type (bsc#1012628).
  - udplite: remove UDPLITE_BIT (bsc#1012628).
  - udplite: fix various data-races (bsc#1012628).
  - selftests/bpf: Skip module_fentry_shadow test when bpf_testmod
    is not available (bsc#1012628).
  - tcp: call tcp_try_undo_recovery when an RTOd TFO SYNACK is ACKed
    (bsc#1012628).
  - bpf: Fix kfunc callback register type handling (bsc#1012628).
  - gve: Use size_add() in call to struct_size() (bsc#1012628).
  - mlxsw: Use size_mul() in call to struct_size() (bsc#1012628).
  - tls: Use size_add() in call to struct_size() (bsc#1012628).
  - tipc: Use size_add() in calls to struct_size() (bsc#1012628).
  - net: spider_net: Use size_add() in call to struct_size()
    (bsc#1012628).
  - ice: fix pin assignment for E810-T without SMA control
    (bsc#1012628).
  - net: ethernet: mtk_wed: fix EXT_INT_STATUS_RX_FBUF definitions
    for MT7986 SoC (bsc#1012628).
  - wifi: rtw88: debug: Fix the NULL vs IS_ERR() bug for
    debugfs_create_file() (bsc#1012628).
  - wifi: ath12k: fix DMA unmap warning on NULL DMA address
    (bsc#1012628).
  - wifi: ath11k: fix boot failure with one MSI vector
    (bsc#1012628).
  - wifi: mac80211: fix check for unusable RX result (bsc#1012628).
  - PM: sleep: Fix symbol export for _SIMPLE_ variants of _PM_OPS()
    (bsc#1012628).
  - cpufreq: tegra194: fix warning due to missing opp_put
    (bsc#1012628).
  - wifi: mt76: mt7603: rework/fix rx pse hang check (bsc#1012628).
  - wifi: mt76: mt7603: improve watchdog reset reliablity
    (bsc#1012628).
  - wifi: mt76: mt7603: improve stuck beacon handling (bsc#1012628).
  - wifi: mt76: remove unused error path in
    mt76_connac_tx_complete_skb (bsc#1012628).
  - wifi: mt76: mt7996: set correct wcid in txp (bsc#1012628).
  - wifi: mt76: mt7996: fix beamform mcu cmd configuration
    (bsc#1012628).
  - wifi: mt76: mt7996: fix beamformee ss subfield in EHT PHY cap
    (bsc#1012628).
  - wifi: mt76: mt7996: fix wmm queue mapping (bsc#1012628).
  - wifi: mt76: mt7996: fix rx rate report for CBW320-2
    (bsc#1012628).
  - wifi: mt76: mt7996: fix TWT command format (bsc#1012628).
  - wifi: mt76: update beacon size limitation (bsc#1012628).
  - wifi: mt76: fix potential memory leak of beacon commands
    (bsc#1012628).
  - wifi: mt76: get rid of false alamrs of tx emission issues
    (bsc#1012628).
  - wifi: mt76: fix per-band IEEE80211_CONF_MONITOR flag comparison
    (bsc#1012628).
  - wifi: mt76: mt7915: fix beamforming availability check
    (bsc#1012628).
  - wifi: mt76: move struct ieee80211_chanctx_conf up to struct
    mt76_vif (bsc#1012628).
  - wifi: mt76: mt7921: fix the wrong rate pickup for the chanctx
    driver (bsc#1012628).
  - wifi: mt76: mt7921: fix the wrong rate selected in fw for the
    chanctx driver (bsc#1012628).
  - wifi: ath: dfs_pattern_detector: Fix a memory initialization
    issue (bsc#1012628).
  - tcp_metrics: add missing barriers on delete (bsc#1012628).
  - tcp_metrics: properly set tp->snd_ssthresh in tcp_init_metrics()
    (bsc#1012628).
  - tcp_metrics: do not create an entry from tcp_init_metrics()
    (bsc#1012628).
  - wifi: rtlwifi: fix EDCA limit set by BT coexistence
    (bsc#1012628).
  - ACPI: property: Allow _DSD buffer data only for byte accessors
    (bsc#1012628).
  - =?UTF-8?q?ACPI:=20video:=20Add=20acpi=5Fbacklight=3Dvendo?=
    =?UTF-8?q?r=20quirk=20for=20Toshiba=20Port=C3=A9g=C3=A9=20R100?=
    (bsc#1012628).
  - can: etas_es58x: rework the version check logic to silence
    - Wformat-truncation (bsc#1012628).
  - can: etas_es58x: add missing a blank line after declaration
    (bsc#1012628).
  - libbpf: Fix syscall access arguments on riscv (bsc#1012628).
  - selftests/bpf: Define SYS_PREFIX for riscv (bsc#1012628).
  - selftests/bpf: Define SYS_NANOSLEEP_KPROBE_NAME for riscv
    (bsc#1012628).
  - r8152: break the loop when the budget is exhausted
    (bsc#1012628).
  - wifi: ath11k: fix Tx power value during active CAC
    (bsc#1012628).
  - can: dev: can_restart(): don't crash kernel if carrier is OK
    (bsc#1012628).
  - can: dev: can_restart(): fix race condition between controller
    restart and netif_carrier_on() (bsc#1012628).
  - can: dev: can_put_echo_skb(): don't crash kernel if
    can_priv::echo_skb is accessed out of bounds (bsc#1012628).
  - PM / devfreq: rockchip-dfi: Make pmu regmap mandatory
    (bsc#1012628).
  - wifi: wfx: fix case where rates are out of order (bsc#1012628).
  - netfilter: nf_tables: Drop pointless memset when dumping rules
    (bsc#1012628).
  - virtio-net: fix mismatch of getting tx-frames (bsc#1012628).
  - virtio-net: consistently save parameters for per-queue
    (bsc#1012628).
  - virtio-net: fix per queue coalescing parameter setting
    (bsc#1012628).
  - virtio-net: fix the vq coalescing setting for vq resize
    (bsc#1012628).
  - wifi: rtw88: Remove duplicate NULL check before calling
    usb_kill/free_urb() (bsc#1012628).
  - thermal: core: prevent potential string overflow (bsc#1012628).
  - r8169: fix rare issue with broken rx after link-down on RTL8125
    (bsc#1012628).
  - thermal/drivers/mediatek: Fix probe for THERMAL_V2
    (bsc#1012628).
  - bpf: Fix missed rcu read lock in bpf_task_under_cgroup()
    (bsc#1012628).
  - net: skb_find_text: Ignore patterns extending past 'to'
    (bsc#1012628).
  - selftests/bpf: Make linked_list failure test more robust
    (bsc#1012628).
  - thermal: core: Don't update trip points inside the hysteresis
    range (bsc#1012628).
  - chtls: fix tp->rcv_tstamp initialization (bsc#1012628).
  - tcp: fix cookie_init_timestamp() overflows (bsc#1012628).
  - wifi: iwlwifi: mvm: update station's MFP flag after association
    (bsc#1012628).
  - wifi: iwlwifi: mvm: fix removing pasn station for responder
    (bsc#1012628).
  - wifi: iwlwifi: mvm: use correct sta ID for IGTK/BIGTK
    (bsc#1012628).
  - wifi: mac80211: don't recreate driver link debugfs in reconfig
    (bsc#1012628).
  - wifi: mac80211: Fix setting vif links (bsc#1012628).
  - wifi: iwlwifi: yoyo: swap cdb and jacket bits values
    (bsc#1012628).
  - wifi: iwlwifi: mvm: Correctly set link configuration
    (bsc#1012628).
  - wifi: iwlwifi: mvm: Fix key flags for IGTK on AP interface
    (bsc#1012628).
  - wifi: iwlwifi: mvm: Don't always bind/link the P2P Device
    interface (bsc#1012628).
  - wifi: iwlwifi: mvm: change iwl_mvm_flush_sta() API
    (bsc#1012628).
  - wifi: iwlwifi: mvm: fix iwl_mvm_mac_flush_sta() (bsc#1012628).
  - wifi: iwlwifi: mvm: remove TDLS stations from FW (bsc#1012628).
  - wifi: iwlwifi: increase number of RX buffers for EHT devices
    (bsc#1012628).
  - wifi: iwlwifi: mvm: fix netif csum flags (bsc#1012628).
  - wifi: iwlwifi: pcie: synchronize IRQs before NAPI (bsc#1012628).
  - wifi: iwlwifi: mvm: update IGTK in mvmvif upon D3 resume
    (bsc#1012628).
  - wifi: iwlwifi: empty overflow queue during flush (bsc#1012628).
  - Bluetooth: ISO: Pass BIG encryption info through QoS
    (bsc#1012628).
  - Bluetooth: Make handle of hci_conn be unique (bsc#1012628).
  - Bluetooth: hci_sync: Fix Opcode prints in bt_dev_dbg/err
    (bsc#1012628).
  - bpf: Fix unnecessary -EBUSY from htab_lock_bucket (bsc#1012628).
  - ACPI: sysfs: Fix create_pnp_modalias() and create_of_modalias()
    (bsc#1012628).
  - mptcp: properly account fastopen data (bsc#1012628).
  - ipv6: avoid atomic fragment on GSO packets (bsc#1012628).
  - virtio_net: use u64_stats_t infra to avoid data-races
    (bsc#1012628).
  - net: add DEV_STATS_READ() helper (bsc#1012628).
  - ipvlan: properly track tx_errors (bsc#1012628).
  - regmap: debugfs: Fix a erroneous check after snprintf()
    (bsc#1012628).
  - spi: tegra: Fix missing IRQ check in tegra_slink_probe()
    (bsc#1012628).
  - clk: qcom: ipq5332: Drop set rate parent from gpll0 dependent
    clocks (bsc#1012628).
  - clk: qcom: gcc-msm8996: Remove RPM bus clocks (bsc#1012628).
  - clk: qcom: clk-rcg2: Fix clock rate overflow for high parent
    frequencies (bsc#1012628).
  - clk: qcom: mmcc-msm8998: Don't check halt bit on some branch
    clks (bsc#1012628).
  - clk: qcom: mmcc-msm8998: Fix the SMMU GDSC (bsc#1012628).
  - clk: qcom: gcc-sm8150: Fix gcc_sdcc2_apps_clk_src (bsc#1012628).
  - gpio: sim: initialize a managed pointer when declaring it
    (bsc#1012628).
  - regulator: mt6358: Fail probe on unknown chip ID (bsc#1012628).
  - clk: imx: Select MXC_CLK for CLK_IMX8QXP (bsc#1012628).
  - clk: imx: imx8: Fix an error handling path in
    clk_imx_acm_attach_pm_domains() (bsc#1012628).
  - clk: imx: imx8: Fix an error handling path if
    devm_clk_hw_register_mux_parent_data_table() fails
    (bsc#1012628).
  - clk: imx: imx8: Fix an error handling path in
    imx8_acm_clk_probe() (bsc#1012628).
  - clk: imx: imx8mq: correct error handling path (bsc#1012628).
  - clk: imx: imx8qxp: Fix elcdif_pll clock (bsc#1012628).
  - clk: renesas: rcar-gen3: Extend SDnH divider table
    (bsc#1012628).
  - clk: renesas: rzg2l: Wait for status bit of SD mux before
    continuing (bsc#1012628).
  - clk: renesas: rzg2l: Lock around writes to mux register
    (bsc#1012628).
  - clk: renesas: rzg2l: Trust value returned by hardware
    (bsc#1012628).
  - clk: renesas: rzg2l: Use FIELD_GET() for PLL register fields
    (bsc#1012628).
  - clk: renesas: rzg2l: Fix computation formula (bsc#1012628).
  - clk: linux/clk-provider.h: fix kernel-doc warnings and typos
    (bsc#1012628).
  - spi: nxp-fspi: use the correct ioremap function (bsc#1012628).
  - clk: ralink: mtmips: quiet unused variable warning
    (bsc#1012628).
  - clk: keystone: pll: fix a couple NULL vs IS_ERR() checks
    (bsc#1012628).
  - clk: ti: fix double free in of_ti_divider_clk_setup()
    (bsc#1012628).
  - clk: npcm7xx: Fix incorrect kfree (bsc#1012628).
  - clk: mediatek: clk-mt6765: Add check for mtk_alloc_clk_data
    (bsc#1012628).
  - clk: mediatek: clk-mt6779: Add check for mtk_alloc_clk_data
    (bsc#1012628).
  - clk: mediatek: clk-mt6797: Add check for mtk_alloc_clk_data
    (bsc#1012628).
  - clk: mediatek: clk-mt7629-eth: Add check for mtk_alloc_clk_data
    (bsc#1012628).
  - clk: mediatek: clk-mt7629: Add check for mtk_alloc_clk_data
    (bsc#1012628).
  - clk: mediatek: clk-mt2701: Add check for mtk_alloc_clk_data
    (bsc#1012628).
  - clk: qcom: config IPQ_APSS_6018 should depend on QCOM_SMEM
    (bsc#1012628).
  - clk: qcom: clk-alpha-pll: introduce stromer plus ops
    (bsc#1012628).
  - clk: qcom: apss-ipq-pll: Use stromer plus ops for stromer plus
    pll (bsc#1012628).
  - clk: qcom: apss-ipq-pll: Fix 'l' value for ipq5332_pll_config
    (bsc#1012628).
  - clk: qcom: ipq5018: drop the CLK_SET_RATE_PARENT flag from
    GPLL clocks (bsc#1012628).
  - clk: qcom: ipq9574: drop the CLK_SET_RATE_PARENT flag from
    GPLL clocks (bsc#1012628).
  - clk: qcom: ipq5332: drop the CLK_SET_RATE_PARENT flag from
    GPLL clocks (bsc#1012628).
  - clk: mediatek: fix double free in mtk_clk_register_pllfh()
    (bsc#1012628).
  - platform/x86: wmi: Fix probe failure when failing to register
    WMI devices (bsc#1012628).
  - platform/x86: wmi: Fix opening of char device (bsc#1012628).
  - regulator: qcom-rpmh: Fix smps4 regulator for pm8550ve
    (bsc#1012628).
  - hwmon: (axi-fan-control) Fix possible NULL pointer dereference
    (bsc#1012628).
  - hwmon: (coretemp) Fix potentially truncated sysfs attribute name
    (bsc#1012628).
  - Revert "hwmon: (sch56xx-common) Add DMI override table"
    (bsc#1012628).
  - Revert "hwmon: (sch56xx-common) Add automatic module loading
    on supported devices" (bsc#1012628).
  - hwmon: (pmbus/mp2975) Move PGOOD fix (bsc#1012628).
  - hwmon: (sch5627) Use bit macros when accessing the control
    register (bsc#1012628).
  - hwmon: (sch5627) Disallow write access if virtual registers
    are locked (bsc#1012628).
  - hte: tegra: Fix missing error code in tegra_hte_test_probe()
    (bsc#1012628).
  - platform/chrome: cros_ec_lpc: Separate host command and irq
    disable (bsc#1012628).
  - spi: omap2-mcspi: switch to use modern name (bsc#1012628).
  - spi: omap2-mcspi: Fix hardcoded reference clock (bsc#1012628).
  - drm: bridge: samsung-dsim: Initialize ULPS EXIT for i.MX8M DSIM
    (bsc#1012628).
  - drm: bridge: for GENERIC_PHY_MIPI_DPHY also select GENERIC_PHY
    (bsc#1012628).
  - drm: bridge: samsung-dsim: Fix waiting for empty cmd transfer
    FIFO on older Exynos (bsc#1012628).
  - drm/loongson: Fix error handling in lsdc_pixel_pll_setup()
    (bsc#1012628).
  - drm/rockchip: vop: Fix reset of state in duplicate state crtc
    funcs (bsc#1012628).
  - drm/rockchip: vop: Fix call to crtc reset helper (bsc#1012628).
  - drm/rockchip: vop2: Don't crash for invalid duplicate_state
    (bsc#1012628).
  - drm/rockchip: vop2: Add missing call to crtc reset helper
    (bsc#1012628).
  - drm/radeon: possible buffer overflow (bsc#1012628).
  - drm/radeon: Remove the references of radeon_gem_ pread &
    pwrite ioctls (bsc#1012628).
  - drm: bridge: it66121: Fix invalid connector dereference
    (bsc#1012628).
  - drm/bridge: lt8912b: Fix bridge_detach (bsc#1012628).
  - drm/bridge: lt8912b: Fix crash on bridge detach (bsc#1012628).
  - drm/bridge: lt8912b: Manually disable HPD only if it was enabled
    (bsc#1012628).
  - drm/bridge: lt8912b: Add missing drm_bridge_attach call
    (bsc#1012628).
  - drm/ssd130x: Fix screen clearing (bsc#1012628).
  - drm/mediatek: Fix coverity issue with unintentional integer
    overflow (bsc#1012628).
  - x86/tdx: Zero out the missing RSI in TDX_HYPERCALL macro
    (bsc#1012628).
  - drm/bridge: tc358768: Fix use of uninitialized variable
    (bsc#1012628).
  - drm/bridge: tc358768: Fix bit updates (bsc#1012628).
  - drm/bridge: tc358768: Use struct videomode (bsc#1012628).
  - drm/bridge: tc358768: Print logical values, not raw register
    values (bsc#1012628).
  - drm/bridge: tc358768: Use dev for dbg prints, not priv->dev
    (bsc#1012628).
  - drm/bridge: tc358768: Rename dsibclk to hsbyteclk (bsc#1012628).
  - drm/bridge: tc358768: Clean up clock period code (bsc#1012628).
  - drm/bridge: tc358768: Fix tc358768_ns_to_cnt() (bsc#1012628).
  - drm: Call drm_atomic_helper_shutdown() at shutdown/remove time
    for misc drivers (bsc#1012628).
  - drm/amdgpu: Increase IH soft ring size for GFX v9.4.3 dGPU
    (bsc#1012628).
  - drm/amdkfd: fix some race conditions in vram buffer alloc/free
    of svm code (bsc#1012628).
  - drm/amdkfd: Remove svm range validated_once flag (bsc#1012628).
  - drm/amdkfd: Handle errors from svm validate and map
    (bsc#1012628).
  - drm/amd/display: Fix null pointer dereference in error message
    (bsc#1012628).
  - drm/amd/display: Check all enabled planes in
    dm_check_crtc_cursor (bsc#1012628).
  - drm/amd/display: Refactor dm_get_plane_scale helper
    (bsc#1012628).
  - drm/amd/display: Bail from dm_check_crtc_cursor if no relevant
    change (bsc#1012628).
  - io_uring/kbuf: Fix check of BID wrapping in provided buffers
    (bsc#1012628).
  - io_uring/kbuf: Allow the full buffer id space for provided
    buffers (bsc#1012628).
  - drm/mediatek: Add mmsys_dev_num to mt8188 vdosys0 driver data
    (bsc#1012628).
  - drm/mediatek: Fix iommu fault by swapping FBs after updating
    plane state (bsc#1012628).
  - drm/mediatek: Fix iommu fault during crtc enabling
    (bsc#1012628).
  - accel/habanalabs/gaudi2: Fix incorrect string length computation
    in gaudi2_psoc_razwi_get_engines() (bsc#1012628).
  - drm/msm/adreno: Fix SM6375 GPU ID (bsc#1012628).
  - drm/msm/a6xx: Fix unknown speedbin case (bsc#1012628).
  - drm/rockchip: cdn-dp: Fix some error handling paths in
    cdn_dp_probe() (bsc#1012628).
  - gpu: host1x: Correct allocated size for contexts (bsc#1012628).
  - drm/bridge: lt9611uxc: fix the race in the error path
    (bsc#1012628).
  - arm64/arm: xen: enlighten: Fix KPTI checks (bsc#1012628).
  - drm/rockchip: Fix type promotion bug in rockchip_gem_iommu_map()
    (bsc#1012628).
  - xenbus: fix error exit in xenbus_init() (bsc#1012628).
  - xen: Make struct privcmd_irqfd's layout architecture independent
    (bsc#1012628).
  - xen: irqfd: Use _IOW instead of the internal _IOC() macro
    (bsc#1012628).
  - xen-pciback: Consider INTx disabled when MSI/MSI-X is enabled
    (bsc#1012628).
  - drm/msm/dsi: use msm_gem_kernel_put to free TX buffer
    (bsc#1012628).
  - drm/msm/dsi: free TX buffer in unbind (bsc#1012628).
  - clocksource/drivers/arm_arch_timer: limit XGene-1 workaround
    (bsc#1012628).
  - drm: mediatek: mtk_dsi: Fix NO_EOT_PACKET settings/handling
    (bsc#1012628).
  - drivers/perf: hisi: use cpuhp_state_remove_instance_nocalls()
    for hisi_hns3_pmu uninit process (bsc#1012628).
  - drm/amd/pm: Fix a memory leak on an error path (bsc#1012628).
  - perf/arm-cmn: Fix DTC domain detection (bsc#1012628).
  - drivers/perf: hisi_pcie: Check the type first in
    pmu::event_init() (bsc#1012628).
  - perf: hisi: Fix use-after-free when register pmu fails
    (bsc#1012628).
  - ARM: dts: renesas: blanche: Fix typo in GP_11_2 pin name
    (bsc#1012628).
  - arm64: dts: qcom: sdm845: Fix PSCI power domain names
    (bsc#1012628).
  - arm64: dts: qcom: sdm845: cheza doesn't support LMh node
    (bsc#1012628).
  - arm64: dts: qcom: sc7280: link
    usb3_phy_wrapper_gcc_usb30_pipe_clk (bsc#1012628).
  - arm64: dts: qcom: msm8916: Fix iommu local address range
    (bsc#1012628).
  - arm64: dts: qcom: msm8992-libra: drop duplicated reserved memory
    (bsc#1012628).
  - arm64: dts: qcom: sm6125: Pad APPS IOMMU address to 8 characters
    (bsc#1012628).
  - arm64: dts: qcom: sc7280: Add missing LMH interrupts
    (bsc#1012628).
  - arm64: dts: qcom: qrb2210-rb1: Swap UART index (bsc#1012628).
  - arm64: dts: qcom: qrb2210-rb1: Fix regulators (bsc#1012628).
  - arm64: dts: qcom: sdm670: Fix pdc mapping (bsc#1012628).
  - arm64: dts: qcom: sc7280: drop incorrect EUD port on SoC side
    (bsc#1012628).
  - arm64: dts: qcom: sm8150: add ref clock to PCIe PHYs
    (bsc#1012628).
  - arm64: dts: qcom: sm8350: fix pinctrl for UART18 (bsc#1012628).
  - arm64: dts: qcom: sdm845-mtp: fix WiFi configuration
    (bsc#1012628).
  - arm64: dts: qcom: sdx75-idp: align RPMh regulator nodes with
    bindings (bsc#1012628).
  - ARM64: dts: marvell: cn9310: Use appropriate label for spi1 pins
    (bsc#1012628).
  - arm64: dts: qcom: msm8976: Fix ipc bit shifts (bsc#1012628).
  - arm64: dts: qcom: msm8939: Fix iommu local address range
    (bsc#1012628).
  - riscv: dts: allwinner: remove address-cells from intc node
    (bsc#1012628).
  - arm64: dts: qcom: apq8016-sbc: Add missing ADV7533 regulators
    (bsc#1012628).
  - ARM: dts: qcom: apq8026-samsung-matisse-wifi: Fix inverted
    hall sensor (bsc#1012628).
  - ARM: dts: qcom: mdm9615: populate vsdcc fixed regulator
    (bsc#1012628).
  - soc: qcom: llcc: Handle a second device without data corruption
    (bsc#1012628).
  - kunit: Fix missed memory release in kunit_free_suite_set()
    (bsc#1012628).
  - kunit: Fix the wrong kfree of copy for kunit_filter_suites()
    (bsc#1012628).
  - kunit: Fix possible memory leak in kunit_filter_suites()
    (bsc#1012628).
  - kunit: test: Fix the possible memory leak in executor_test
    (bsc#1012628).
  - ARM: dts: stm32: stm32f7-pinctrl: don't use multiple blank lines
    (bsc#1012628).
  - firmware: ti_sci: Mark driver as non removable (bsc#1012628).
  - arm64: dts: ti: k3-j721s2-evm-gesi: Specify base dtb for
    overlay file (bsc#1012628).
  - arm64: dts: ti: verdin-am62: disable MIPI DSI bridge
    (bsc#1012628).
  - arm64: dts: ti: k3-am625-beagleplay: Fix typo in ramoops reg
    (bsc#1012628).
  - arm64: dts: ti: k3-am62a7-sk: Drop i2c-1 to 100Khz
    (bsc#1012628).
  - arm64: dts: ti: Fix HDMI Audio overlay in Makefile
    (bsc#1012628).
  - firmware: arm_ffa: Assign the missing IDR allocation ID to
    the FFA device (bsc#1012628).
  - firmware: arm_ffa: Allow the FF-A drivers to use 32bit mode
    of messaging (bsc#1012628).
  - ARM: dts: am3517-evm: Fix LED3/4 pinmux (bsc#1012628).
  - clk: scmi: Free scmi_clk allocated when the clocks with invalid
    info are skipped (bsc#1012628).
  - arm64: dts: imx8qm-ss-img: Fix jpegenc compatible entry
    (bsc#1012628).
  - arm64: dts: imx8mp-debix-model-a: Remove USB hub reset-gpios
    (bsc#1012628).
  - arm64: dts: imx8mm: Add sound-dai-cells to micfil node
    (bsc#1012628).
  - arm64: dts: imx8mn: Add sound-dai-cells to micfil node
    (bsc#1012628).
  - arm64: tegra: Fix P3767 card detect polarity (bsc#1012628).
  - arm64: tegra: Fix P3767 QSPI speed (bsc#1012628).
  - firmware: tegra: Add suspend hook and reset BPMP IPC early on
    resume (bsc#1012628).
  - memory: tegra: Set BPMP msg flags to reset IPC channels
    (bsc#1012628).
  - arm64: tegra: Use correct interrupts for Tegra234 TKE
    (bsc#1012628).
  - selftests/pidfd: Fix ksft print formats (bsc#1012628).
  - selftests/resctrl: Ensure the benchmark commands fits to its
    array (bsc#1012628).
  - soc: qcom: pmic_glink: fix connector type to be DisplayPort
    (bsc#1012628).
  - ARM: dts: BCM5301X: Explicitly disable unused switch CPU ports
    (bsc#1012628).
  - iommufd: Add iopt_area_alloc() (bsc#1012628).
  - module/decompress: use vmalloc() for gzip decompression
    workspace (bsc#1012628).
  - KEYS: Include linux/errno.h in linux/verification.h
    (bsc#1012628).
  - crypto: ccp - Get a free page to use while fetching initial
    nonce (bsc#1012628).
  - crypto: ccp - Fix ioctl unit tests (bsc#1012628).
  - crypto: ccp - Fix DBC sample application error handling
    (bsc#1012628).
  - crypto: ccp - Fix sample application signature passing
    (bsc#1012628).
  - crypto: ccp - Fix some unfused tests (bsc#1012628).
  - crypto: hisilicon/hpre - Fix a erroneous check after snprintf()
    (bsc#1012628).
  - hwrng: bcm2835 - Fix hwrng throughput regression (bsc#1012628).
  - hwrng: geode - fix accessing registers (bsc#1012628).
  - RDMA/core: Use size_{add,sub,mul}() in calls to struct_size()
    (bsc#1012628).
  - crypto: qat - fix state machines cleanup paths (bsc#1012628).
  - crypto: qat - ignore subsequent state up commands (bsc#1012628).
  - crypto: qat - fix unregistration of crypto algorithms
    (bsc#1012628).
  - crypto: qat - fix unregistration of compression algorithms
    (bsc#1012628).
  - scsi: ibmvfc: Fix erroneous use of rtas_busy_delay with hcall
    return code (bsc#1012628).
  - ASoC: soc-pcm.c: Make sure DAI parameters cleared if the DAI
    becomes inactive (bsc#1012628).
  - libnvdimm/of_pmem: Use devm_kstrdup instead of kstrdup and
    check its return value (bsc#1012628).
  - nd_btt: Make BTT lanes preemptible (bsc#1012628).
  - crypto: caam/qi2 - fix Chacha20 + Poly1305 self test failure
    (bsc#1012628).
  - crypto: caam/jr - fix Chacha20 + Poly1305 self test failure
    (bsc#1012628).
  - crypto: qat - increase size of buffers (bsc#1012628).
  - ASoC: SOF: ipc4-topology: Use size_add() in call to
    struct_size() (bsc#1012628).
  - PCI: vmd: Correct PCI Header Type Register's multi-function
    check (bsc#1012628).
  - hid: cp2112: Fix duplicate workqueue initialization
    (bsc#1012628).
  - crypto: hisilicon/qm - fix PF queue parameter issue
    (bsc#1012628).
  - ARM: 9321/1: memset: cast the constant byte to unsigned char
    (bsc#1012628).
  - ARM: 9323/1: mm: Fix ARCH_LOW_ADDRESS_LIMIT when CONFIG_ZONE_DMA
    (bsc#1012628).
  - ext4: add missing initialization of call_notify_error in
    update_super_work() (bsc#1012628).
  - ext4: move 'ix' sanity check to corrent position (bsc#1012628).
  - kselftest: vm: fix mdwe's mmap_FIXED test case (bsc#1012628).
  - ASoC: fsl: mpc5200_dma.c: Fix warning of Function parameter
    or member not described (bsc#1012628).
  - backlight: pwm_bl: Disable PWM on shutdown, suspend and remove
    (bsc#1012628).
  - ASoC: Intel: sof_sdw_rt_sdca_jack_common: add rt713 support
    (bsc#1012628).
  - ASoC: fsl-asoc-card: Add comment for mclk in the codec_priv
    (bsc#1012628).
  - fs: dlm: Fix the size of a buffer in dlm_create_debug_file()
    (bsc#1012628).
  - dlm: fix creating multiple node structures (bsc#1012628).
  - dlm: fix remove member after close call (bsc#1012628).
  - dlm: be sure we reset all nodes at forced shutdown
    (bsc#1012628).
  - dlm: fix no ack after final message (bsc#1012628).
  - IB/mlx5: Fix rdma counter binding for RAW QP (bsc#1012628).
  - RDMA/hns: Fix printing level of asynchronous events
    (bsc#1012628).
  - RDMA/hns: Fix uninitialized ucmd in hns_roce_create_qp_common()
    (bsc#1012628).
  - RDMA/hns: Fix signed-unsigned mixed comparisons (bsc#1012628).
  - RDMA/hns: Add check for SL (bsc#1012628).
  - RDMA/hns: The UD mode can only be configured with DCQCN
    (bsc#1012628).
  - RDMA/hns: Fix unnecessary port_num transition in HW stats
    allocation (bsc#1012628).
  - RDMA/hns: Fix init failure of RoCE VF and HIP08 (bsc#1012628).
  - ASoC: SOF: core: Ensure sof_ops_free() is still called when
    probe never ran (bsc#1012628).
  - ASoC: intel: sof_sdw: Stop processing CODECs when enough are
    found (bsc#1012628).
  - ASoC: fsl: Fix PM disable depth imbalance in fsl_easrc_probe
    (bsc#1012628).
  - scsi: ufs: core: Leave space for '\0' in utf8 desc string
    (bsc#1012628).
  - RDMA/hfi1: Workaround truncation compilation error
    (bsc#1012628).
  - hid: cp2112: Fix IRQ shutdown stopping polling for all IRQs
    on chip (bsc#1012628).
  - HID: uclogic: Fix user-memory-access bug in
    uclogic_params_ugee_v2_init_event_hooks() (bsc#1012628).
  - HID: uclogic: Fix a work->entry not empty bug in __queue_work()
    (bsc#1012628).
  - sh: bios: Revive earlyprintk support (bsc#1012628).
  - HID: logitech-hidpp: Don't restart IO, instead defer
    hid_connect() only (bsc#1012628).
  - HID: logitech-hidpp: Revert "Don't restart communication if
    not necessary" (bsc#1012628).
  - HID: logitech-hidpp: Move get_wireless_feature_index() check
    to hidpp_connect_event() (bsc#1012628).
  - ASoC: Intel: Skylake: Fix mem leak when parsing UUIDs fails
    (bsc#1012628).
  - PCI: endpoint: Fix double free in __pci_epc_create()
    (bsc#1012628).
  - padata: Fix refcnt handling in padata_free_shell()
    (bsc#1012628).
  - certs: Break circular dependency when selftest is modular
    (bsc#1012628).
  - crypto: qat - consolidate services structure (bsc#1012628).
  - crypto: qat - enable dc chaining service (bsc#1012628).
  - crypto: qat - refactor fw config related functions
    (bsc#1012628).
  - crypto: qat - use masks for AE groups (bsc#1012628).
  - crypto: qat - fix ring to service map for QAT GEN4
    (bsc#1012628).
  - crypto: qat - fix deadlock in backlog processing (bsc#1012628).
  - ASoC: ams-delta.c: use component after check (bsc#1012628).
  - erofs: fix erofs_insert_workgroup() lockref usage (bsc#1012628).
  - IB/mlx5: Fix init stage error handling to avoid double free
    of same QP and UAF (bsc#1012628).
  - mfd: core: Un-constify mfd_cell.of_reg (bsc#1012628).
  - mfd: core: Ensure disabled devices are skipped without aborting
    (bsc#1012628).
  - mfd: dln2: Fix double put in dln2_probe (bsc#1012628).
  - dt-bindings: mfd: mt6397: Split out compatible for MediaTek
    MT6366 PMIC (bsc#1012628).
  - mfd: arizona-spi: Set pdata.hpdet_channel for ACPI enumerated
    devs (bsc#1012628).
  - leds: turris-omnia: Do not use SMBUS calls (bsc#1012628).
  - leds: pwm: Don't disable the PWM when the LED should be off
    (bsc#1012628).
  - leds: trigger: ledtrig-cpu:: Fix 'output may be truncated'
    issue for 'cpu' (bsc#1012628).
  - scripts/gdb: fix usage of MOD_TEXT not defined when
    CONFIG_MODULES=n (bsc#1012628).
  - apparmor: fix invalid reference on profile->disconnected
    (bsc#1012628).
  - perf stat: Fix aggr mode initialization (bsc#1012628).
  - iio: frequency: adf4350: Use device managed functions and fix
    power down issue (bsc#1012628).
  - pinctrl: baytrail: fix debounce disable case (bsc#1012628).
  - perf kwork: Fix incorrect and missing free atom in
    work_push_atom() (bsc#1012628).
  - perf kwork: Add the supported subcommands to the document
    (bsc#1012628).
  - perf kwork: Set ordered_events to true in 'struct perf_tool'
    (bsc#1012628).
  - f2fs: compress: fix deadloop in f2fs_write_cache_pages()
    (bsc#1012628).
  - f2fs: compress: fix to avoid use-after-free on dic
    (bsc#1012628).
  - f2fs: compress: fix to avoid redundant compress extension
    (bsc#1012628).
  - f2fs: fix to drop meta_inode's page cache in f2fs_put_super()
    (bsc#1012628).
  - tty: tty_jobctrl: fix pid memleak in disassociate_ctty()
    (bsc#1012628).
  - perf parse-events: Fix tracepoint name memory leak
    (bsc#1012628).
  - livepatch: Fix missing newline character in
    klp_resolve_symbols() (bsc#1012628).
  - pinctrl: renesas: rzg2l: Make reverse order of enable() for
    disable() (bsc#1012628).
  - perf vendor events arm64: Fix for AmpereOne metrics
    (bsc#1012628).
  - perf record: Fix BTF type checks in the off-cpu profiling
    (bsc#1012628).
  - dmaengine: idxd: Register dsa_bus_type before registering idxd
    sub-drivers (bsc#1012628).
  - usb: dwc2: fix possible NULL pointer dereference caused by
    driver concurrency (bsc#1012628).
  - usb: chipidea: Fix DMA overwrite for Tegra (bsc#1012628).
  - usb: chipidea: Simplify Tegra DMA alignment code (bsc#1012628).
  - dmaengine: ti: edma: handle irq_of_parse_and_map() errors
    (bsc#1012628).
  - tools/perf: Update call stack check in builtin-lock.c
    (bsc#1012628).
  - misc: st_core: Do not call kfree_skb() under spin_lock_irqsave()
    (bsc#1012628).
  - debugfs: Fix __rcu type comparison warning (bsc#1012628).
  - tools: iio: iio_generic_buffer ensure alignment (bsc#1012628).
  - USB: usbip: fix stub_dev hub disconnect (bsc#1012628).
  - dmaengine: pxa_dma: Remove an erroneous BUG_ON() in
    pxad_free_desc() (bsc#1012628).
  - f2fs: fix to initialize map.m_pblk in f2fs_precache_extents()
    (bsc#1012628).
  - interconnect: qcom: qdu1000: Set ACV enable_mask (bsc#1012628).
  - interconnect: qcom: sc7180: Set ACV enable_mask (bsc#1012628).
  - interconnect: qcom: sc7280: Set ACV enable_mask (bsc#1012628).
  - interconnect: qcom: sc8180x: Set ACV enable_mask (bsc#1012628).
  - interconnect: qcom: sc8280xp: Set ACV enable_mask (bsc#1012628).
  - interconnect: qcom: sdm670: Set ACV enable_mask (bsc#1012628).
  - interconnect: qcom: sdm845: Set ACV enable_mask (bsc#1012628).
  - interconnect: qcom: sm6350: Set ACV enable_mask (bsc#1012628).
  - interconnect: qcom: sm8150: Set ACV enable_mask (bsc#1012628).
  - interconnect: qcom: sm8250: Set ACV enable_mask (bsc#1012628).
  - interconnect: qcom: sm8350: Set ACV enable_mask (bsc#1012628).
  - interconnect: qcom: osm-l3: Replace custom implementation of
    COUNT_ARGS() (bsc#1012628).
  - powerpc: Only define __parse_fpscr() when required
    (bsc#1012628).
  - interconnect: fix error handling in qnoc_probe() (bsc#1012628).
  - perf build: Add missing comment about NO_LIBTRACEEVENT=1
    (bsc#1012628).
  - perf parse-events: Fix for term values that are raw events
    (bsc#1012628).
  - perf mem-events: Avoid uninitialized read (bsc#1012628).
  - s390/ap: re-init AP queues on config on (bsc#1012628).
  - modpost: fix tee MODULE_DEVICE_TABLE built on big-endian host
    (bsc#1012628).
  - modpost: fix ishtp MODULE_DEVICE_TABLE built on big-endian host
    (bsc#1012628).
  - perf tools: Do not ignore the default vmlinux.h (bsc#1012628).
  - powerpc/40x: Remove stale PTE_ATOMIC_UPDATES macro
    (bsc#1012628).
  - powerpc/xive: Fix endian conversion size (bsc#1012628).
  - powerpc: Hide empty pt_regs at base of the stack (bsc#1012628).
  - perf trace: Use the right bpf_probe_read(_str) variant for
    reading user data (bsc#1012628).
  - powerpc/vas: Limit open window failure messages in log bufffer
    (bsc#1012628).
  - powerpc/imc-pmu: Use the correct spinlock initializer
    (bsc#1012628).
  - powerpc/pseries: fix potential memory leak in
    init_cpu_associativity() (bsc#1012628).
  - perf vendor events: Update PMC used in PM_RUN_INST_CMPL event
    for power10 platform (bsc#1012628).
  - xhci: Loosen RPM as default policy to cover for AMD xHC 1.1
    (bsc#1012628).
  - usb: host: xhci-plat: fix possible kernel oops while resuming
    (bsc#1012628).
  - powerpc/vmcore: Add MMU information to vmcoreinfo (bsc#1012628).
  - perf machine: Avoid out of bounds LBR memory read (bsc#1012628).
  - libperf rc_check: Make implicit enabling work for GCC
    (bsc#1012628).
  - perf hist: Add missing puts to hist__account_cycles
    (bsc#1012628).
  - perf vendor events intel: Fix broadwellde
    tma_info_system_dram_bw_use metric (bsc#1012628).
  - perf vendor events intel: Add broadwellde two metrics
    (bsc#1012628).
  - 9p/net: fix possible memory leak in p9_check_errors()
    (bsc#1012628).
  - rtla: Fix uninitialized variable found (bsc#1012628).
  - i3c: Fix potential refcount leak in
    i3c_master_register_new_i3c_devs (bsc#1012628).
  - rtc: brcmstb-waketimer: support level alarm_irq (bsc#1012628).
  - cxl/pci: Remove unnecessary device reference management in
    sanitize work (bsc#1012628).
  - cxl/pci: Cleanup 'sanitize' to always poll (bsc#1012628).
  - cxl/pci: Remove inconsistent usage of dev_err_probe()
    (bsc#1012628).
  - cxl/pci: Clarify devm host for memdev relative setup
    (bsc#1012628).
  - cxl/pci: Fix sanitize notifier setup (bsc#1012628).
  - cxl/memdev: Fix sanitize vs decoder setup locking (bsc#1012628).
  - cxl/mem: Fix shutdown order (bsc#1012628).
  - virt: sevguest: Fix passing a stack buffer as a scatterlist
    target (bsc#1012628).
  - rtc: pcf85363: fix wrong mask/val parameters in
    regmap_update_bits call (bsc#1012628).
  - cxl/region: Prepare the decoder match range helper for reuse
    (bsc#1012628).
  - cxl/region: Calculate a target position in a region interleave
    (bsc#1012628).
  - cxl/region: Use cxl_calc_interleave_pos() for auto-discovery
    (bsc#1012628).
  - cxl/region: Fix cxl_region_rwsem lock held when returning to
    user space (bsc#1012628).
  - cxl/core/regs: Rename @dev to @host in struct cxl_register_map
    (bsc#1012628).
  - cxl/port: Fix @host confusion in cxl_dport_setup_regs()
    (bsc#1012628).
  - cxl/hdm: Remove broken error path (bsc#1012628).
  - pcmcia: cs: fix possible hung task and memory leak pccardd()
    (bsc#1012628).
  - pcmcia: ds: fix refcount leak in pcmcia_device_add()
    (bsc#1012628).
  - pcmcia: ds: fix possible name leak in error path in
    pcmcia_device_add() (bsc#1012628).
  - media: imx-jpeg: initiate a drain of the capture queue in
    dynamic resolution change (bsc#1012628).
  - media: hantro: Check whether reset op is defined before use
    (bsc#1012628).
  - media: verisilicon: Do not enable G2 postproc downscale if
    source is narrower than destination (bsc#1012628).
  - media: ov13b10: Fix some error checking in probe (bsc#1012628).
  - media: ov5640: fix vblank unchange issue when work at dvp mode
    (bsc#1012628).
  - media: i2c: max9286: Fix some redundant of_node_put() calls
    (bsc#1012628).
  - media: ov5640: Fix a memory leak when ov5640_probe fails
    (bsc#1012628).
  - media: bttv: fix use after free error due to btv->timeout timer
    (bsc#1012628).
  - media: amphion: handle firmware debug message (bsc#1012628).
  - media: mtk-jpegenc: Fix bug in JPEG encode quality selection
    (bsc#1012628).
  - media: s3c-camif: Avoid inappropriate kfree() (bsc#1012628).
  - media: vidtv: psi: Add check for kstrdup (bsc#1012628).
  - media: vidtv: mux: Add check and kfree for kstrdup
    (bsc#1012628).
  - media: cedrus: Fix clock/reset sequence (bsc#1012628).
  - media: i2c: imx219: Convert to CCI register access helpers
    (bsc#1012628).
  - media: i2c: imx219: Replace register addresses with macros
    (bsc#1012628).
  - media: i2c: imx219: Drop IMX219_REG_CSI_LANE_MODE from common
    regs array (bsc#1012628).
  - media: cadence: csi2rx: Unregister v4l2 async notifier
    (bsc#1012628).
  - media: dvb-usb-v2: af9035: fix missing unlock (bsc#1012628).
  - media: verisilicon: Fixes clock list for rk3588 av1 decoder
    (bsc#1012628).
  - media: mediatek: vcodec: Handle invalid encoder vsi
    (bsc#1012628).
  - media: imx-jpeg: notify source chagne event when the first
    picture parsed (bsc#1012628).
  - media: mediatek: vcodec: using encoder device to alloc/free
    encoder memory (bsc#1012628).
  - media: platform: mtk-mdp3: fix uninitialized variable in
    mdp_path_config() (bsc#1012628).
  - media: cec: meson: always include meson sub-directory in
    Makefile (bsc#1012628).
  - cpupower: fix reference to nonexistent document (bsc#1012628).
  - regmap: prevent noinc writes from clobbering cache
    (bsc#1012628).
  - drm/amdgpu/gfx10,11: use memcpy_to/fromio for MQDs
    (bsc#1012628).
  - drm/amdgpu: don't put MQDs in VRAM on ARM | ARM64 (bsc#1012628).
  - pwm: sti: Reduce number of allocations and drop usage of
    chip_data (bsc#1012628).
  - pwm: brcmstb: Utilize appropriate clock APIs in suspend/resume
    (bsc#1012628).
  - Input: synaptics-rmi4 - fix use after free in
    rmi_unregister_function() (bsc#1012628).
  - watchdog: marvell_gti_wdt: Fix error code in probe()
    (bsc#1012628).
  - watchdog: ixp4xx: Make sure restart always works (bsc#1012628).
  - llc: verify mac len before reading mac header (bsc#1012628).
  - hsr: Prevent use after free in prp_create_tagged_frame()
    (bsc#1012628).
  - tipc: Change nla_policy for bearer-related names to
    NLA_NUL_STRING (bsc#1012628).
  - rxrpc: Fix two connection reaping bugs (bsc#1012628).
  - bpf: Check map->usercnt after timer->timer is assigned
    (bsc#1012628).
  - inet: shrink struct flowi_common (bsc#1012628).
  - octeontx2-pf: Fix error codes (bsc#1012628).
  - octeontx2-pf: Fix holes in error code (bsc#1012628).
  - net: page_pool: add missing free_percpu when page_pool_init fail
    (bsc#1012628).
  - dccp: Call security_inet_conn_request() after setting IPv4
    addresses (bsc#1012628).
  - dccp/tcp: Call security_inet_conn_request() after setting IPv6
    addresses (bsc#1012628).
  - net: r8169: Disable multicast filter for RTL8168H and RTL8107E
    (bsc#1012628).
  - Fix termination state for idr_for_each_entry_ul() (bsc#1012628).
  - net: stmmac: xgmac: Enable support for multiple Flexible PPS
    outputs (bsc#1012628).
  - selftests: pmtu.sh: fix result checking (bsc#1012628).
  - octeontx2-pf: Free pending and dropped SQEs (bsc#1012628).
  - net/smc: fix dangling sock under state SMC_APPFINCLOSEWAIT
    (bsc#1012628).
  - net/smc: allow cdc msg send rather than drop it with NULL
    sndbuf_desc (bsc#1012628).
  - net/smc: put sk reference if close work was canceled
    (bsc#1012628).
  - nvme: fix error-handling for io_uring nvme-passthrough
    (bsc#1012628).
  - riscv: boot: Fix creation of loader.bin (bsc#1012628).
  - ice: Fix SRIOV LAG disable on non-compliant aggregate
    (bsc#1012628).
  - ice: lag: in RCU, use atomic allocation (bsc#1012628).
  - ice: Fix VF-VF filter rules in switchdev mode (bsc#1012628).
  - ice: Fix VF-VF direction matching in drop rule in switchdev
    (bsc#1012628).
  - tg3: power down device only on SYSTEM_POWER_OFF (bsc#1012628).
  - nbd: fix uaf in nbd_open (bsc#1012628).
  - blk-core: use pr_warn_ratelimited() in bio_check_ro()
    (bsc#1012628).
  - vsock/virtio: remove socket from connected/bound list on
    shutdown (bsc#1012628).
  - r8169: respect userspace disabling IFF_MULTICAST (bsc#1012628).
  - virtio/vsock: Fix uninit-value in virtio_transport_recv_pkt()
    (bsc#1012628).
  - net: enetc: shorten enetc_setup_xdp_prog() error message to
    fit NETLINK_MAX_FMTMSG_LEN (bsc#1012628).
  - i2c: iproc: handle invalid slave state (bsc#1012628).
  - netfilter: xt_recent: fix (increase) ipv6 literal buffer length
    (bsc#1012628).
  - netfilter: nat: fix ipv6 nat redirect with mapped and scoped
    addresses (bsc#1012628).
  - net/sched: act_ct: Always fill offloading tuple iifidx
    (bsc#1012628).
  - RISC-V: Don't fail in riscv_of_parent_hartid() for disabled
    HARTs (bsc#1012628).
  - net: ti: icss-iep: fix setting counter value (bsc#1012628).
  - drivers: perf: Do not broadcast to other cpus when starting
    a counter (bsc#1012628).
  - module/decompress: use kvmalloc() consistently (bsc#1012628).
  - fs: dlm: Simplify buffer size computation in
    dlm_create_debug_file() (bsc#1012628).
  - drm/vc4: tests: Fix UAF in the mock helpers (bsc#1012628).
  - drm/syncobj: fix DRM_SYNCOBJ_WAIT_FLAGS_WAIT_AVAILABLE
    (bsc#1012628).
  - ASoC: rt712-sdca: fix speaker route missing issue (bsc#1012628).
  - ASoC: mediatek: mt8186_mt6366_rt1019_rt5682s: trivial: fix
    error messages (bsc#1012628).
  - ASoC: hdmi-codec: register hpd callback on component probe
    (bsc#1012628).
  - ASoC: dapm: fix clock get name (bsc#1012628).
  - spi: spi-zynq-qspi: add spi-mem to driver kconfig dependencies
    (bsc#1012628).
  - arm64/arm: arm_pmuv3: perf: Don't truncate 64-bit registers
    (bsc#1012628).
  - fbdev: imsttfb: fix double free in probe() (bsc#1012628).
  - fbdev: imsttfb: fix a resource leak in probe (bsc#1012628).
  - ALSA: hda/realtek: Add support dual speaker for Dell
    (bsc#1012628).
  - fbdev: fsl-diu-fb: mark wr_reg_wa() static (bsc#1012628).
  - tracing/kprobes: Fix the order of argument descriptions
    (bsc#1012628).
  - eventfs: Check for NULL ef in eventfs_set_attr() (bsc#1012628).
  - selftests: mptcp: run userspace pm tests slower (bsc#1012628).
  - selftests: mptcp: fix wait_rm_addr/sf parameters (bsc#1012628).
  - io_uring/net: ensure socket is marked connected on connect retry
    (bsc#1012628).
  - x86/amd_nb: Use Family 19h Models 60h-7Fh Function 4 IDs
    (bsc#1012628).
  - Revert "PCI/ASPM: Disable only ASPM_STATE_L1 when driver,
    disables L1" (bsc#1012628).
  - Revert "mmc: core: Capture correct oemid-bits for eMMC cards"
    (bsc#1012628).
  - btrfs: use u64 for buffer sizes in the tree search ioctls
    (bsc#1012628).
  - btrfs: make found_logical_ret parameter mandatory for function
    queue_scrub_stripe() (bsc#1012628).
  - Refresh
    patches.suse/ALSA-hda-cs35l41-Support-mute-notifications-for-CS35.patch.
  - Rename to
    patches.kernel.org/6.6.2-328-ASoC-cs35l41-Handle-mdsync_down-reg-write-error.patch.
  - Rename to
    patches.kernel.org/6.6.2-329-ASoC-cs35l41-Handle-mdsync_up-reg-write-errors.patch.
  - Rename to
    patches.kernel.org/6.6.2-330-ASoC-cs35l41-Initialize-completion-object-befor.patch.
  - Rename to
    patches.kernel.org/6.6.2-331-ASoC-cs35l41-Fix-broken-shared-boost-activation.patch.
  - Rename to
    patches.kernel.org/6.6.2-332-ASoC-cs35l41-Verify-PM-runtime-resume-errors-in.patch.
  - Rename to
    patches.kernel.org/6.6.2-333-ASoC-cs35l41-Undo-runtime-PM-changes-at-driver-.patch.
  - Rename to
    patches.kernel.org/6.6.2-334-ALSA-hda-cs35l41-Fix-unbalanced-pm_runtime_get.patch.
  - Rename to
    patches.kernel.org/6.6.2-335-ALSA-hda-cs35l41-Undo-runtime-PM-changes-at-dri.patch.
  - commit 263a855
* Mon Nov 20 2023 mkubecek@suse.cz
  - Update to 6.7-rc2
  - refresh
    - patches.suse/firmware-qemu_fw_cfg-Do-not-hard-depend-on-CONFIG_HA.patch
  - update configs
    - riscv64
    - FW_CFG_SYSFS=m
    - FW_CFG_SYSFS_CMDLINE=y
  - commit e1d4442
* Wed Nov 15 2023 tiwai@suse.de
  - Refresh patches.suse/iwlwifi-cfg-Add-missing-MODULE_FIRMWARE-for-pnvm.patch
    Add entries for more *.pnvm files
  - commit 880a670
* Wed Nov 15 2023 tiwai@suse.de
  - ALSA: hda: cs35l41: Fix missing error code in
    cs35l41_smart_amp() (bsc#1213745).
  - ALSA: hda: cs35l41: mark cs35l41_verify_id() static
    (bsc#1213745).
  - ASoC: cs35l41: Detect CSPL errors when sending CSPL commands
    (bsc#1213745).
  - ALSA: hda: cs35l41: Check CSPL state after loading firmware
    (bsc#1213745).
  - ALSA: hda: cs35l41: Do not unload firmware before reset in
    system suspend (bsc#1213745).
  - ALSA: hda: cs35l41: Force a software reset after hardware reset
    (bsc#1213745).
  - ALSA: hda: cs35l41: Run boot process during resume callbacks
    (bsc#1213745).
  - ALSA: hda: cs35l41: Assert Reset prior to de-asserting in
    probe and system resume (bsc#1213745).
  - ALSA: hda: cs35l41: Assert reset before system suspend
    (bsc#1213745).
  - ALSA: hda: cs35l41: Use reset label to get GPIO for HP Zbook
    Fury 17 G9 (bsc#1213745).
  - ALSA: hda: cs35l41: Consistently use dev_err_probe()
    (bsc#1213745).
  - ALSA: hda: cs35l41: Undo runtime PM changes at driver exit time
    (bsc#1213745).
  - ALSA: hda: cs35l41: Fix unbalanced pm_runtime_get()
    (bsc#1213745).
  - ASoC: cs35l41: Use modern pm_ops (bsc#1213745).
  - ASoC: cs35l41: Make use of dev_err_probe() (bsc#1213745).
  - ASoC: cs35l41: Undo runtime PM changes at driver exit time
    (bsc#1213745).
  - ASoC: cs35l41: Verify PM runtime resume errors in IRQ handler
    (bsc#1213745).
  - ASoC: cs35l41: Fix broken shared boost activation (bsc#1213745).
  - ASoC: cs35l41: Initialize completion object before requesting
    IRQ (bsc#1213745).
  - ASoC: cs35l41: Handle mdsync_up reg write errors (bsc#1213745).
  - ASoC: cs35l41: Handle mdsync_down reg write errors
    (bsc#1213745).
  - ALSA: hda: cs35l41: Add read-only ALSA control for forced mute
    (bsc#1213745).
  - ALSA: hda: cs35l41: Support mute notifications for CS35L41 HDA
    (bsc#1213745).
  - ALSA: hda/realtek: Support ACPI Notification framework via
    component binding (bsc#1213745).
  - ALSA: hda: cs35l41: Add notification support into component
    binding (bsc#1213745).
  - commit 1b0014b
* Mon Nov 13 2023 schwab@suse.de
  - rpm/check-for-config-changes: add HAVE_SHADOW_CALL_STACK to IGNORED_CONFIGS_RE
    Not supported by our compiler.
  - commit eb32b5a
* Mon Nov 13 2023 mkubecek@suse.cz
  - Update to 6.7-rc1
  - drop 36 patches (31 stable, 5 mainline)
    - patches.kernel.org/*
    - patches.rpmify/kbuild-dummy-tools-pretend-we-understand-fpatchable-.patch
    - patches.suse/firmware-Add-support-for-Qualcomm-UEFI-Secure-Applic.patch
    - patches.suse/firmware-qcom_scm-Add-support-for-Qualcomm-Secure-Ex.patch
    - patches.suse/lib-ucs2_string-Add-UCS-2-strscpy-function.patch
    - patches.suse/wifi-ath11k-rename-the-sc-naming-convention-to-ab.patch
  - refresh
    - patches.rpmify/Add-ksym-provides-tool.patch
    - patches.suse/add-product-identifying-information-to-vmcoreinfo.patch
    - patches.suse/add-suse-supported-flag.patch
    - patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch
    - patches.suse/vfs-add-super_operations-get_inode_dev
    - patches.suse/wifi-ath11k-support-hibernation.patch
  - fix patch metadata
    - patches.rpmify/Add-ksym-provides-tool.patch
  - disable ARM architectures (need config update)
  - new config options
    - Processor type and features
    - CONFIG_INTEL_TDX_HOST=n
    - Binary Emulations
    - CONFIG_IA32_EMULATION_DEFAULT_DISABLED=n
    - Virtualization
    - CONFIG_KVM_MAX_NR_VCPUS=4096
    - Enable loadable module support
    - CONFIG_MODULE_SIG_SHA3_256=n
    - CONFIG_MODULE_SIG_SHA3_384=n
    - CONFIG_MODULE_SIG_SHA3_512=n
    - Memory Management options
    - CONFIG_PCP_BATCH_SCALE_MAX=5
    - Networking support
    - CONFIG_TCP_AO=y
    - File systems
    - CONFIG_BCACHEFS_FS=m
    - CONFIG_BCACHEFS_QUOTA=y
    - CONFIG_BCACHEFS_POSIX_ACL=y
    - CONFIG_BCACHEFS_DEBUG_TRANSACTIONS=n
    - CONFIG_BCACHEFS_DEBUG=n
    - CONFIG_BCACHEFS_TESTS=n
    - CONFIG_BCACHEFS_LOCK_TIME_STATS=n
    - CONFIG_BCACHEFS_NO_LATENCY_ACCT=n
    - Cryptographic API
    - CONFIG_CRYPTO_JITTERENTROPY_MEMSIZE_2=y
    - CONFIG_CRYPTO_JITTERENTROPY_MEMSIZE_128=n
    - CONFIG_CRYPTO_JITTERENTROPY_MEMSIZE_1024=n
    - CONFIG_CRYPTO_JITTERENTROPY_MEMSIZE_8192=n
    - CONFIG_CRYPTO_JITTERENTROPY_OSR=1
    - CONFIG_SECONDARY_TRUSTED_KEYRING_SIGNED_BY_BUILTIN=n
    - Library routines
    - CONFIG_LWQ_TEST=n
    - Kernel hacking
    - CONFIG_DEBUG_CLOSURES=n
    - CONFIG_TEST_OBJPOOL=n
    - PCI support
    - CONFIG_PCIEAER_CXL=y
    - NVME Support
    - CONFIG_NVME_TCP_TLS=y
    - CONFIG_NVME_HOST_AUTH=y
    - CONFIG_NVME_TARGET_TCP_TLS=y
    - Network device support
    - CONFIG_NETKIT=y
    - CONFIG_IDPF=m
    - CONFIG_MLX5_DPLL=m
    - CONFIG_MCTP_TRANSPORT_I3C=m
    - CONFIG_MT7925E=m
    - CONFIG_MT7925U=m
    - Hardware Monitoring support
    - CONFIG_SENSORS_POWERZ=m
    - CONFIG_SENSORS_LTC2991=m
    - Multimedia support
    - CONFIG_VIDEO_MGB4=m
    - CONFIG_VIDEO_MT9M114=m
    - Sound card support
    - CONFIG_SND_AMD_ASOC_ACP63=m
    - CONFIG_SND_SOC_INTEL_AVS_MACH_RT5514=m
    - CONFIG_SND_SOC_INTEL_SOF_DA7219_MACH=m
    - CONFIG_SND_SOC_SOF_AMD_ACP63=m
    - CONFIG_SND_SOC_AW87390=n
    - CONFIG_SND_SOC_AW88399=n
    - CONFIG_SND_SOC_RTQ9128=m
    - USB support
    - CONFIG_USB_PCI_AMD=y
    - CONFIG_USB_LJCA=m
    - CONFIG_TYPEC_MUX_PTN36502=m
    - Industrial I/O support
    - CONFIG_LTC2309=n
    - CONFIG_MCP3564=n
    - CONFIG_ROHM_BM1390=n
    - Misc drivers
    - CONFIG_I2C_LJCA=m
    - CONFIG_SPI_LJCA=m
    - CONFIG_GPIO_LJCA=m
    - CONFIG_FUEL_GAUGE_MM8013=m
    - CONFIG_REGULATOR_MAX77503=m
    - CONFIG_LEDS_TRIGGER_GPIO=m
    - CONFIG_XEN_PRIVCMD_EVENTFD=y
    - CONFIG_INSPUR_PLATFORM_PROFILE=m
    - OF dependent (i386, ppc64/ppc64le, riscv64)
    - DRM_PANEL_ILITEK_ILI9882T=n
    - DRM_PANEL_JDI_LPM102A188A=n
    - DRM_PANEL_RAYDIUM_RM692E5=n
    - LEDS_KTD202X=m
    - ppc64le
    - PROBE_EVENTS_BTF_ARGS=y
    - s390x/zfcdump
    - CERT_STORE=y
    - BLK_SED_OPAL=n
    - DNS_RESOLVER=n
    - QUOTA_NETLINK_INTERFACE=n
    - KEYS_REQUEST_CACHE=n
    - PERSISTENT_KEYRINGS=n
    - TRUSTED_KEYS=n
    - ENCRYPTED_KEYS=n
    - KEY_DH_OPERATIONS=n
    - KEY_NOTIFICATIONS=n
    - ASYMMETRIC_KEY_TYPE=n
    - SYSTEM_BLACKLIST_KEYRING=n
    - RAID6_PQ_BENCHMARK=n
    - riscv64
    - ARCH_SOPHGO=y
    - RISCV_MISALIGNED=y
    - SHADOW_CALL_STACK=y
    - PCIE_RCAR_GEN4_HOST=m
    - PCIE_RCAR_GEN4_EP=m
    - SND_SOC_JH7110_PWMDAC=m
    - RUNTIME_KERNEL_TESTING_MENU=n
    - copied from arm64
    - SERIO_AMBAKMI=n
    - SERIAL_AMBA_PL010=y
    - SERIAL_AMBA_PL011=y
    - I2C_NOMADIK=n
    - SPI_PL022=m
    - GPIO_PL061=y
    - MMC_ARMMMCI=m
    - MMC_SDHI_INTERNAL_DMAC=m
    - RTC_DRV_PL030=y
    - RTC_DRV_PL031=y
    - AMBA_PL08X=n
    - PL330_DMA=m
    - ARM_MHU=n
    - ARM_MHU_V2=m
    - PL320_MBOX=n
    - ARM_PL172_MPMC=m
    - SERIAL_AMBA_PL010_CONSOLE=y
    - SERIAL_AMBA_PL011_CONSOLE=y
    - MMC_STM32_SDMMC=y
  - commit 47d658a
* Fri Nov 10 2023 jdelvare@suse.de
  - Disable Loongson drivers
    Loongson is a mips architecture, I don't think it makes sense to
    build Loongson drivers on other architectures.
  - commit 4d5bd14
* Fri Nov 10 2023 tiwai@suse.de
  - wifi: ath11k: support hibernation (bsc#1207948).
  - wifi: ath11k: thermal: don't try to register multiple times
    (bsc#1207948).
  - wifi: ath11k: fix warning on DMA ring capabilities event
    (bsc#1207948).
  - wifi: ath11k: do not dump SRNG statistics during resume
    (bsc#1207948).
  - wifi: ath11k: remove MHI LOOPBACK channels (bsc#1207948).
  - wifi: ath11k: handle irq enable/disable in several code path
    (bsc#1207948).
  - bus: mhi: host: add new interfaces to handle MHI channels
    directly (bsc#1207948).
  - bus: mhi: host: add mhi_power_down_no_destroy() (bsc#1207948).
  - commit 10bbcec
* Fri Nov 10 2023 tiwai@suse.de
  - wifi: ath11k: rename the sc naming convention to ab
    (bsc#1207948).
  - commit e66948e
* Fri Nov 10 2023 tiwai@suse.de
  - Drop ath11k hibernation patch set once for renewal (bsc#1207948)
  - commit 9ecdaa5
* Thu Nov 09 2023 jslaby@suse.cz
  - Linux 6.6.1 (bsc#1012628).
  - ASoC: SOF: sof-pci-dev: Fix community key quirk detection
    (bsc#1012628).
  - ALSA: hda: intel-dsp-config: Fix JSL Chromebook quirk detection
    (bsc#1012628).
  - serial: core: Fix runtime PM handling for pending tx
    (bsc#1012628).
  - misc: pci_endpoint_test: Add deviceID for J721S2 PCIe EP device
    support (bsc#1012628).
  - dt-bindings: serial: rs485: Add rs485-rts-active-high
    (bsc#1012628).
  - tty: 8250: Add Brainboxes Oxford Semiconductor-based quirks
    (bsc#1012628).
  - tty: 8250: Add support for Intashield IX cards (bsc#1012628).
  - tty: 8250: Add support for additional Brainboxes PX cards
    (bsc#1012628).
  - tty: 8250: Fix up PX-803/PX-857 (bsc#1012628).
  - tty: 8250: Fix port count of PX-257 (bsc#1012628).
  - tty: 8250: Add support for Intashield IS-100 (bsc#1012628).
  - tty: 8250: Add support for Brainboxes UP cards (bsc#1012628).
  - tty: 8250: Add support for additional Brainboxes UC cards
    (bsc#1012628).
  - tty: 8250: Remove UC-257 and UC-431 (bsc#1012628).
  - tty: n_gsm: fix race condition in status line change on dead
    connections (bsc#1012628).
  - Bluetooth: hci_bcm4377: Mark bcm4378/bcm4387 as BROKEN_LE_CODED
    (bsc#1012628).
  - usb: raw-gadget: properly handle interrupted requests
    (bsc#1012628).
  - usb: typec: tcpm: Fix NULL pointer dereference in tcpm_pd_svdm()
    (bsc#1012628).
  - usb: typec: tcpm: Add additional checks for contaminant
    (bsc#1012628).
  - usb: storage: set 1.50 as the lower bcdDevice for older "Super
    Top" compatibility (bsc#1012628).
  - PCI: Prevent xHCI driver from claiming AMD VanGogh USB3 DRD
    device (bsc#1012628).
  - ALSA: usb-audio: add quirk flag to enable native DSD for
    McIntosh devices (bsc#1012628).
  - eventfs: Use simple_recursive_removal() to clean up dentries
    (bsc#1012628).
  - eventfs: Delete eventfs_inode when the last dentry is freed
    (bsc#1012628).
  - eventfs: Save ownership and mode (bsc#1012628).
  - eventfs: Remove "is_freed" union with rcu head (bsc#1012628).
  - tracing: Have trace_event_file have ref counters (bsc#1012628).
  - perf evlist: Avoid frequency mode for the dummy event
    (bsc#1012628).
  - power: supply: core: Use blocking_notifier_call_chain to avoid
    RCU complaint (bsc#1012628).
  - drm/amd/display: Don't use fsleep for PSR exit waits
    (bsc#1012628).
  - commit 758e4f0
* Mon Nov 06 2023 mkubecek@suse.cz
  - update patch metadata
  - update upstream reference
    - patches.rpmify/kbuild-dummy-tools-pretend-we-understand-fpatchable-.patch
  - commit 93ae682
* Thu Nov 02 2023 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream references and move into sorted section:
    - patches.suse/firmware-Add-support-for-Qualcomm-UEFI-Secure-Applic.patch
    - patches.suse/firmware-qcom_scm-Add-support-for-Qualcomm-Secure-Ex.patch
    - patches.suse/lib-ucs2_string-Add-UCS-2-strscpy-function.patch
  - commit 157ac85
* Thu Nov 02 2023 jslaby@suse.cz
  - kbuild: dummy-tools: pretend we understand
    - fpatchable-function-entry (ppc64le-build-fix).
  - Delete
    patches.rpmify/kbuild-dummy-tools-Add-support-for-fpatchable-functi.patch.
    Replace the latter with the former (upstream accepted solution).
  - commit 8050c17
* Wed Nov 01 2023 schwab@suse.de
  - riscv: enable CONFIG_PCI_HOST_GENERIC
    Needed for the pci host controller emulated by qemu.
  - commit ebb7db3
* Wed Nov 01 2023 jslaby@suse.cz
  - Delete patches.rpmify/x86-Let-AS_WRUSS-depend-on-X86_64.patch.
  - Update config files.
    This effectively reverts 9ab2e0780c8a2fb9a84db5bad59fbe3ab526a6c3. The
    patch was not accepted upstream:
    https://lore.kernel.org/all/20231031140504.GCZUEJkMPXSrEDh3MA@fat_crate.local/
    Instead, we merged the packaging branch which fixes this in
    rpm/check-for-config-changes instead.
  - rpm/check-for-config-changes: add AS_WRUSS to IGNORED_CONFIGS_RE
    Add AS_WRUSS as an IGNORED_CONFIGS_RE entry in check-for-config-changes
    to fix build on x86_32.
    There was a fix submitted to upstream but it was not accepted:
    https://lore.kernel.org/all/20231031140504.GCZUEJkMPXSrEDh3MA@fat_crate.local/
    So carry this in IGNORED_CONFIGS_RE instead.
  - commit ce5bc31
* Tue Oct 31 2023 petr@tesarici.cz
  - config: enable NFS_V4_2_READ_PLUS (bsc#1216736)
    This option was introduced and disabled by default because of unresolved
    issues. As stated in mainline commit 9cf2744d2491 ("NFS: Enable the
    READ_PLUS operation by default") which changes the upstream default to
    enabled, these issues are addressed so that it is safe to enable the
    option and as it allows to transfer sparse files more efficiently, it is
    desirable to do so.
  - commit c4f1dc1
* Tue Oct 31 2023 jslaby@suse.cz
  - x86: Let AS_WRUSS depend on X86_64 (i386-build-fix).
  - Update config files.
  - commit 9ab2e07
* Mon Oct 30 2023 jslaby@suse.cz
  - kbuild: dummy-tools: pretend we understand
    - fpatchable-function-entry (ppc64le-build-fix).
  - Update config files.
  - commit 2c8a930
* Mon Oct 30 2023 msuchanek@suse.de
  - kbuild: dummy-tools: Add support for -fpatchable-function-entry (dummy-tools).
    Update config files.
  - commit 494c209
* Mon Oct 30 2023 jslaby@suse.cz
  - Delete
    patches.suse/ACPI-video-Add-backlight-native-DMI-quirk-for-Lenovo.patch.
    Already present in 6.6-rc1. This was applied twice due to too few
    context in the diff. But it did not hurt...
  - commit 2a844dc
* Mon Oct 30 2023 mkubecek@suse.cz
  - Update to 6.6 final
  - refresh configs (headers only)
  - commit e0904b6
* Sun Oct 29 2023 mkubecek@suse.cz
  - update and reenable armv7hl configs
    Where possible, new values are copied from arm64. The rest is guessed,
    mostly based on existing values of similar config options.
  - armv7hl specific config options:
    - TI_ICSS_IEP=m
  - commit 09e0fd1
* Fri Oct 27 2023 vkarasulli@suse.de
  - Update
    patches.kernel.org/6.5.9-016-x86-sev-Disable-MMIO-emulation-from-user-mode.patch
    (bsc#1012628 bsc#1212649 CVE-2023-46813).
  - Update
    patches.kernel.org/6.5.9-017-x86-sev-Check-IOBM-for-IOIO-exceptions-from-use.patch
    (bsc#1012628 bsc#1212649 CVE-2023-46813).
  - Update
    patches.kernel.org/6.5.9-018-x86-sev-Check-for-user-space-IOIO-pointing-to-k.patch
    (bsc#1012628 bsc#1212649 CVE-2023-46813).
  - commit b854e69
* Wed Oct 25 2023 jslaby@suse.cz
  - Linux 6.5.9 (bsc#1012628).
  - Bluetooth: hci_event: Ignore NULL link key (bsc#1012628).
  - Bluetooth: Reject connection with the device which has same
    BD_ADDR (bsc#1012628).
  - Bluetooth: Fix a refcnt underflow problem for hci_conn
    (bsc#1012628).
  - Bluetooth: vhci: Fix race when opening vhci device
    (bsc#1012628).
  - Bluetooth: hci_event: Fix coding style (bsc#1012628).
  - Bluetooth: avoid memcmp() out of bounds warning (bsc#1012628).
  - Bluetooth: hci_conn: Fix modifying handle while aborting
    (bsc#1012628).
  - ice: fix over-shifted variable (bsc#1012628).
  - ice: Fix safe mode when DDP is missing (bsc#1012628).
  - ice: reset first in crash dump kernels (bsc#1012628).
  - net/smc: return the right falback reason when prefix checks fail
    (bsc#1012628).
  - btrfs: fix stripe length calculation for non-zoned data chunk
    allocation (bsc#1012628).
  - nfc: nci: fix possible NULL pointer dereference in
    send_acknowledge() (bsc#1012628).
  - regmap: fix NULL deref on lookup (bsc#1012628).
  - KVM: x86: Mask LVTPC when handling a PMI (bsc#1012628).
  - x86/sev: Disable MMIO emulation from user mode (bsc#1012628).
  - x86/sev: Check IOBM for IOIO exceptions from user-space
    (bsc#1012628).
  - x86/sev: Check for user-space IOIO pointing to kernel space
    (bsc#1012628).
  - x86/fpu: Allow caller to constrain xfeatures when copying to
    uabi buffer (bsc#1012628).
  - KVM: x86/pmu: Truncate counter value to allowed width on write
    (bsc#1012628).
  - KVM: x86: Constrain guest-supported xfeatures only at
    KVM_GET_XSAVE{2} (bsc#1012628).
  - x86: KVM: SVM: always update the x2avic msr interception
    (bsc#1012628).
  - x86: KVM: SVM: add support for Invalid IPI Vector interception
    (bsc#1012628).
  - x86: KVM: SVM: refresh AVIC inhibition in svm_leave_nested()
    (bsc#1012628).
  - audit,io_uring: io_uring openat triggers audit reference count
    underflow (bsc#1012628).
  - tcp: check mptcp-level constraints for backlog coalescing
    (bsc#1012628).
  - mptcp: more conservative check for zero probes (bsc#1012628).
  - selftests: mptcp: join: no RST when rm subflow/addr
    (bsc#1012628).
  - mm: slab: Do not create kmalloc caches smaller than
    arch_slab_minalign() (bsc#1012628).
  - fs/ntfs3: Fix OOB read in ntfs_init_from_boot (bsc#1012628).
  - fs/ntfs3: Fix possible null-pointer dereference in hdr_find_e()
    (bsc#1012628).
  - fs/ntfs3: fix panic about slab-out-of-bounds caused by
    ntfs_list_ea() (bsc#1012628).
  - fs/ntfs3: Fix shift-out-of-bounds in ntfs_fill_super
    (bsc#1012628).
  - fs/ntfs3: fix deadlock in mark_as_free_ex (bsc#1012628).
  - Revert "net: wwan: iosm: enable runtime pm support for 7560"
    (bsc#1012628).
  - netfilter: nft_payload: fix wrong mac header matching
    (bsc#1012628).
  - io_uring: fix crash with IORING_SETUP_NO_MMAP and invalid SQ
    ring address (bsc#1012628).
  - nvmet-tcp: Fix a possible UAF in queue intialization setup
    (bsc#1012628).
  - drm/i915: Retry gtt fault when out of fence registers
    (bsc#1012628).
  - drm/mediatek: Correctly free sg_table in gem prime vmap
    (bsc#1012628).
  - drm/nouveau/disp: fix DP capable DSM connectors (bsc#1012628).
  - drm/edid: add 8 bpc quirk to the BenQ GW2765 (bsc#1012628).
  - ALSA: hda/realtek - Fixed ASUS platform headset Mic issue
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for ASUS ROG GU603ZV (bsc#1012628).
  - ALSA: hda/relatek: Enable Mute LED on HP Laptop 15s-fq5xxx
    (bsc#1012628).
  - ASoC: codecs: wcd938x-sdw: fix use after free on driver unbind
    (bsc#1012628).
  - ASoC: codecs: wcd938x-sdw: fix runtime PM imbalance on probe
    errors (bsc#1012628).
  - ASoC: codecs: wcd938x: drop bogus bind error handling
    (bsc#1012628).
  - ASoC: codecs: wcd938x: fix unbind tear down order (bsc#1012628).
  - ASoC: codecs: wcd938x: fix resource leaks on bind errors
    (bsc#1012628).
  - ASoC: codecs: wcd938x: fix regulator leaks on probe errors
    (bsc#1012628).
  - ASoC: codecs: wcd938x: fix runtime PM imbalance on remove
    (bsc#1012628).
  - qed: fix LL2 RX buffer allocation (bsc#1012628).
  - xfrm: fix a data-race in xfrm_lookup_with_ifid() (bsc#1012628).
  - xfrm6: fix inet6_dev refcount underflow problem (bsc#1012628).
  - xfrm: fix a data-race in xfrm_gen_index() (bsc#1012628).
  - xfrm: interface: use DEV_STATS_INC() (bsc#1012628).
  - net: xfrm: skip policies marked as dead while reinserting
    policies (bsc#1012628).
  - fprobe: Fix to ensure the number of active retprobes is not zero
    (bsc#1012628).
  - wifi: cfg80211: use system_unbound_wq for wiphy work
    (bsc#1012628).
  - net: ipv4: fix return value check in esp_remove_trailer
    (bsc#1012628).
  - net: ipv6: fix return value check in esp_remove_trailer
    (bsc#1012628).
  - net: rfkill: gpio: prevent value glitch during probe
    (bsc#1012628).
  - tcp: fix excessive TLP and RACK timeouts from HZ rounding
    (bsc#1012628).
  - tcp: tsq: relax tcp_small_queue_check() when rtx queue contains
    a single skb (bsc#1012628).
  - tcp: Fix listen() warning with v4-mapped-v6 address
    (bsc#1012628).
  - docs: fix info about representor identification (bsc#1012628).
  - tun: prevent negative ifindex (bsc#1012628).
  - gve: Do not fully free QPL pages on prefill errors
    (bsc#1012628).
  - ipv4: fib: annotate races around nh->nh_saddr_genid and
    nh->nh_saddr (bsc#1012628).
  - net: usb: smsc95xx: Fix an error code in smsc95xx_reset()
    (bsc#1012628).
  - octeon_ep: update BQL sent bytes before ringing doorbell
    (bsc#1012628).
  - i40e: prevent crash on probe if hw registers have invalid values
    (bsc#1012628).
  - net: dsa: bcm_sf2: Fix possible memory leak in
    bcm_sf2_mdio_register() (bsc#1012628).
  - bonding: Return pointer to data after pull on skb (bsc#1012628).
  - net/sched: sch_hfsc: upgrade 'rt' to 'sc' when it becomes a
    inner curve (bsc#1012628).
  - neighbor: tracing: Move pin6 inside CONFIG_IPV6=y section
    (bsc#1012628).
  - selftests: openvswitch: Catch cases where the tests are killed
    (bsc#1012628).
  - selftests: openvswitch: Fix the ct_tuple for v4 (bsc#1012628).
  - selftests: netfilter: Run nft_audit.sh in its own netns
    (bsc#1012628).
  - netfilter: nft_set_rbtree: .deactivate fails if element has
    expired (bsc#1012628).
  - netlink: Correct offload_xstats size (bsc#1012628).
  - netfilter: nf_tables: do not refresh timeout when resetting
    element (bsc#1012628).
  - nf_tables: fix NULL pointer dereference in
    nft_expr_inner_parse() (bsc#1012628).
  - nf_tables: fix NULL pointer dereference in nft_inner_init()
    (bsc#1012628).
  - netfilter: nf_tables: do not remove elements if set backend
    implements .abort (bsc#1012628).
  - netfilter: nf_tables: revert do not remove elements if set
    backend implements .abort (bsc#1012628).
  - selftests: openvswitch: Add version check for pyroute2
    (bsc#1012628).
  - net: phy: bcm7xxx: Add missing 16nm EPHY statistics
    (bsc#1012628).
  - net: pktgen: Fix interface flags printing (bsc#1012628).
  - net: more strict VIRTIO_NET_HDR_GSO_UDP_L4 validation
    (bsc#1012628).
  - net: mdio-mux: fix C45 access returning -EIO after API change
    (bsc#1012628).
  - net: avoid UAF on deleted altname (bsc#1012628).
  - net: fix ifname in netlink ntf during netns move (bsc#1012628).
  - net: check for altname conflicts when changing netdev's netns
    (bsc#1012628).
  - iio: light: vcnl4000: Don't power on/off chip in config
    (bsc#1012628).
  - pwr-mlxbf: extend Kconfig to include gpio-mlxbf3 dependency
    (bsc#1012628).
  - ARM: dts: ti: omap: Fix noisy serial with overrun-throttle-ms
    for mapphone (bsc#1012628).
  - arm64: dts: mediatek: Fix "mediatek,merge-mute" and
    "mediatek,merge-fifo-en" types (bsc#1012628).
  - fs-writeback: do not requeue a clean inode having skipped pages
    (bsc#1012628).
  - btrfs: fix race when refilling delayed refs block reserve
    (bsc#1012628).
  - btrfs: prevent transaction block reserve underflow when starting
    transaction (bsc#1012628).
  - btrfs: return -EUCLEAN for delayed tree ref with a ref count
    not equals to 1 (bsc#1012628).
  - btrfs: initialize start_slot in btrfs_log_prealloc_extents
    (bsc#1012628).
  - i2c: mux: Avoid potential false error message in
    i2c_mux_add_adapter (bsc#1012628).
  - overlayfs: set ctime when setting mtime and atime (bsc#1012628).
  - accel/ivpu: Don't flood dmesg with VPU ready message
    (bsc#1012628).
  - gpio: timberdale: Fix potential deadlock on &tgpio->lock
    (bsc#1012628).
  - ata: libata-core: Fix compilation warning in
    ata_dev_config_ncq() (bsc#1012628).
  - ata: libata-eh: Fix compilation warning in ata_eh_link_report()
    (bsc#1012628).
  - tracing: relax trace_event_eval_update() execution with
    cond_resched() (bsc#1012628).
  - wifi: mwifiex: Sanity check tlv_len and tlv_bitmap_len
    (bsc#1012628).
  - wifi: cfg80211: validate AP phy operation before starting it
    (bsc#1012628).
  - wifi: iwlwifi: Ensure ack flag is properly cleared
    (bsc#1012628).
  - rfkill: sync before userspace visibility/changes (bsc#1012628).
  - HID: logitech-hidpp: Add Bluetooth ID for the Logitech M720
    Triathlon mouse (bsc#1012628).
  - HID: holtek: fix slab-out-of-bounds Write in
    holtek_kbd_input_event (bsc#1012628).
  - Bluetooth: btusb: add shutdown function for QCA6174
    (bsc#1012628).
  - Bluetooth: Avoid redundant authentication (bsc#1012628).
  - Bluetooth: hci_core: Fix build warnings (bsc#1012628).
  - wifi: cfg80211: Fix 6GHz scan configuration (bsc#1012628).
  - wifi: mac80211: work around Cisco AP 9115 VHT MPDU length
    (bsc#1012628).
  - wifi: mac80211: allow transmitting EAPOL frames with tainted
    key (bsc#1012628).
  - wifi: cfg80211: avoid leaking stack data into trace
    (bsc#1012628).
  - regulator/core: Revert "fix kobject release warning and memory
    leak in regulator_register()" (bsc#1012628).
  - SUNRPC: Fail quickly when server does not recognize TLS
    (bsc#1012628).
  - SUNRPC/TLS: Lock the lower_xprt during the tls handshake
    (bsc#1012628).
  - nfs: decrement nrequests counter before releasing the req
    (bsc#1012628).
  - sky2: Make sure there is at least one frag_addr available
    (bsc#1012628).
  - ipv4/fib: send notify when delete source address routes
    (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirk for One Mix 2S
    (bsc#1012628).
  - btrfs: fix some -Wmaybe-uninitialized warnings in ioctl.c
    (bsc#1012628).
  - btrfs: error out when COWing block using a stale transaction
    (bsc#1012628).
  - btrfs: error when COWing block from a root that is being deleted
    (bsc#1012628).
  - btrfs: error out when reallocating block for defrag using a
    stale transaction (bsc#1012628).
  - platform/x86: touchscreen_dmi: Add info for the BUSH Bush
    Windows tablet (bsc#1012628).
  - drm/amd/pm: add unique_id for gc 11.0.3 (bsc#1012628).
  - HID: multitouch: Add required quirk for Synaptics 0xcd7e device
    (bsc#1012628).
  - HID: nintendo: reinitialize USB Pro Controller after resuming
    from suspend (bsc#1012628).
  - HID: Add quirk to ignore the touchscreen battery on HP ENVY
    15-eu0556ng (bsc#1012628).
  - platform/x86: touchscreen_dmi: Add info for the Positivo C4128B
    (bsc#1012628).
  - cpufreq: schedutil: Update next_freq when cpufreq_limits change
    (bsc#1012628).
  - io-wq: fully initialize wqe before calling
    cpuhp_state_add_instance_nocalls() (bsc#1012628).
  - Bluetooth: hci_sync: Fix not handling ISO_LINK in
    hci_abort_conn_sync (bsc#1012628).
  - Bluetooth: hci_sync: Introduce PTR_UINT/UINT_PTR macros
    (bsc#1012628).
  - Bluetooth: ISO: Fix invalid context error (bsc#1012628).
  - Bluetooth: hci_sync: delete CIS in BT_OPEN/CONNECT/BOUND when
    aborting (bsc#1012628).
  - Bluetooth: hci_sync: always check if connection is alive before
    deleting (bsc#1012628).
  - net/mlx5: E-switch, register event handler before arming the
    event (bsc#1012628).
  - net/mlx5: Handle fw tracer change ownership event based on MTRC
    (bsc#1012628).
  - net/mlx5e: RX, Fix page_pool allocation failure recovery for
    striding rq (bsc#1012628).
  - net/mlx5e: RX, Fix page_pool allocation failure recovery for
    legacy rq (bsc#1012628).
  - net/mlx5e: XDP, Fix XDP_REDIRECT mpwqe page fragment leaks on
    shutdown (bsc#1012628).
  - net/mlx5e: Take RTNL lock before triggering netdev notifiers
    (bsc#1012628).
  - net/mlx5e: Don't offload internal port if filter device is
    out device (bsc#1012628).
  - net/mlx5e: Fix VF representors reporting zero counters to
    "ip -s" command (bsc#1012628).
  - net/tls: split tls_rx_reader_lock (bsc#1012628).
  - tcp: allow again tcp_disconnect() when threads are waiting
    (bsc#1012628).
  - Bluetooth: hci_event: Fix using memcmp when comparing keys
    (bsc#1012628).
  - tcp_bpf: properly release resources on error paths
    (bsc#1012628).
  - mtd: rawnand: qcom: Unmap the right resource upon probe failure
    (bsc#1012628).
  - mtd: rawnand: pl353: Ensure program page operations are
    successful (bsc#1012628).
  - mtd: rawnand: marvell: Ensure program page operations are
    successful (bsc#1012628).
  - mtd: rawnand: arasan: Ensure program page operations are
    successful (bsc#1012628).
  - mtd: rawnand: Ensure the nand chip supports cached reads
    (bsc#1012628).
  - mtd: spinand: micron: correct bitmask for ecc status
    (bsc#1012628).
  - mtd: physmap-core: Restore map_rom fallback (bsc#1012628).
  - dt-bindings: mmc: sdhci-msm: correct minimum number of clocks
    (bsc#1012628).
  - mmc: sdhci-pci-gli: fix LPM negotiation so x86/S0ix SoCs can
    suspend (bsc#1012628).
  - mmc: mtk-sd: Use readl_poll_timeout_atomic in msdc_reset_hw
    (bsc#1012628).
  - mmc: core: Fix error propagation for some ioctl commands
    (bsc#1012628).
  - mmc: core: sdio: hold retuning if sdio in 1-bit mode
    (bsc#1012628).
  - mmc: core: Capture correct oemid-bits for eMMC cards
    (bsc#1012628).
  - pinctrl: qcom: lpass-lpi: fix concurrent register updates
    (bsc#1012628).
  - Revert "pinctrl: avoid unsafe code pattern in find_pinctrl()"
    (bsc#1012628).
  - pNFS: Fix a hang in nfs4_evict_inode() (bsc#1012628).
  - pNFS/flexfiles: Check the layout validity in
    ff_layout_mirror_prepare_stats (bsc#1012628).
  - ACPI: irq: Fix incorrect return value in acpi_register_gsi()
    (bsc#1012628).
  - ACPI: bus: Move acpi_arm_init() to the place of after
    acpi_ghes_init() (bsc#1012628).
  - perf dlfilter: Fix use of addr_location__exit() in
    dlfilter__object_code() (bsc#1012628).
  - Revert "accel/ivpu: Use cached buffers for FW loading"
    (bsc#1012628).
  - fanotify: limit reporting of event with non-decodeable file
    handles (bsc#1012628).
  - NFS: Fix potential oops in nfs_inode_remove_request()
    (bsc#1012628).
  - nfs42: client needs to strip file mode's suid/sgid bit after
    ALLOCATE op (bsc#1012628).
  - nvme: sanitize metadata bounce buffer for reads (bsc#1012628).
  - nvme-pci: add BOGUS_NID for Intel 0a54 device (bsc#1012628).
  - nvme-auth: use chap->s2 to indicate bidirectional authentication
    (bsc#1012628).
  - nvmet-auth: complete a request only after freeing the dhchap
    pointers (bsc#1012628).
  - nvme-rdma: do not try to stop unallocated queues (bsc#1012628).
  - USB: serial: option: add Telit LE910C4-WWX 0x1035 composition
    (bsc#1012628).
  - USB: serial: option: add entry for Sierra EM9191 with new
    firmware (bsc#1012628).
  - USB: serial: option: add Fibocom to DELL custom modem FM101R-GL
    (bsc#1012628).
  - thunderbolt: Call tb_switch_put() once DisplayPort bandwidth
    request is finished (bsc#1012628).
  - perf: Disallow mis-matched inherited group reads (bsc#1012628).
  - s390/pci: fix iommu bitmap allocation (bsc#1012628).
  - tracing/kprobes: Return EADDRNOTAVAIL when func matches several
    symbols (bsc#1012628).
  - selftests/ftrace: Add new test case which checks non unique
    symbol (bsc#1012628).
  - KEYS: asymmetric: Fix sign/verify on pkcs1pad without a hash
    (bsc#1012628).
  - apple-gmux: Hard Code max brightness for MMIO gmux
    (bsc#1012628).
  - s390/cio: fix a memleak in css_alloc_subchannel (bsc#1012628).
  - platform/surface: platform_profile: Propagate error if profile
    registration fails (bsc#1012628).
  - platform/x86: intel-uncore-freq: Conditionally create attribute
    for read frequency (bsc#1012628).
  - platform/x86: msi-ec: Fix the 3rd config (bsc#1012628).
  - platform/x86: asus-wmi: Change ASUS_WMI_BRN_DOWN code from
    0x20 to 0x2e (bsc#1012628).
  - platform/x86: asus-wmi: Only map brightness codes when using
    asus-wmi backlight control (bsc#1012628).
  - platform/x86: asus-wmi: Map 0x2a code, Ignore 0x2b and 0x2c
    events (bsc#1012628).
  - rust: error: fix the description for `ECHILD` (bsc#1012628).
  - gpiolib: acpi: Add missing memset(0) to
    acpi_get_gpiod_from_data() (bsc#1012628).
  - gpio: vf610: set value before the direction to avoid a glitch
    (bsc#1012628).
  - gpio: vf610: mask the gpio irq in system suspend and support
    wakeup (bsc#1012628).
  - ASoC: cs35l56: Fix illegal use of init_completion()
    (bsc#1012628).
  - ASoC: pxa: fix a memory leak in probe() (bsc#1012628).
  - ASoC: cs42l42: Fix missing include of gpio/consumer.h
    (bsc#1012628).
  - drm/bridge: ti-sn65dsi86: Associate DSI device lifetime with
    auxiliary device (bsc#1012628).
  - drm/i915/cx0: Only clear/set the Pipe Reset bit of the PHY
    Lanes Owned (bsc#1012628).
  - drm/amdgpu: Fix possible null pointer dereference (bsc#1012628).
  - powerpc/mm: Allow ARCH_FORCE_MAX_ORDER up to 12 (bsc#1012628).
  - powerpc/qspinlock: Fix stale propagated yield_cpu (bsc#1012628).
  - docs: Move rustdoc output, cross-reference it (bsc#1012628).
  - rust: docs: fix logo replacement (bsc#1012628).
  - phy: mapphone-mdm6600: Fix runtime disable on probe
    (bsc#1012628).
  - phy: mapphone-mdm6600: Fix runtime PM for remove (bsc#1012628).
  - phy: mapphone-mdm6600: Fix pinctrl_pm handling for sleep pins
    (bsc#1012628).
  - phy: qcom-qmp-usb: initialize PCS_USB registers (bsc#1012628).
  - phy: qcom-qmp-usb: split PCS_USB init table for sc8280xp and
    sa8775p (bsc#1012628).
  - phy: qcom-qmp-combo: Square out 8550 POWER_STATE_CONFIG1
    (bsc#1012628).
  - phy: qcom-qmp-combo: initialize PCS_USB registers (bsc#1012628).
  - efi/unaccepted: Fix soft lockups caused by parallel memory
    acceptance (bsc#1012628).
  - net: move altnames together with the netdevice (bsc#1012628).
  - Bluetooth: hci_sock: fix slab oob read in create_monitor_event
    (bsc#1012628).
  - net: rfkill: reduce data->mtx scope in rfkill_fop_open
    (bsc#1012628).
  - docs: rust: update Rust docs output path (bsc#1012628).
  - kbuild: remove old Rust docs output path (bsc#1012628).
  - Bluetooth: hci_sock: Correctly bounds check and pad
    HCI_MON_NEW_INDEX name (bsc#1012628).
  - mptcp: avoid sending RST when closing the initial subflow
    (bsc#1012628).
  - selftests: mptcp: join: correctly check for no RST
    (bsc#1012628).
  - Rename to
    patches.kernel.org/6.5.9-178-NFSv4.1-fixup-use-EXCHGID4_FLAG_USE_PNFS_DS-for.patch.
  - commit 29edc7c
* Mon Oct 23 2023 jslaby@suse.cz
  - Refresh
    patches.suse/NFSv4-1-fixup-use-EXCHGID4-FLAG-USE-PNFS-DS-for-DS-server-.patch.
    Update upstream status.
  - commit 06d7c82
* Mon Oct 23 2023 mkubecek@suse.cz
  - Update to 6.6-rc7
  - refresh configs
  - commit 4a117b4
* Fri Oct 20 2023 dmueller@suse.com
  - config.conf: Reenable arm64
  - Update config files:
    * Same settings like x86_64, plus all ARM specific errata turned on
    * rest all mod
  - commit 5e5e96e
* Fri Oct 20 2023 clin@suse.com
  - Refresh
    patches.suse/firmware-Add-support-for-Qualcomm-UEFI-Secure-Applic.patch.
  - Refresh
    patches.suse/firmware-qcom_scm-Add-support-for-Qualcomm-Secure-Ex.patch.
  - Refresh
    patches.suse/lib-ucs2_string-Add-UCS-2-strscpy-function.patch.
    Update upstream status.
  - commit ee580b5
* Fri Oct 20 2023 clin@suse.com
  - Delete
    patches.suse/clk-qcom-sc8280xp-Add-fallback-compatibles-to-lpasscc.patch.
    Removed lpasscc fallback compatibles since Lenovo X13s UEFI FW has fixes since v1.58
  - commit d32d7cb
* Fri Oct 20 2023 jslaby@suse.cz
  - Linux 6.5.8 (bsc#1012628).
  - net: stmmac: remove unneeded stmmac_poll_controller
    (bsc#1012628).
  - RDMA/cxgb4: Check skb value for failure to allocate
    (bsc#1012628).
  - perf/arm-cmn: Fix the unhandled overflow status of counter 4
    to 7 (bsc#1012628).
  - platform/x86: think-lmi: Fix reference leak (bsc#1012628).
  - drm/i915: Register engines early to avoid type confusion
    (bsc#1012628).
  - cpuidle, ACPI: Evaluate LPI arch_flags for broadcast timer
    (bsc#1012628).
  - drm/amdgpu: Fix a memory leak (bsc#1012628).
  - platform/x86: hp-wmi:: Mark driver struct with __refdata to
    prevent section mismatch warning (bsc#1012628).
  - media: dt-bindings: imx7-csi: Make power-domains not required
    for imx8mq (bsc#1012628).
  - drm/amd/display: implement pipe type definition and adding
    accessors (bsc#1012628).
  - drm/amd/display: apply edge-case DISPCLK WDIVIDER changes to
    master OTG pipes only (bsc#1012628).
  - scsi: Do not rescan devices with a suspended queue
    (bsc#1012628).
  - ata: pata_parport: fix pata_parport_devchk (bsc#1012628).
  - ata: pata_parport: implement set_devctl (bsc#1012628).
  - HID: logitech-hidpp: Fix kernel crash on receiver USB disconnect
    (bsc#1012628).
  - quota: Fix slow quotaoff (bsc#1012628).
  - dm crypt: Fix reqsize in crypt_iv_eboiv_gen (bsc#1012628).
  - ASoC: amd: yc: Fix non-functional mic on Lenovo 82YM
    (bsc#1012628).
  - ASoC: hdmi-codec: Fix broken channel map reporting
    (bsc#1012628).
  - ata: libata-scsi: Disable scsi device manage_system_start_stop
    (bsc#1012628).
  - net: prevent address rewrite in kernel_bind() (bsc#1012628).
  - arm64: dts: qcom: sm8150: extend the size of the PDC resource
    (bsc#1012628).
  - dt-bindings: interrupt-controller: renesas,rzg2l-irqc: Update
    description for '#interrupt-cells' property (bsc#1012628).
  - irqchip: renesas-rzg2l: Fix logic to clear TINT interrupt source
    (bsc#1012628).
  - KEYS: trusted: Remove redundant static calls usage
    (bsc#1012628).
  - ALSA: usb-audio: Fix microphone sound on Opencomm2 Headset
    (bsc#1012628).
  - ALSA: usb-audio: Fix microphone sound on Nexigo webcam
    (bsc#1012628).
  - ALSA: hda: cs35l41: Cleanup and fix double free in firmware
    request (bsc#1012628).
  - ALSA: hda/realtek: Change model for Intel RVP board
    (bsc#1012628).
  - ASoC: SOF: amd: fix for firmware reload failure after playback
    (bsc#1012628).
  - ASoC: simple-card-utils: fixup simple_util_startup() error
    handling (bsc#1012628).
  - ASoC: Intel: soc-acpi: fix Dell SKU 0B34 (bsc#1012628).
  - ASoC: Intel: soc-acpi: Add entry for HDMI_In capture support
    in MTL match table (bsc#1012628).
  - ASoC: fsl_sai: Don't disable bitclock for i.MX8MP (bsc#1012628).
  - ASoC: Intel: sof_sdw: add support for SKU 0B14 (bsc#1012628).
  - ASoC: Intel: soc-acpi: Add entry for sof_es8336 in MTL match
    table (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for HP Victus 16-d1xxx to enable
    mute LED (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for mute LEDs on HP ENVY x360
    15-eu0xxx (bsc#1012628).
  - ALSA: hda/realtek - ALC287 I2S speaker platform support
    (bsc#1012628).
  - ALSA: hda/realtek - ALC287 merge RTK codec with CS CS35L41 AMP
    (bsc#1012628).
  - pinctrl: nuvoton: wpcm450: fix out of bounds write
    (bsc#1012628).
  - pinctrl: starfive: jh7110: Fix failure to set irq after
    CONFIG_PM is enabled (bsc#1012628).
  - drm/msm/dp: do not reinitialize phy unless retry during link
    training (bsc#1012628).
  - drm/msm/dsi: skip the wait for video mode done if not applicable
    (bsc#1012628).
  - drm/msm/dsi: fix irq_of_parse_and_map() error checking
    (bsc#1012628).
  - drm/msm/dpu: change _dpu_plane_calc_bw() to use u64 to avoid
    overflow (bsc#1012628).
  - drm/msm/dp: Add newlines to debug printks (bsc#1012628).
  - drm/msm/dpu: fail dpu_plane_atomic_check() based on mdp clk
    limits (bsc#1012628).
  - phy: lynx-28g: cancel the CDR check work item on the remove path
    (bsc#1012628).
  - phy: lynx-28g: lock PHY while performing CDR lock workaround
    (bsc#1012628).
  - phy: lynx-28g: serialize concurrent phy_set_mode_ext() calls
    to shared registers (bsc#1012628).
  - net: dsa: qca8k: fix regmap bulk read/write methods on big
    endian systems (bsc#1012628).
  - net: dsa: qca8k: fix potential MDIO bus conflict when accessing
    internal PHYs via management frames (bsc#1012628).
  - can: isotp: isotp_sendmsg(): fix TX state detection and wait
    behavior (bsc#1012628).
  - can: sun4i_can: Only show Kconfig if ARCH_SUNXI is set
    (bsc#1012628).
  - arm64: dts: mediatek: fix t-phy unit name (bsc#1012628).
  - arm64: dts: mediatek: mt8195: Set DSU PMU status to fail
    (bsc#1012628).
  - devlink: Hold devlink lock on health reporter dump get
    (bsc#1012628).
  - ravb: Fix up dma_free_coherent() call in ravb_remove()
    (bsc#1012628).
  - ravb: Fix use-after-free issue in ravb_tx_timeout_work()
    (bsc#1012628).
  - ieee802154: ca8210: Fix a potential UAF in ca8210_probe
    (bsc#1012628).
  - mlxsw: fix mlxsw_sp2_nve_vxlan_learning_set() return type
    (bsc#1012628).
  - xen-netback: use default TX queue size for vifs (bsc#1012628).
  - riscv, bpf: Sign-extend return values (bsc#1012628).
  - riscv, bpf: Track both a0 (RISC-V ABI) and a5 (BPF) return
    values (bsc#1012628).
  - xdp: Fix zero-size allocation warning in xskq_create()
    (bsc#1012628).
  - drm/vmwgfx: fix typo of sizeof argument (bsc#1012628).
  - bpf: Fix verifier log for async callback return values
    (bsc#1012628).
  - net: refine debug info in skb_checksum_help() (bsc#1012628).
  - octeontx2-pf: mcs: update PN only when update_pn is true
    (bsc#1012628).
  - net: macsec: indicate next pn update when offloading
    (bsc#1012628).
  - net: phy: mscc: macsec: reject PN update requests (bsc#1012628).
  - net/mlx5e: macsec: use update_pn flag instead of PN comparation
    (bsc#1012628).
  - drm/panel: boe-tv101wum-nl6: Completely pull GPW to VGL before
    TP term (bsc#1012628).
  - ixgbe: fix crash with empty VF macvlan list (bsc#1012628).
  - net/smc: Fix dependency of SMC on ISM (bsc#1012628).
  - net/mlx5e: Again mutually exclude RX-FCS and RX-port-timestamp
    (bsc#1012628).
  - s390/bpf: Fix clobbering the caller's backchain in the
    trampoline (bsc#1012628).
  - s390/bpf: Fix unwinding past the trampoline (bsc#1012628).
  - net: nfc: fix races in nfc_llcp_sock_get() and
    nfc_llcp_sock_get_sn() (bsc#1012628).
  - net/smc: Fix pos miscalculation in statistics (bsc#1012628).
  - net: tcp: fix crashes trying to free half-baked MTU probes
    (bsc#1012628).
  - pinctrl: renesas: rzn1: Enable missing PINMUX (bsc#1012628).
  - af_packet: Fix fortified memcpy() without flex array
    (bsc#1012628).
  - nfc: nci: assert requested protocol is valid (bsc#1012628).
  - octeontx2-pf: Fix page pool frag allocation warning
    (bsc#1012628).
  - rswitch: Fix renesas_eth_sw_remove() implementation
    (bsc#1012628).
  - rswitch: Fix imbalance phy_power_off() calling (bsc#1012628).
  - workqueue: Override implicit ordered attribute in
    workqueue_apply_unbound_cpumask() (bsc#1012628).
  - riscv: signal: fix sigaltstack frame size checking
    (bsc#1012628).
  - ovl: temporarily disable appending lowedirs (bsc#1012628).
  - dmaengine: stm32-mdma: abort resume if no ongoing transfer
    (bsc#1012628).
  - dmaengine: stm32-dma: fix stm32_dma_prep_slave_sg in case of
    MDMA chaining (bsc#1012628).
  - dmaengine: stm32-dma: fix residue in case of MDMA chaining
    (bsc#1012628).
  - dmaengine: stm32-mdma: use Link Address Register to compute
    residue (bsc#1012628).
  - dmaengine: stm32-mdma: set in_flight_bytes in case CRQA flag
    is set (bsc#1012628).
  - usb: xhci: xhci-ring: Use sysdev for mapping bounce buffer
    (bsc#1012628).
  - xhci: track port suspend state correctly in unsuccessful resume
    cases (bsc#1012628).
  - xhci: Clear EHB bit only at end of interrupt handler
    (bsc#1012628).
  - xhci: Preserve RsvdP bits in ERSTBA register correctly
    (bsc#1012628).
  - net: usb: dm9601: fix uninitialized variable use in
    dm9601_mdio_read (bsc#1012628).
  - usb: dwc3: Soft reset phy on probe for host (bsc#1012628).
  - usb: cdns3: Modify the return value of cdns_set_active ()
    to void when CONFIG_PM_SLEEP is disabled (bsc#1012628).
  - usb: hub: Guard against accesses to uninitialized BOS
    descriptors (bsc#1012628).
  - usb: musb: Get the musb_qh poniter after musb_giveback
    (bsc#1012628).
  - usb: musb: Modify the "HWVers" register address (bsc#1012628).
  - iio: pressure: bmp280: Fix NULL pointer exception (bsc#1012628).
  - iio: imu: bno055: Fix missing Kconfig dependencies
    (bsc#1012628).
  - iio: cros_ec: fix an use-after-free in
    cros_ec_sensors_push_data() (bsc#1012628).
  - iio: adc: imx8qxp: Fix address for command buffer registers
    (bsc#1012628).
  - iio: dac: ad3552r: Correct device IDs (bsc#1012628).
  - iio: admv1013: add mixer_vgate corner cases (bsc#1012628).
  - iio: pressure: dps310: Adjust Timeout Settings (bsc#1012628).
  - iio: pressure: ms5611: ms5611_prom_is_valid false negative bug
    (bsc#1012628).
  - iio: adc: ad7192: Correct reference voltage (bsc#1012628).
  - iio: addac: Kconfig: update ad74413r selections (bsc#1012628).
  - media: subdev: Don't report V4L2_SUBDEV_CAP_STREAMS when the
    streams API is disabled (bsc#1012628).
  - arm64: dts: mediatek: mt8195-demo: fix the memory size to 8GB
    (bsc#1012628).
  - arm64: dts: mediatek: mt8195-demo: update and reorder reserved
    memory regions (bsc#1012628).
  - drm: Do not overrun array in drm_gem_get_pages() (bsc#1012628).
  - drm/tiny: correctly print `struct resource *` on error
    (bsc#1012628).
  - drm/atomic-helper: relax unregistered connector check
    (bsc#1012628).
  - drm/amdgpu: add missing NULL check (bsc#1012628).
  - drm/amd/display: Don't set dpms_off for seamless boot
    (bsc#1012628).
  - drm/vmwgfx: Keep a gem reference to user bos in surfaces
    (bsc#1012628).
  - ACPI: resource: Skip IRQ override on ASUS ExpertBook B1402CBA
    (bsc#1012628).
  - ACPI: resource: Add TongFang GM6BGEQ, GM6BG5Q and GM6BG0Q to
    irq1_edge_low_force_override[] (bsc#1012628).
  - ACPI: EC: Add quirk for the HP Pavilion Gaming 15-dk1xxx
    (bsc#1012628).
  - serial: Reduce spinlocked portion of uart_rs485_config()
    (bsc#1012628).
  - serial: 8250_omap: Fix errors with no_console_suspend
    (bsc#1012628).
  - serial: core: Fix checks for tx runtime PM state (bsc#1012628).
  - binder: fix memory leaks of spam and pending work (bsc#1012628).
  - ksmbd: not allow to open file if delelete on close bit is set
    (bsc#1012628).
  - perf/x86/lbr: Filter vsyscall addresses (bsc#1012628).
  - x86/cpu: Fix AMD erratum #1485 on Zen4-based CPUs (bsc#1012628).
  - x86/alternatives: Disable KASAN in apply_alternatives()
    (bsc#1012628).
  - mcb: remove is_added flag from mcb_device struct (bsc#1012628).
  - thunderbolt: Workaround an IOMMU fault on certain systems with
    Intel Maple Ridge (bsc#1012628).
  - thunderbolt: Check that lane 1 is in CL0 before enabling lane
    bonding (bsc#1012628).
  - thunderbolt: Correct TMU mode initialization from hardware
    (bsc#1012628).
  - thunderbolt: Restart XDomain discovery handshake after failure
    (bsc#1012628).
  - powerpc/pseries: Fix STK_PARAM access in the hcall tracing code
    (bsc#1012628).
  - powerpc/47x: Fix 47x syscall return crash (bsc#1012628).
  - libceph: use kernel_connect() (bsc#1012628).
  - ceph: fix incorrect revoked caps assert in ceph_fill_file_size()
    (bsc#1012628).
  - ceph: fix type promotion bug on 32bit systems (bsc#1012628).
  - Input: powermate - fix use-after-free in
    powermate_config_complete (bsc#1012628).
  - Input: psmouse - fix fast_reconnect function for PS/2 mode
    (bsc#1012628).
  - Input: xpad - add PXN V900 support (bsc#1012628).
  - Input: i8042 - add Fujitsu Lifebook E5411 to i8042 quirk table
    (bsc#1012628).
  - Input: xpad - add HyperX Clutch Gladiate Support (bsc#1012628).
  - Input: goodix - ensure int GPIO is in input for gpio_count ==
    1 && gpio_int_idx == 0 case (bsc#1012628).
  - tee: amdtee: fix use-after-free vulnerability in
    amdtee_close_session (bsc#1012628).
  - mctp: perform route lookups under a RCU read-side lock
    (bsc#1012628).
  - block: Don't invalidate pagecache for invalid falloc modes
    (bsc#1012628).
  - nfp: flower: avoid rmmod nfp crash issues (bsc#1012628).
  - can: sja1000: Always restart the Tx queue after an overrun
    (bsc#1012628).
  - power: supply: qcom_battmgr: fix battery_id type (bsc#1012628).
  - power: supply: qcom_battmgr: fix enable request endianness
    (bsc#1012628).
  - usb: typec: ucsi: Use GET_CAPABILITY attributes data to set
    power supply scope (bsc#1012628).
  - cgroup: Remove duplicates in cgroup v1 tasks file (bsc#1012628).
  - dma-buf: add dma_fence_timestamp helper (bsc#1012628).
  - pinctrl: avoid unsafe code pattern in find_pinctrl()
    (bsc#1012628).
  - scsi: ufs: core: Correct clear TM error log (bsc#1012628).
  - riscv: Only consider swbp/ss handlers for correct privileged
    mode (bsc#1012628).
  - counter: chrdev: fix getting array extensions (bsc#1012628).
  - counter: microchip-tcb-capture: Fix the use of internal GCLK
    logic (bsc#1012628).
  - coresight: Fix run time warnings while reusing ETR buffer
    (bsc#1012628).
  - riscv: Remove duplicate objcopy flag (bsc#1012628).
  - RISC-V: Fix wrong use of CONFIG_HAVE_SOFTIRQ_ON_OWN_STACK
    (bsc#1012628).
  - usb: typec: ucsi: Fix missing link removal (bsc#1012628).
  - usb: typec: altmodes/displayport: Signal hpd low when exiting
    mode (bsc#1012628).
  - usb: typec: ucsi: Clear EVENT_PENDING bit if ucsi_send_command
    fails (bsc#1012628).
  - usb: gadget: udc-xilinx: replace memcpy with memcpy_toio
    (bsc#1012628).
  - usb: gadget: ncm: Handle decoding of multiple NTB's in unwrap
    call (bsc#1012628).
  - usb: cdnsp: Fixes issue with dequeuing not queued requests
    (bsc#1012628).
  - usb: typec: qcom: Update the logic of regulator enable and
    disable (bsc#1012628).
  - usb: misc: onboard_hub: add support for Microchip USB2412 USB
    2.0 hub (bsc#1012628).
  - dmaengine: idxd: use spin_lock_irqsave before
    wait_event_lock_irq (bsc#1012628).
  - dmaengine: mediatek: Fix deadlock caused by synchronize_irq()
    (bsc#1012628).
  - powerpc/8xx: Fix pte_access_permitted() for PAGE_NONE
    (bsc#1012628).
  - powerpc/64e: Fix wrong test in __ptep_test_and_clear_young()
    (bsc#1012628).
  - fs: Fix kernel-doc warnings (bsc#1012628).
  - fs: factor out vfs_parse_monolithic_sep() helper (bsc#1012628).
  - ovl: fix regression in parsing of mount options with escaped
    comma (bsc#1012628).
  - ovl: make use of ->layers safe in rcu pathwalk (bsc#1012628).
  - ovl: fix regression in showing lowerdir mount option
    (bsc#1012628).
  - ALSA: hda/realtek - Fixed two speaker platform (bsc#1012628).
  - Rename to
    patches.kernel.org/6.5.8-179-Revert-x86-smp-Put-CPUs-into-INIT-on-shutdown-i.patch.
  - commit 51baea8
* Thu Oct 19 2023 mbrugger@suse.com
  - arm64: Update config files.
    Make iMX93 clock and pinctrl driver build-in.
  - commit 897b0cf
* Tue Oct 17 2023 neilb@suse.de
  - NFSv4.1: fixup use EXCHGID4_FLAG_USE_PNFS_DS for DS server
    (bsc#1216201).
  - commit 1dbb418
* Mon Oct 16 2023 jslaby@suse.cz
  - Revert "x86/smp: Put CPUs into INIT on shutdown if possible"
    (shutdown-issue).
  - commit 9fcbf99
* Sun Oct 15 2023 mkubecek@suse.cz
  - Update to 6.6-rc6
  - refresh configs
  - commit 8f5995d
* Sat Oct 14 2023 matwey.kornilov@gmail.com
  - config: Reenable Rockchip RK8XX hardware
    In Linux commit
      c20e8c5b1203 ("mfd: rk808: Split into core and i2c")
    CONFIG_MFD_RK808 was renamed to CONFIG_MFD_RK8XX.
    Reenable options required to boot kernel 6.5 on Rock64 board.
  - commit 41037b9
* Wed Oct 11 2023 jslaby@suse.cz
  - Linux 6.5.7 (bsc#1012628).
  - xen/events: replace evtchn_rwlock with RCU (bsc#1012628).
  - RDMA/mlx5: Remove not-used cache disable flag (bsc#1012628).
  - ksmbd: fix race condition from parallel smb2 lock requests
    (bsc#1012628).
  - ksmbd: fix uaf in smb20_oplock_break_ack (bsc#1012628).
  - ksmbd: fix race condition between session lookup and expire
    (bsc#1012628).
  - x86/sev: Use the GHCB protocol when available for SNP CPUID
    requests (bsc#1012628).
  - x86/sev: Change npages to unsigned long in snp_accept_memory()
    (bsc#1012628).
  - RDMA/mlx5: Fix NULL string error (bsc#1012628).
  - RDMA/mlx5: Fix mutex unlocking on error flow for steering
    anchor creation (bsc#1012628).
  - RDMA/mlx5: Fix assigning access flags to cache mkeys
    (bsc#1012628).
  - RDMA/mlx5: Fix mkey cache possible deadlock on cleanup
    (bsc#1012628).
  - RDMA/siw: Fix connection failure handling (bsc#1012628).
  - RDMA/srp: Do not call scsi_done() from srp_abort()
    (bsc#1012628).
  - RDMA/uverbs: Fix typo of sizeof argument (bsc#1012628).
  - RDMA/bnxt_re: Fix the handling of control path response data
    (bsc#1012628).
  - RDMA/cma: Fix truncation compilation warning in make_cma_ports
    (bsc#1012628).
  - RDMA/cma: Initialize ib_sa_multicast structure to 0 when join
    (bsc#1012628).
  - gpio: pxa: disable pinctrl calls for MMP_GPIO (bsc#1012628).
  - gpio: aspeed: fix the GPIO number passed to
    pinctrl_gpio_set_config() (bsc#1012628).
  - IB/mlx4: Fix the size of a buffer in add_port_entries()
    (bsc#1012628).
  - of: dynamic: Fix potential memory leak in of_changeset_action()
    (bsc#1012628).
  - RDMA/core: Require admin capabilities to set system parameters
    (bsc#1012628).
  - dm zoned: free dmz->ddev array in dmz_put_zoned_devices
    (bsc#1012628).
  - parisc: Fix crash with nr_cpus=1 option (bsc#1012628).
  - smb: use kernel_connect() and kernel_bind() (bsc#1012628).
  - parisc: Restore __ldcw_align for PA-RISC 2.0 processors
    (bsc#1012628).
  - net: lan743x: also select PHYLIB (bsc#1012628).
  - HID: intel-ish-hid: ipc: Disable and reenable ACPI GPE bit
    (bsc#1012628).
  - HID: sony: remove duplicate NULL check before calling
    usb_free_urb() (bsc#1012628).
  - HID: nvidia-shield: Fix a missing led_classdev_unregister()
    in the probe error handling path (bsc#1012628).
  - net: mana: Fix oversized sge0 for GSO packets (bsc#1012628).
  - net: mana: Fix the tso_bytes calculation (bsc#1012628).
  - netlink: annotate data-races around sk->sk_err (bsc#1012628).
  - sctp: update hb timer immediately after users change hb_interval
    (bsc#1012628).
  - sctp: update transport state when processing a dupcook packet
    (bsc#1012628).
  - tcp: fix delayed ACKs for MSS boundary condition (bsc#1012628).
  - tcp: fix quick-ack counting to count actual ACKs of new data
    (bsc#1012628).
  - tipc: fix a potential deadlock on &tx->lock (bsc#1012628).
  - net: stmmac: dwmac-stm32: fix resume on STM32 MCU (bsc#1012628).
  - ipv4: Set offload_failed flag in fibmatch results (bsc#1012628).
  - netfilter: nf_tables: nft_set_rbtree: fix spurious insertion
    failure (bsc#1012628).
  - netfilter: nf_tables: Deduplicate nft_register_obj audit logs
    (bsc#1012628).
  - selftests: netfilter: Extend nft_audit.sh (bsc#1012628).
  - selftests: netfilter: Test nf_tables audit logging
    (bsc#1012628).
  - netfilter: handle the connecting collision properly in
    nf_conntrack_proto_sctp (bsc#1012628).
  - netfilter: nft_payload: rebuild vlan header on h_proto access
    (bsc#1012628).
  - ibmveth: Remove condition to recompute TCP header checksum
    (bsc#1012628).
  - net: ethernet: ti: am65-cpsw: Fix error code in
    am65_cpsw_nuss_init_tx_chns() (bsc#1012628).
  - rswitch: Fix PHY station management clock setting (bsc#1012628).
  - net: renesas: rswitch: Add spin lock protection for irq {un}mask
    (bsc#1012628).
  - net: nfc: llcp: Add lock when modifying device list
    (bsc#1012628).
  - ethtool: plca: fix plca enable data type while parsing the value
    (bsc#1012628).
  - net: usb: smsc75xx: Fix uninit-value access in
    __smsc75xx_read_reg (bsc#1012628).
  - ipv6: tcp: add a missing nf_reset_ct() in 3WHS handling
    (bsc#1012628).
  - ovl: fetch inode once in ovl_dentry_revalidate_common()
    (bsc#1012628).
  - ovl: move freeing ovl_entry past rcu delay (bsc#1012628).
  - net: dsa: mv88e6xxx: Avoid EEPROM timeout when EEPROM is absent
    (bsc#1012628).
  - ptp: ocp: Fix error handling in ptp_ocp_device_init
    (bsc#1012628).
  - ipv4, ipv6: Fix handling of transhdrlen in
    __ip{,6}_append_data() (bsc#1012628).
  - neighbour: fix data-races around n->output (bsc#1012628).
  - net: fix possible store tearing in neigh_periodic_work()
    (bsc#1012628).
  - net: stmmac: platform: fix the incorrect parameter
    (bsc#1012628).
  - modpost: add missing else to the "of" check (bsc#1012628).
  - bpf, sockmap: Reject sk_msg egress redirects to non-TCP sockets
    (bsc#1012628).
  - bpf, sockmap: Do not inc copied_seq when PEEK flag set
    (bsc#1012628).
  - bpf: tcp_read_skb needs to pop skb regardless of seq
    (bsc#1012628).
  - ice: always add legacy 32byte RXDID in supported_rxdids
    (bsc#1012628).
  - NFSv4: Fix a nfs4_state_manager() race (bsc#1012628).
  - ima: rework CONFIG_IMA dependency block (bsc#1012628).
  - scsi: target: core: Fix deadlock due to recursive locking
    (bsc#1012628).
  - wifi: iwlwifi: mvm: Fix incorrect usage of scan API
    (bsc#1012628).
  - ima: Finish deprecation of IMA_TRUSTED_KEYRING Kconfig
    (bsc#1012628).
  - Update config files (set the defaults).
  - regulator/core: regulator_register: set device->class earlier
    (bsc#1012628).
  - wifi: mac80211: Create resources for disabled links
    (bsc#1012628).
  - iommu/mediatek: Fix share pgtable for iova over 4GB
    (bsc#1012628).
  - perf/x86/amd: Do not WARN() on every IRQ (bsc#1012628).
  - wifi: mac80211: fix potential key use-after-free (bsc#1012628).
  - regmap: rbtree: Fix wrong register marked as in-cache when
    creating new node (bsc#1012628).
  - rtla/timerlat: Do not stop user-space if a cpu is offline
    (bsc#1012628).
  - perf/x86/amd/core: Fix overflow reset on hotplug (bsc#1012628).
  - wifi: mt76: mt76x02: fix MT76x0 external LNA gain handling
    (bsc#1012628).
  - drivers/net: process the result of hdlc_open() and add call
    of hdlc_close() in uhdlc_close() (bsc#1012628).
  - Bluetooth: ISO: Fix handling of listen for unicast
    (bsc#1012628).
  - Bluetooth: Fix hci_link_tx_to RCU lock usage (bsc#1012628).
  - Bluetooth: Delete unused hci_req_prepare_suspend() declaration
    (bsc#1012628).
  - regulator: mt6358: split ops for buck and linear range LDO
    regulators (bsc#1012628).
  - bpf: unconditionally reset backtrack_state masks on global
    func exit (bsc#1012628).
  - bpf: Fix tr dereferencing (bsc#1012628).
  - leds: Drop BUG_ON check for LED_COLOR_ID_MULTI (bsc#1012628).
  - s390/bpf: Let arch_prepare_bpf_trampoline return program size
    (bsc#1012628).
  - erofs: allow empty device tags in flatdev mode (bsc#1012628).
  - HID: nvidia-shield: add LEDS_CLASS dependency (bsc#1012628).
  - wifi: mwifiex: Fix oob check condition in
    mwifiex_process_rx_packet (bsc#1012628).
  - wifi: mac80211: fix mesh id corruption on 32 bit systems
    (bsc#1012628).
  - wifi: cfg80211: add missing kernel-doc for cqm_rssi_work
    (bsc#1012628).
  - rtla/timerlat_aa: Fix previous IRQ delay for IRQs that happens
    after thread sample (bsc#1012628).
  - rtla/timerlat_aa: Fix negative IRQ delay (bsc#1012628).
  - rtla/timerlat_aa: Zero thread sum after every sample analysis
    (bsc#1012628).
  - wifi: cfg80211: fix cqm_config access race (bsc#1012628).
  - wifi: iwlwifi: mvm: Fix a memory corruption issue (bsc#1012628).
  - wifi: iwlwifi: dbg_ini: fix structure packing (bsc#1012628).
  - iwlwifi: mvm: handle PS changes in vif_cfg_changed
    (bsc#1012628).
  - wifi: cfg80211/mac80211: hold link BSSes when assoc fails for
    MLO connection (bsc#1012628).
  - erofs: fix memory leak of LZMA global compressed deduplication
    (bsc#1012628).
  - ubi: Refuse attaching if mtd's erasesize is 0 (bsc#1012628).
  - wifi: mt76: fix lock dependency problem for wed_lock
    (bsc#1012628).
  - HID: sony: Fix a potential memory leak in sony_probe()
    (bsc#1012628).
  - arm64: errata: Add Cortex-A520 speculative unprivileged load
    workaround (bsc#1012628).
  - Update config files (use the default).
  - arm64: Add Cortex-A520 CPU part definition (bsc#1012628).
  - drm/amd: Fix logic error in
    sienna_cichlid_update_pcie_parameters() (bsc#1012628).
  - drm/amd: Fix detection of _PR3 on the PCIe root port
    (bsc#1012628).
  - drm/i915: Don't set PIPE_CONTROL_FLUSH_L3 for aux inval
    (bsc#1012628).
  - net: prevent rewrite of msg_name in sock_sendmsg()
    (bsc#1012628).
  - btrfs: always print transaction aborted messages with an error
    level (bsc#1012628).
  - io_uring: ensure io_lockdep_assert_cq_locked() handles disabled
    rings (bsc#1012628).
  - io_uring/kbuf: don't allow registered buffer rings on highmem
    pages (bsc#1012628).
  - net: replace calls to sock->ops->connect() with kernel_connect()
    (bsc#1012628).
  - platform/x86/intel/ifs: release cpus_read_lock() (bsc#1012628).
  - PCI: qcom: Fix IPQ8074 enumeration (bsc#1012628).
  - PCI/PM: Mark devices disconnected if upstream PCIe link is
    down on resume (bsc#1012628).
  - md/raid5: release batch_last before waiting for another
    stripe_head (bsc#1012628).
  - io_uring: don't allow IORING_SETUP_NO_MMAP rings on highmem
    pages (bsc#1012628).
  - wifi: mwifiex: Fix tlv_buf_left calculation (bsc#1012628).
  - wifi: rtw88: rtw8723d: Fix MAC address offset in EEPROM
    (bsc#1012628).
  - Bluetooth: hci_sync: Fix handling of
    HCI_QUIRK_STRICT_DUPLICATE_FILTER (bsc#1012628).
  - wifi: brcmfmac: Replace 1-element arrays with flexible arrays
    (bsc#1012628).
  - Bluetooth: hci_codec: Fix leaking content of local_codecs
    (bsc#1012628).
  - qed/red_ll2: Fix undefined behavior bug in struct qed_ll2_info
    (bsc#1012628).
  - mptcp: userspace pm allow creating id 0 subflow (bsc#1012628).
  - mptcp: fix delegated action races (bsc#1012628).
  - net: ethernet: mediatek: disable irq before schedule napi
    (bsc#1012628).
  - vringh: don't use vringh_kiov_advance() in vringh_iov_xfer()
    (bsc#1012628).
  - net: mana: Fix TX CQE error handling (bsc#1012628).
  - iommu/vt-d: Avoid memory allocation in iommu_suspend()
    (bsc#1012628).
  - scsi: zfcp: Fix a double put in zfcp_port_enqueue()
    (bsc#1012628).
  - iommu/apple-dart: Handle DMA_FQ domains in attach_dev()
    (bsc#1012628).
  - maple_tree: add MAS_UNDERFLOW and MAS_OVERFLOW states
    (bsc#1012628).
  - maple_tree: reduce resets during store setup (bsc#1012628).
  - iommu/arm-smmu-v3: Avoid constructing invalid range commands
    (bsc#1012628).
  - net: release reference to inet6_dev pointer (bsc#1012628).
  - net: change accept_ra_min_rtr_lft to affect all RA lifetimes
    (bsc#1012628).
  - net: add sysctl accept_ra_min_rtr_lft (bsc#1012628).
  - arm64: cpufeature: Fix CLRBHB and BC detection (bsc#1012628).
  - arm64: add HWCAP for FEAT_HBC (hinted conditional branches)
    (bsc#1012628).
  - btrfs: don't clear uptodate on write errors (bsc#1012628).
  - btrfs: remove end_extent_writepage (bsc#1012628).
  - btrfs: remove btrfs_writepage_endio_finish_ordered
    (bsc#1012628).
  - ata: libata-scsi: Fix delayed scsi_rescan_device() execution
    (bsc#1012628).
  - scsi: Do not attempt to rescan suspended devices (bsc#1012628).
  - scsi: core: Improve type safety of scsi_rescan_device()
    (bsc#1012628).
  - mptcp: fix dangling connection hang-up (bsc#1012628).
  - mptcp: rename timer related helper to less confusing names
    (bsc#1012628).
  - mptcp: Remove unnecessary test for __mptcp_init_sock()
    (bsc#1012628).
  - maple_tree: add mas_is_active() to detect in-tree walks
    (bsc#1012628).
  - ASoC: tegra: Fix redundant PLLA and PLLA_OUT0 updates
    (bsc#1012628).
  - ASoC: soc-utils: Export snd_soc_dai_is_dummy() symbol
    (bsc#1012628).
  - commit e060757
* Mon Oct 09 2023 svarbanov@suse.de
  - config/arm64: Unset default IOMMU passthrough option (jsc#PED-7009)
    This will effectively enable ARM64 SMMU translation by default,
    which will help to avoid installation and runtime issues on some
    platforms. The passtrhough mode could still be enabled by kernel
    cmdline.
  - commit d8da3f8
* Mon Oct 09 2023 schwab@suse.de
  - mkspec-dtb: add toplevel symlinks also on arm
  - commit ed29cae
* Sun Oct 08 2023 mkubecek@suse.cz
  - Update to 6.6-rc5
  - update configs
    - IMA_BLACKLIST_KEYRING=n
    - IMA_LOAD_X509=n
    - IPU_BRIDGE=m (new on riscv64)
  - commit a59832f
* Sat Oct 07 2023 petr.pavlu@suse.com
  - doc/README.PATCH-POLICY.SUSE: Convert the document to Markdown
    (jsc#PED-5021)
  - commit c05cfc9
* Sat Oct 07 2023 petr.pavlu@suse.com
  - doc/README.SUSE: Convert the document to Markdown (jsc#PED-5021)
  - commit bff5e3e
* Fri Oct 06 2023 jslaby@suse.cz
  - Linux 6.5.6 (bsc#1012628).
  - NFS: Fix error handling for O_DIRECT write scheduling
    (bsc#1012628).
  - NFS: Fix O_DIRECT locking issues (bsc#1012628).
  - NFS: More O_DIRECT accounting fixes for error paths
    (bsc#1012628).
  - NFS: Use the correct commit info in nfs_join_page_group()
    (bsc#1012628).
  - NFS: More fixes for nfs_direct_write_reschedule_io()
    (bsc#1012628).
  - NFS/pNFS: Report EINVAL errors from connect() to the server
    (bsc#1012628).
  - SUNRPC: Mark the cred for revalidation if the server rejects it
    (bsc#1012628).
  - NFSv4.1: use EXCHGID4_FLAG_USE_PNFS_DS for DS server
    (bsc#1012628).
  - NFSv4.1: fix pnfs MDS=DS session trunking (bsc#1012628).
  - media: v4l: Use correct dependency for camera sensor drivers
    (bsc#1012628).
  - media: via: Use correct dependency for camera sensor drivers
    (bsc#1012628).
  - gfs2: Fix another freeze/thaw hang (bsc#1012628).
  - netfs: Only call folio_start_fscache() one time for each folio
    (bsc#1012628).
  - btrfs: improve error message after failure to add delayed dir
    index item (bsc#1012628).
  - btrfs: remove BUG() after failure to insert delayed dir index
    item (bsc#1012628).
  - ext4: replace the traditional ternary conditional operator
    with with max()/min() (bsc#1012628).
  - ext4: move setting of trimmed bit into ext4_try_to_trim_range()
    (bsc#1012628).
  - ext4: do not let fstrim block system suspend (bsc#1012628).
  - netfilter: nft_set_rbtree: use read spinlock to avoid datapath
    contention (bsc#1012628).
  - netfilter: nft_set_pipapo: call nft_trans_gc_queue_sync()
    in catchall GC (bsc#1012628).
  - netfilter: nft_set_pipapo: stop GC iteration if GC transaction
    allocation fails (bsc#1012628).
  - netfilter: nft_set_hash: try later when GC hits EAGAIN on
    iteration (bsc#1012628).
  - netfilter: nf_tables: fix memleak when more than 255 elements
    expired (bsc#1012628).
  - netfilter: nf_tables: disallow rule removal from chain binding
    (bsc#1012628).
  - ASoC: meson: spdifin: start hw on dai probe (bsc#1012628).
  - netfilter: nf_tables: disallow element removal on anonymous sets
    (bsc#1012628).
  - bpf: Avoid deadlock when using queue and stack maps from NMI
    (bsc#1012628).
  - bpf: Avoid dummy bpf_offload_netdev in __bpf_prog_dev_bound_init
    (bsc#1012628).
  - ALSA: docs: Fix a typo of midi2_ump_probe option for
    snd-usb-audio (bsc#1012628).
  - ALSA: seq: Avoid delivery of events for disabled UMP groups
    (bsc#1012628).
  - ASoC: rt5640: Revert "Fix sleep in atomic context"
    (bsc#1012628).
  - ASoC: rt5640: Fix sleep in atomic context (bsc#1012628).
  - ASoC: rt5640: fix typos (bsc#1012628).
  - ASoC: rt5640: Do not disable/enable IRQ twice on suspend/resume
    (bsc#1012628).
  - ASoC: rt5640: Enable the IRQ on resume after configuring
    jack-detect (bsc#1012628).
  - ASoC: rt5640: Fix IRQ not being free-ed for HDA jack detect mode
    (bsc#1012628).
  - bpf: Fix a erroneous check after snprintf() (bsc#1012628).
  - selftests/bpf: fix unpriv_disabled check in test_verifier
    (bsc#1012628).
  - ALSA: hda/realtek: Splitting the UX3402 into two separate models
    (bsc#1012628).
  - netfilter: conntrack: fix extension size table (bsc#1012628).
  - netfilter: nf_tables: Fix entries val in rule reset audit log
    (bsc#1012628).
  - Compiler Attributes: counted_by: Adjust name and identifier
    expansion (bsc#1012628).
  - uapi: stddef.h: Fix header guard location (bsc#1012628).
  - uapi: stddef.h: Fix __DECLARE_FLEX_ARRAY for C++ (bsc#1012628).
  - memblock tests: Fix compilation errors (bsc#1012628).
  - ASoC: SOF: ipc4-topology: fix wrong sizeof argument
    (bsc#1012628).
  - net: microchip: sparx5: Fix memory leak for
    vcap_api_rule_add_keyvalue_test() (bsc#1012628).
  - net: microchip: sparx5: Fix memory leak for
    vcap_api_rule_add_actionvalue_test() (bsc#1012628).
  - net: microchip: sparx5: Fix possible memory leak in
    vcap_api_encode_rule_test() (bsc#1012628).
  - net: microchip: sparx5: Fix possible memory leaks in
    test_vcap_xn_rule_creator() (bsc#1012628).
  - net: microchip: sparx5: Fix possible memory leaks in
    vcap_api_kunit (bsc#1012628).
  - selftests: tls: swap the TX and RX sockets in some tests
    (bsc#1012628).
  - net/core: Fix ETH_P_1588 flow dissector (bsc#1012628).
  - ALSA: seq: ump: Fix -Wformat-truncation warning (bsc#1012628).
  - ASoC: hdaudio.c: Add missing check for devm_kstrdup
    (bsc#1012628).
  - ASoC: imx-audmix: Fix return error with devm_clk_get()
    (bsc#1012628).
  - octeon_ep: fix tx dma unmap len values in SG (bsc#1012628).
  - iavf: do not process adminq tasks when __IAVF_IN_REMOVE_TASK
    is set (bsc#1012628).
  - ASoC: SOF: core: Only call sof_ops_free() on remove if the
    probe was successful (bsc#1012628).
  - iavf: add iavf_schedule_aq_request() helper (bsc#1012628).
  - iavf: schedule a request immediately after add/delete vlan
    (bsc#1012628).
  - i40e: Fix VF VLAN offloading when port VLAN is configured
    (bsc#1012628).
  - netfilter, bpf: Adjust timeouts of non-confirmed CTs in
    bpf_ct_insert_entry() (bsc#1012628).
  - ionic: fix 16bit math issue when PAGE_SIZE >= 64KB
    (bsc#1012628).
  - igc: Fix infinite initialization loop with early XDP redirect
    (bsc#1012628).
  - scsi: iscsi_tcp: restrict to TCP sockets (bsc#1012628).
  - powerpc/perf/hv-24x7: Update domain value check (bsc#1012628).
  - powerpc/dexcr: Move HASHCHK trap handler (bsc#1012628).
  - dccp: fix dccp_v4_err()/dccp_v6_err() again (bsc#1012628).
  - x86/mm, kexec, ima: Use memblock_free_late() from
    ima_free_kexec_buffer() (bsc#1012628).
  - net: hsr: Properly parse HSRv1 supervisor frames (bsc#1012628).
  - platform/x86: intel_scu_ipc: Check status after timeout in
    busy_loop() (bsc#1012628).
  - platform/x86: intel_scu_ipc: Check status upon timeout in
    ipc_wait_for_interrupt() (bsc#1012628).
  - platform/x86: intel_scu_ipc: Don't override scu in
    intel_scu_ipc_dev_simple_command() (bsc#1012628).
  - platform/x86: intel_scu_ipc: Fail IPC send if still busy
    (bsc#1012628).
  - x86/asm: Fix build of UML with KASAN (bsc#1012628).
  - x86/srso: Fix srso_show_state() side effect (bsc#1012628).
  - x86/srso: Set CPUID feature bits independently of bug or
    mitigation status (bsc#1012628).
  - x86/srso: Don't probe microcode in a guest (bsc#1012628).
  - x86/srso: Fix SBPB enablement for spec_rstack_overflow=off
    (bsc#1012628).
  - net: hns3: add cmdq check for vf periodic service task
    (bsc#1012628).
  - net: hns3: fix GRE checksum offload issue (bsc#1012628).
  - net: hns3: only enable unicast promisc when mac table full
    (bsc#1012628).
  - net: hns3: fix fail to delete tc flower rules during reset issue
    (bsc#1012628).
  - net: hns3: add 5ms delay before clear firmware reset irq source
    (bsc#1012628).
  - net: bridge: use DEV_STATS_INC() (bsc#1012628).
  - team: fix null-ptr-deref when team device type is changed
    (bsc#1012628).
  - locking/atomic: scripts: fix fallback ifdeffery (bsc#1012628).
  - net: rds: Fix possible NULL-pointer dereference (bsc#1012628).
  - vxlan: Add missing entries to vxlan_get_size() (bsc#1012628).
  - netfilter: nf_tables: disable toggling dormant table state
    more than once (bsc#1012628).
  - net: hinic: Fix warning-hinic_set_vlan_fliter() warn: variable
    dereferenced before check 'hwdev' (bsc#1012628).
  - net/handshake: Fix memory leak in __sock_create() and
    sock_alloc_file() (bsc#1012628).
  - i915/pmu: Move execlist stats initialization to execlist
    specific setup (bsc#1012628).
  - drm/virtio: clean out_fence on complete_submit (bsc#1012628).
  - locking/seqlock: Do the lockdep annotation before locking in
    do_write_seqcount_begin_nested() (bsc#1012628).
  - net: ena: Flush XDP packets on error (bsc#1012628).
  - bnxt_en: Flush XDP for bnxt_poll_nitroa0()'s NAPI (bsc#1012628).
  - octeontx2-pf: Do xdp_do_flush() after redirects (bsc#1012628).
  - igc: Expose tx-usecs coalesce setting to user (bsc#1012628).
  - cxl/region: Match auto-discovered region decoders by HPA range
    (bsc#1012628).
  - proc: nommu: /proc/<pid>/maps: release mmap read lock
    (bsc#1012628).
  - proc: nommu: fix empty /proc/<pid>/maps (bsc#1012628).
  - gpio: tb10x: Fix an error handling path in tb10x_gpio_probe()
    (bsc#1012628).
  - i2c: mux: demux-pinctrl: check the return value of
    devm_kstrdup() (bsc#1012628).
  - i2c: mux: gpio: Add missing fwnode_handle_put() (bsc#1012628).
  - i2c: xiic: Correct return value check for xiic_reinit()
    (bsc#1012628).
  - ASoC: cs35l56: Disable low-power hibernation mode (bsc#1012628).
  - drm/amd/display: Update DPG test pattern programming
    (bsc#1012628).
  - drm/amd/display: fix a regression in blank pixel data caused
    by coding mistake (bsc#1012628).
  - arm64: dts: qcom: sdm845-db845c: Mark cont splash memory region
    as reserved (bsc#1012628).
  - direct_write_fallback(): on error revert the ->ki_pos update
    from buffered write (bsc#1012628).
  - btrfs: reset destination buffer when read_extent_buffer()
    gets invalid range (bsc#1012628).
  - vfio/mdev: Fix a null-ptr-deref bug for mdev_unregister_parent()
    (bsc#1012628).
  - MIPS: Alchemy: only build mmc support helpers if au1xmmc is
    enabled (bsc#1012628).
  - spi: spi-gxp: BUG: Correct spi write return value (bsc#1012628).
  - bus: ti-sysc: Use fsleep() instead of usleep_range() in
    sysc_reset() (bsc#1012628).
  - bus: ti-sysc: Fix missing AM35xx SoC matching (bsc#1012628).
  - firmware: arm_scmi: Harden perf domain info access
    (bsc#1012628).
  - firmware: arm_scmi: Fixup perf power-cost/microwatt support
    (bsc#1012628).
  - power: supply: mt6370: Fix missing error code in
    mt6370_chg_toggle_cfo() (bsc#1012628).
  - clk: sprd: Fix thm_parents incorrect configuration
    (bsc#1012628).
  - clk: si521xx: Use REGCACHE_FLAT instead of NONE (bsc#1012628).
  - clk: si521xx: Fix regmap write accessor (bsc#1012628).
  - clk: tegra: fix error return case for recalc_rate (bsc#1012628).
  - ARM: dts: ti: omap: Fix bandgap thermal cells addressing for
    omap3/4 (bsc#1012628).
  - ARM: dts: ti: omap: motorola-mapphone: Fix abe_clkctrl warning
    on boot (bsc#1012628).
  - bus: ti-sysc: Fix SYSC_QUIRK_SWSUP_SIDLE_ACT handling for uart
    wake-up (bsc#1012628).
  - swiotlb: use the calculated number of areas (bsc#1012628).
  - power: supply: ucs1002: fix error code in ucs1002_get_property()
    (bsc#1012628).
  - power: supply: rt9467: Fix rt9467_run_aicl() (bsc#1012628).
  - power: supply: core: fix use after free in uevent (bsc#1012628).
  - firmware: imx-dsp: Fix an error handling path in
    imx_dsp_setup_channels() (bsc#1012628).
  - xtensa: add default definition for XCHAL_HAVE_DIV32
    (bsc#1012628).
  - xtensa: iss/network: make functions static (bsc#1012628).
  - xtensa: boot: don't add include-dirs (bsc#1012628).
  - xtensa: umulsidi3: fix conditional expression (bsc#1012628).
  - xtensa: boot/lib: fix function prototypes (bsc#1012628).
  - power: supply: rk817: Fix node refcount leak (bsc#1012628).
  - powerpc/stacktrace: Fix arch_stack_walk_reliable()
    (bsc#1012628).
  - selftests/powerpc: Fix emit_tests to work with run_kselftest.sh
    (bsc#1012628).
  - arm64: dts: imx8mp: Fix SDMA2/3 clocks (bsc#1012628).
  - arm64: dts: imx8mp-beacon-kit: Fix audio_pll2 clock
    (bsc#1012628).
  - soc: imx8m: Enable OCOTP clock for imx8mm before reading
    registers (bsc#1012628).
  - arm64: dts: imx8mm-evk: Fix hdmi@3d node (bsc#1012628).
  - arm64: dts: imx: Add imx8mm-prt8mm.dtb to build (bsc#1012628).
  - firmware: arm_ffa: Don't set the memory region attributes for
    MEM_LEND (bsc#1012628).
  - i915/guc: Get runtime pm in busyness worker only if already
    active (bsc#1012628).
  - accel/ivpu: Do not use wait event interruptible (bsc#1012628).
  - accel/ivpu: Use cached buffers for FW loading (bsc#1012628).
  - gpio: pmic-eic-sprd: Add can_sleep flag for PMIC EIC chip
    (bsc#1012628).
  - i2c: npcm7xx: Fix callback completion ordering (bsc#1012628).
  - NFSD: Fix zero NFSv4 READ results when RQ_SPLICE_OK is not set
    (bsc#1012628).
  - x86/reboot: VMCLEAR active VMCSes before emergency reboot
    (bsc#1012628).
  - ceph: drop messages from MDS when unmounting (bsc#1012628).
  - dma-debug: don't call __dma_entry_alloc_check_leak() under
    free_entries_lock (bsc#1012628).
  - bpf: Annotate bpf_long_memcpy with data_race (bsc#1012628).
  - ASoC: amd: yc: Add DMI entries to support Victus by HP Gaming
    Laptop 15-fb0xxx (8A3E) (bsc#1012628).
  - spi: sun6i: reduce DMA RX transfer width to single byte
    (bsc#1012628).
  - spi: sun6i: fix race between DMA RX transfer completion and
    RX FIFO drain (bsc#1012628).
  - nvme-fc: Prevent null pointer dereference in
    nvme_fc_io_getuuid() (bsc#1012628).
  - parisc: sba: Fix compile warning wrt list of SBA devices
    (bsc#1012628).
  - parisc: sba-iommu: Fix sparse warnigs (bsc#1012628).
  - parisc: ccio-dma: Fix sparse warnings (bsc#1012628).
  - parisc: iosapic.c: Fix sparse warnings (bsc#1012628).
  - parisc: drivers: Fix sparse warning (bsc#1012628).
  - parisc: irq: Make irq_stack_union static to avoid sparse warning
    (bsc#1012628).
  - scsi: qedf: Add synchronization between I/O completions and
    abort (bsc#1012628).
  - scsi: ufs: core: Move __ufshcd_send_uic_cmd() outside host_lock
    (bsc#1012628).
  - scsi: ufs: core: Poll HCS.UCRDY before issuing a UIC command
    (bsc#1012628).
  - selftests/ftrace: Correctly enable event in instance-event.tc
    (bsc#1012628).
  - ring-buffer: Avoid softlockup in ring_buffer_resize()
    (bsc#1012628).
  - btrfs: assert delayed node locked when removing delayed item
    (bsc#1012628).
  - selftests: fix dependency checker script (bsc#1012628).
  - ring-buffer: Do not attempt to read past "commit" (bsc#1012628).
  - net/smc: bugfix for smcr v2 server connect success statistic
    (bsc#1012628).
  - ata: sata_mv: Fix incorrect string length computation in
    mv_dump_mem() (bsc#1012628).
  - efi/x86: Ensure that EFI_RUNTIME_MAP is enabled for kexec
    (bsc#1012628).
  - platform/mellanox: mlxbf-bootctl: add NET dependency into
    Kconfig (bsc#1012628).
  - platform/x86: asus-wmi: Support 2023 ROG X16 tablet mode
    (bsc#1012628).
  - thermal/of: add missing of_node_put() (bsc#1012628).
  - drm/amdgpu: Store CU info from all XCCs for GFX v9.4.3
    (bsc#1012628).
  - drm/amdkfd: Update cache info reporting for GFX v9.4.3
    (bsc#1012628).
  - drm/amdkfd: Update CU masking for GFX 9.4.3 (bsc#1012628).
  - drm/amd/display: Don't check registers, if using AUX BL control
    (bsc#1012628).
  - drm/amdgpu/soc21: don't remap HDP registers for SR-IOV
    (bsc#1012628).
  - drm/amdgpu/nbio4.3: set proper rmmio_remap.reg_offset for SR-IOV
    (bsc#1012628).
  - drm/amdgpu: fallback to old RAS error message for aqua_vanjaram
    (bsc#1012628).
  - drm/amdkfd: Checkpoint and restore queues on GFX11
    (bsc#1012628).
  - drm/amdgpu: Handle null atom context in VBIOS info ioctl
    (bsc#1012628).
  - objtool: Fix _THIS_IP_ detection for cold functions
    (bsc#1012628).
  - nvme-pci: do not set the NUMA node of device if it has none
    (bsc#1012628).
  - riscv: errata: fix T-Head dcache.cva encoding (bsc#1012628).
  - scsi: pm80xx: Use phy-specific SAS address when sending
    PHY_START command (bsc#1012628).
  - scsi: pm80xx: Avoid leaking tags when processing
    OPC_INB_SET_CONTROLLER_CONFIG command (bsc#1012628).
  - smb3: correct places where ENOTSUPP is used instead of preferred
    EOPNOTSUPP (bsc#1012628).
  - ata: libata-eh: do not clear ATA_PFLAG_EH_PENDING in
    ata_eh_reset() (bsc#1012628).
  - ata: libata-eh: do not thaw the port twice in ata_eh_reset()
    (bsc#1012628).
  - Add DMI ID for MSI Bravo 15 B7ED (bsc#1012628).
  - spi: nxp-fspi: reset the FLSHxCR1 registers (bsc#1012628).
  - spi: stm32: add a delay before SPI disable (bsc#1012628).
  - ASoC: fsl: imx-pcm-rpmsg: Add SNDRV_PCM_INFO_BATCH flag
    (bsc#1012628).
  - spi: intel-pci: Add support for Granite Rapids SPI serial flash
    (bsc#1012628).
  - bpf: Clarify error expectations from bpf_clone_redirect
    (bsc#1012628).
  - ASoC: rt5640: Only cancel jack-detect work on suspend if active
    (bsc#1012628).
  - ALSA: hda: intel-sdw-acpi: Use u8 type for link index
    (bsc#1012628).
  - ASoC: cs42l42: Ensure a reset pulse meets minimum pulse width
    (bsc#1012628).
  - ASoC: cs42l42: Don't rely on GPIOD_OUT_LOW to set RESET
    initially low (bsc#1012628).
  - ASoC: cs42l42: Avoid stale SoundWire ATTACH after hard reset
    (bsc#1012628).
  - firmware: cirrus: cs_dsp: Only log list of algorithms in debug
    build (bsc#1012628).
  - ASoC: wm_adsp: Fix missing locking in wm_adsp_[read|write]_ctl()
    (bsc#1012628).
  - memblock tests: fix warning: "__ALIGN_KERNEL" redefined
    (bsc#1012628).
  - =?UTF-8?q?memblock=20tests:=20fix=20warning=20=E2=80=98st?=
    =?UTF-8?q?ruct=20seq=5Ffile=E2=80=99=20declared=20inside=20parameter=20li?=
    =?UTF-8?q?st?= (bsc#1012628).
  - ASoC: imx-rpmsg: Set ignore_pmdown_time for dai_link
    (bsc#1012628).
  - ASoC: SOF: sof-audio: Fix DSP core put imbalance on widget
    setup failure (bsc#1012628).
  - media: vb2: frame_vector.c: replace WARN_ONCE with a comment
    (bsc#1012628).
  - NFSv4.1: fix zero value filehandle in post open getattr
    (bsc#1012628).
  - ASoC: SOF: Intel: MTL: Reduce the DSP init timeout
    (bsc#1012628).
  - powerpc/watchpoints: Disable preemption in thread_change_pc()
    (bsc#1012628).
  - powerpc/watchpoint: Disable pagefaults when getting user
    instruction (bsc#1012628).
  - powerpc/watchpoints: Annotate atomic context in more places
    (bsc#1012628).
  - ncsi: Propagate carrier gain/loss events to the NCSI controller
    (bsc#1012628).
  - net: hsr: Add __packed to struct hsr_sup_tlv (bsc#1012628).
  - tsnep: Fix NAPI scheduling (bsc#1012628).
  - tsnep: Fix ethtool channels (bsc#1012628).
  - tsnep: Fix NAPI polling with budget 0 (bsc#1012628).
  - gfs2: fix glock shrinker ref issues (bsc#1012628).
  - i2c: designware: fix __i2c_dw_disable() in case master is
    holding SCL low (bsc#1012628).
  - LoongArch: Use _UL() and _ULL() (bsc#1012628).
  - LoongArch: Set all reserved memblocks on Node#0 at
    initialization (bsc#1012628).
  - fbdev/sh7760fb: Depend on FB=y (bsc#1012628).
  - perf build: Define YYNOMEM as YYNOABORT for bison < 3.81
    (bsc#1012628).
  - ASoC: cs35l56: Call pm_runtime_dont_use_autosuspend()
    (bsc#1012628).
  - iommu/arm-smmu-v3: Fix soft lockup triggered by
    arm_smmu_mm_invalidate_range (bsc#1012628).
  - spi: zynqmp-gqspi: fix clock imbalance on probe failure
    (bsc#1012628).
  - x86/sgx: Resolves SECS reclaim vs. page fault for EAUG race
    (bsc#1012628).
  - x86/srso: Add SRSO mitigation for Hygon processors
    (bsc#1012628).
  - KVM: SVM: INTERCEPT_RDTSCP is never intercepted anyway
    (bsc#1012628).
  - KVM: SVM: Fix TSC_AUX virtualization setup (bsc#1012628).
  - KVM: x86/mmu: Open code leaf invalidation from mmu_notifier
    (bsc#1012628).
  - KVM: x86/mmu: Do not filter address spaces in
    for_each_tdp_mmu_root_yield_safe() (bsc#1012628).
  - KVM: x86/mmu: Stop zapping invalidated TDP MMU roots
    asynchronously (bsc#1012628).
  - mptcp: fix bogus receive window shrinkage with multiple subflows
    (bsc#1012628).
  - mptcp: move __mptcp_error_report in protocol.c (bsc#1012628).
  - mptcp: process pending subflow error on close (bsc#1012628).
  - Revert "tty: n_gsm: fix UAF in gsm_cleanup_mux" (bsc#1012628).
  - scsi: core: ata: Do no try to probe for CDL on old drives
    (bsc#1012628).
  - serial: 8250_port: Check IRQ data before use (bsc#1012628).
  - nilfs2: fix potential use after free in
    nilfs_gccache_submit_read_data() (bsc#1012628).
  - crypto: sm2 - Fix crash caused by uninitialized context
    (bsc#1012628).
  - ALSA: rawmidi: Fix NULL dereference at proc read (bsc#1012628).
  - ALSA: hda: Disable power save for solving pop issue on Lenovo
    ThinkCentre M70q (bsc#1012628).
  - LoongArch: Fix lockdep static memory detection (bsc#1012628).
  - LoongArch: Define relocation types for ABI v2.10 (bsc#1012628).
  - LoongArch: numa: Fix high_memory calculation (bsc#1012628).
  - LoongArch: Add support for 32_PCREL relocation type
    (bsc#1012628).
  - LoongArch: Add support for 64_PCREL relocation type
    (bsc#1012628).
  - ata: libata-scsi: link ata port and scsi device (bsc#1012628).
  - scsi: sd: Differentiate system and runtime start/stop management
    (bsc#1012628).
  - scsi: sd: Do not issue commands to suspended disks on shutdown
    (bsc#1012628).
  - ata: libata-scsi: ignore reserved bits for REPORT SUPPORTED
    OPERATION CODES (bsc#1012628).
  - io_uring/fs: remove sqe->rw_flags checking from LINKAT
    (bsc#1012628).
  - i2c: i801: unregister tco_pdev in i801_probe() error path
    (bsc#1012628).
  - ASoC: amd: yc: Fix non-functional mic on Lenovo 82QF and 82UG
    (bsc#1012628).
  - kernel/sched: Modify initial boot task idle setup (bsc#1012628).
  - sched/rt: Fix live lock between select_fallback_rq() and RT push
    (bsc#1012628).
  - Revert "SUNRPC dont update timeout value on connection reset"
    (bsc#1012628).
  - NFSv4: Fix a state manager thread deadlock regression
    (bsc#1012628).
  - ACPI: NFIT: Fix incorrect calculation of idt size (bsc#1012628).
  - timers: Tag (hr)timer softirq as hotplug safe (bsc#1012628).
  - drm/tests: Fix incorrect argument in drm_test_mm_insert_range
    (bsc#1012628).
  - cxl/mbox: Fix CEL logic for poison and security commands
    (bsc#1012628).
  - arm64: defconfig: remove CONFIG_COMMON_CLK_NPCM8XX=y
    (bsc#1012628).
  - mm/damon/vaddr-test: fix memory leak in
    damon_do_test_apply_three_regions() (bsc#1012628).
  - selftests/mm: fix awk usage in charge_reserved_hugetlb.sh and
    hugetlb_reparenting_test.sh that may cause error (bsc#1012628).
  - mm: mempolicy: keep VMA walk if both MPOL_MF_STRICT and
    MPOL_MF_MOVE are specified (bsc#1012628).
  - mm/slab_common: fix slab_caches list corruption after
    kmem_cache_destroy() (bsc#1012628).
  - mm: page_alloc: fix CMA and HIGHATOMIC landing on the wrong
    buddy list (bsc#1012628).
  - mm: memcontrol: fix GFP_NOFS recursion in memory.high
    enforcement (bsc#1012628).
  - cxl/port: Fix cxl_test register enumeration regression
    (bsc#1012628).
  - cxl/pci: Fix appropriate checking for _OSC while handling CXL
    RAS registers (bsc#1012628).
  - ring-buffer: Fix bytes info in per_cpu buffer stats
    (bsc#1012628).
  - ring-buffer: Update "shortest_full" in polling (bsc#1012628).
  - btrfs: refresh dir last index during a rewinddir(3) call
    (bsc#1012628).
  - btrfs: file_remove_privs needs an exclusive lock in direct io
    write (bsc#1012628).
  - btrfs: set last dir index to the current last index when
    opening dir (bsc#1012628).
  - btrfs: fix race between reading a directory and adding entries
    to it (bsc#1012628).
  - btrfs: properly report 0 avail for very full file systems
    (bsc#1012628).
  - media: uvcvideo: Fix OOB read (bsc#1012628).
  - bpf: Add override check to kprobe multi link attach
    (bsc#1012628).
  - bpf: Fix BTF_ID symbol generation collision (bsc#1012628).
  - bpf: Fix BTF_ID symbol generation collision in tools/
    (bsc#1012628).
  - net: thunderbolt: Fix TCPv6 GSO checksum calculation
    (bsc#1012628).
  - thermal: sysfs: Fix trip_point_hyst_store() (bsc#1012628).
  - tracing/user_events: Align set_bit() address for all archs
    (bsc#1012628).
  - ata: libata-core: Fix ata_port_request_pm() locking
    (bsc#1012628).
  - ata: libata-core: Fix port and device removal (bsc#1012628).
  - ata: libata-core: Do not register PM operations for SAS ports
    (bsc#1012628).
  - ata: libata-sata: increase PMP SRST timeout to 10s
    (bsc#1012628).
  - i915: Limit the length of an sg list to the requested length
    (bsc#1012628).
  - drm/i915/gt: Fix reservation address in ggtt_reserve_guc_top
    (bsc#1012628).
  - power: supply: rk817: Add missing module alias (bsc#1012628).
  - power: supply: ab8500: Set typing and props (bsc#1012628).
  - fs: binfmt_elf_efpic: fix personality for ELF-FDPIC
    (bsc#1012628).
  - drm/amdkfd: Use gpu_offset for user queue's wptr (bsc#1012628).
  - drm/amd/display: fix the ability to use lower resolution modes
    on eDP (bsc#1012628).
  - drm/meson: fix memory leak on ->hpd_notify callback
    (bsc#1012628).
  - rbd: move rbd_dev_refresh() definition (bsc#1012628).
  - rbd: decouple header read-in from updating rbd_dev->header
    (bsc#1012628).
  - rbd: decouple parent info read-in from updating rbd_dev
    (bsc#1012628).
  - rbd: take header_rwsem in rbd_dev_refresh() only when updating
    (bsc#1012628).
  - memcg: drop kmem.limit_in_bytes (bsc#1012628).
  - mm, memcg: reconsider kmem.limit_in_bytes deprecation
    (bsc#1012628).
  - ASoC: amd: yc: Fix a non-functional mic on Lenovo 82TL
    (bsc#1012628).
  - Rename to
    patches.kernel.org/6.5.6-066-ipv4-fix-null-deref-in-ipv4_link_failure.patch.
  - Rename to
    patches.kernel.org/6.5.6-093-netfilter-ipset-Fix-race-between-IPSET_CMD_CREA.patch.
  - Rename to
    patches.kernel.org/6.5.6-106-cifs-Fix-UAF-in-cifs_demultiplex_thread.patch.
  - Rename to
    patches.kernel.org/6.5.6-111-drm-amdgpu-set-completion-status-as-preempted-f.patch.
  - Rename to
    patches.kernel.org/6.5.6-250-misc-rtsx-Fix-some-platforms-can-not-boot-and-m.patch.
  - Rename to
    patches.kernel.org/6.5.6-300-fs-smb-client-Reset-password-pointer-to-NULL.patch.
  - commit c97c2df
* Thu Oct 05 2023 jslaby@suse.cz
  - misc: rtsx: Fix some platforms can not boot and move the l1ss
    judgment to probe (bsc#1214428).
  - Delete
    patches.suse/Revert-101bd907b424-misc-rtsx-judge-ASPM-Mode-to-set.patch.
    Replace the revert by the upstream fix.
  - commit e5944a3
* Wed Oct 04 2023 mkubecek@suse.cz
  - Update
    patches.kernel.org/6.5.3-625-netfilter-xt_sctp-validate-the-flag_info-count.patch
    references (add CVE-2023-39193 bsc#1215860).
  - commit c56e2dd
* Wed Oct 04 2023 mkubecek@suse.cz
  - Update
    patches.kernel.org/6.5.3-624-netfilter-xt_u32-validate-user-space-input.patch
    references (add CVE-2023-39192 bsc#1215858).
  - commit d5f1e57
* Wed Oct 04 2023 mkubecek@suse.cz
  - ipv4: fix null-deref in ipv4_link_failure (CVE-2023-42754
    bsc#1215467).
  - commit a4ade06
* Tue Oct 03 2023 palcantara@suse.de
  - cifs: Fix UAF in cifs_demultiplex_thread() (bsc#1208995
    CVE-2023-1192).
  - commit 34d5680
* Tue Oct 03 2023 palcantara@suse.de
  - fs/smb/client: Reset password pointer to NULL (bsc#1215899
    CVE-2023-5345).
  - commit 180c31b
* Tue Oct 03 2023 petr.pavlu@suse.com
  - doc/README.PATCH-POLICY.SUSE: Remove the list of links (jsc#PED-5021)
    All links have been incorporated into the text. Remove now unnecessary
    list at the end of the document.
  - commit 43d62b1
* Tue Oct 03 2023 petr.pavlu@suse.com
  - doc/README.SUSE: Adjust heading style (jsc#PED-5021)
    * Underscore all headings as a preparation for Markdown conversion.
    * Use title-style capitalization for the document name and
    sentence-style capitalization for section headings, as recommended in
    the current SUSE Documentation Style Guide.
  - commit 11e3267
* Tue Oct 03 2023 mkubecek@suse.cz
  - netfilter: ipset: Fix race between IPSET_CMD_CREATE and
    IPSET_CMD_SWAP (CVE-2023-42756 bsc#1215767).
  - commit 5c8516c
* Tue Oct 03 2023 mkubecek@suse.cz
  - Update
    patches.kernel.org/6.5.3-622-netfilter-ipset-add-the-missing-IP_SET_HASH_WIT.patch
    references (add CVE-2023-42753 bsc#1215150).
  - commit ddd076d
* Mon Oct 02 2023 mkubecek@suse.cz
  - Update to 6.6-rc4
  - eliminate 1 patch
    - patches.suse/Revert-101bd907b424-misc-rtsx-judge-ASPM-Mode-to-set.patch (0e4cac557531)
  - commit 019d4ec
* Tue Sep 26 2023 petr.pavlu@suse.com
  - doc/README.PATCH-POLICY.SUSE: Reflow text to 80-column width
    (jsc#PED-5021)
  - commit be0158c
* Tue Sep 26 2023 petr.pavlu@suse.com
  - doc/README.PATCH-POLICY.SUSE: Update information about the tools
    (jsc#PED-5021)
    * Replace bugzilla.novell.com with bugzilla.suse.com and FATE with Jira.
    * Limit the range of commits in the exportpatch example to prevent it
    from running for too long.
    * Incorporate URLs directly into the text.
    * Fix typos and improve some wording, in particular avoid use of "there
    is/are" and prefer the present tense over the future one.
  - commit c0bea0c
* Tue Sep 26 2023 petr.pavlu@suse.com
  - doc/README.PATCH-POLICY.SUSE: Update information about the patch
    format (jsc#PED-5021)
    * Replace bugzilla.novell.com with bugzilla.suse.com and FATE with Jira.
    * Remove references to links to the patchtools and kernel source. They
    are incorporated in other parts of the text.
    * Use sentence-style capitalization for section headings, as recommended
    in the current SUSE Documentation Style Guide.
    * Fix typos and some wording, in particular avoid use of "there is/are".
  - commit ce98345
* Tue Sep 26 2023 petr.pavlu@suse.com
  - doc/README.PATCH-POLICY.SUSE: Update the summary and background
    (jsc#PED-5021)
    * Drop information about patches being split into directories per
    a subsystem because that is no longer the case.
    * Remove the mention that the expanded tree is present since SLE11-SP2
    as that is now only a historical detail.
    * Incorporate URLs and additional information in parenthenses directly
    into the text.
    * Fix typos and improve some wording.
  - commit 640988f
* Mon Sep 25 2023 msuchanek@suse.de
  - kernel-binary: Move build-time definitions together
    Move source list and build architecture to buildrequires to aid in
    future reorganization of the spec template.
  - commit 30e2cef
* Mon Sep 25 2023 jslaby@suse.cz
  - Refresh
    patches.suse/firmware-Add-support-for-Qualcomm-UEFI-Secure-Applic.patch.
  - Refresh
    patches.suse/firmware-qcom_scm-Add-support-for-Qualcomm-Secure-Ex.patch.
  - Refresh
    patches.suse/lib-ucs2_string-Add-UCS-2-strscpy-function.patch.
    Update upstream status.
  - commit ea38674
* Mon Sep 25 2023 tzimmermann@suse.com
  - drm/amdgpu: Update invalid PTE flag setting (boo#1215470)
  - commit 74bb304
* Mon Sep 25 2023 jslaby@suse.cz
  - Linux 6.5.5 (bsc#1012628).
  - iomap: Fix possible overflow condition in
    iomap_write_delalloc_scan (bsc#1012628).
  - autofs: fix memory leak of waitqueues in autofs_catatonic_mode
    (bsc#1012628).
  - btrfs: handle errors properly in update_inline_extent_backref()
    (bsc#1012628).
  - btrfs: output extra debug info if we failed to find an inline
    backref (bsc#1012628).
  - locks: fix KASAN: use-after-free in
    trace_event_raw_event_filelock_lock (bsc#1012628).
  - ACPICA: Add AML_NO_OPERAND_RESOLVE flag to Timer (bsc#1012628).
  - kernel/fork: beware of __put_task_struct() calling context
    (bsc#1012628).
  - rcuscale: Move rcu_scale_writer()
    schedule_timeout_uninterruptible() to _idle() (bsc#1012628).
  - scftorture: Forgive memory-allocation failure if KASAN
    (bsc#1012628).
  - platform/chrome: cros_ec_lpc: Remove EC panic shutdown timeout
    (bsc#1012628).
  - x86/amd_nb: Add PCI IDs for AMD Family 1Ah-based models
    (bsc#1012628).
  - perf/smmuv3: Enable HiSilicon Erratum 162001900 quirk for
    HIP08/09 (bsc#1012628).
  - s390/boot: cleanup number of page table levels setup
    (bsc#1012628).
  - kselftest/arm64: fix a memleak in zt_regs_run() (bsc#1012628).
  - perf/imx_ddr: speed up overflow frequency of cycle
    (bsc#1012628).
  - ACPI: video: Add backlight=native DMI quirk for Apple iMac12,1
    and iMac12,2 (bsc#1012628).
  - hw_breakpoint: fix single-stepping when using
    bpf_overflow_handler (bsc#1012628).
  - ACPI: x86: s2idle: Catch multiple ACPI_TYPE_PACKAGE objects
    (bsc#1012628).
  - selftests/nolibc: fix up kernel parameters support
    (bsc#1012628).
  - selftests/nolibc: prevent out of bounds access in
    expect_vfprintf (bsc#1012628).
  - spi: sun6i: add quirk for dual and quad SPI modes support
    (bsc#1012628).
  - devlink: remove reload failed checks in params get/set callbacks
    (bsc#1012628).
  - crypto: lrw,xts - Replace strlcpy with strscpy (bsc#1012628).
  - ice: Don't tx before switchdev is fully configured
    (bsc#1012628).
  - wifi: ath9k: fix fortify warnings (bsc#1012628).
  - wifi: ath9k: fix printk specifier (bsc#1012628).
  - wifi: rtw88: delete timer and free skb queue when unloading
    (bsc#1012628).
  - wifi: mwifiex: fix fortify warning (bsc#1012628).
  - mt76: mt7921: don't assume adequate headroom for SDIO headers
    (bsc#1012628).
  - wifi: wil6210: fix fortify warnings (bsc#1012628).
  - can: sun4i_can: Add acceptance register quirk (bsc#1012628).
  - can: sun4i_can: Add support for the Allwinner D1 (bsc#1012628).
  - net: Use sockaddr_storage for getsockopt(SO_PEERNAME)
    (bsc#1012628).
  - wifi: ath12k: Fix a NULL pointer dereference in
    ath12k_mac_op_hw_scan() (bsc#1012628).
  - wifi: ath12k: avoid array overflow of hw mode for
    preferred_hw_mode (bsc#1012628).
  - net/ipv4: return the real errno instead of -EINVAL
    (bsc#1012628).
  - crypto: lib/mpi - avoid null pointer deref in mpi_cmp_ui()
    (bsc#1012628).
  - Bluetooth: btusb: Add device 0489:e0f5 as MT7922 device
    (bsc#1012628).
  - Bluetooth: btusb: Add a new VID/PID 0489/e0f6 for MT7922
    (bsc#1012628).
  - Bluetooth: btusb: Add new VID/PID 0489/e102 for MT7922
    (bsc#1012628).
  - Bluetooth: btusb: Add new VID/PID 04ca/3804 for MT7922
    (bsc#1012628).
  - Bluetooth: Fix hci_suspend_sync crash (bsc#1012628).
  - Bluetooth: btusb: Add support for another MediaTek 7922 VID/PID
    (bsc#1012628).
  - netlink: convert nlk->flags to atomic flags (bsc#1012628).
  - tpm_tis: Resend command to recover from data transfer errors
    (bsc#1012628).
  - mmc: sdhci-esdhc-imx: improve ESDHC_FLAG_ERR010450
    (bsc#1012628).
  - alx: fix OOB-read compiler warning (bsc#1012628).
  - wifi: iwlwifi: pcie: avoid a warning in case prepare card failed
    (bsc#1012628).
  - wifi: mac80211: check S1G action frame size (bsc#1012628).
  - netfilter: ebtables: fix fortify warnings in size_entry_mwt()
    (bsc#1012628).
  - wifi: cfg80211: reject auth/assoc to AP with our address
    (bsc#1012628).
  - wifi: cfg80211: ocb: don't leave if not joined (bsc#1012628).
  - wifi: mac80211: check for station first in client probe
    (bsc#1012628).
  - wifi: mac80211_hwsim: drop short frames (bsc#1012628).
  - Revert "wifi: mac80211_hwsim: check the return value of
    nla_put_u32" (bsc#1012628).
  - libbpf: Free btf_vmlinux when closing bpf_object (bsc#1012628).
  - wifi: ath12k: Fix memory leak in rx_desc and tx_desc
    (bsc#1012628).
  - wifi: ath12k: add check max message length while scanning with
    extraie (bsc#1012628).
  - Fix nomenclature for USB and PCI wireless devices (bsc#1012628).
  - bpf: Consider non-owning refs trusted (bsc#1012628).
  - bpf: Consider non-owning refs to refcounted nodes RCU protected
    (bsc#1012628).
  - drm/bridge: tc358762: Instruct DSI host to generate HSE packets
    (bsc#1012628).
  - drm/edid: Add quirk for OSVR HDK 2.0 (bsc#1012628).
  - drm: bridge: samsung-dsim: Drain command transfer FIFO before
    transfer (bsc#1012628).
  - arm64: dts: qcom: sm6125-pdx201: correct ramoops pmsg-size
    (bsc#1012628).
  - arm64: dts: qcom: sm6125-sprout: correct ramoops pmsg-size
    (bsc#1012628).
  - arm64: dts: qcom: sm6350: correct ramoops pmsg-size
    (bsc#1012628).
  - arm64: dts: qcom: sm8150-kumano: correct ramoops pmsg-size
    (bsc#1012628).
  - arm64: dts: qcom: sm8250-edo: correct ramoops pmsg-size
    (bsc#1012628).
  - drm/amdgpu: Increase soft IH ring size (bsc#1012628).
  - samples/hw_breakpoint: Fix kernel BUG 'invalid opcode: 0000'
    (bsc#1012628).
  - drm/amdgpu: Update ring scheduler info as needed (bsc#1012628).
  - drm/amd/display: Fix underflow issue on 175hz timing
    (bsc#1012628).
  - ASoC: SOF: topology: simplify code to prevent static analysis
    warnings (bsc#1012628).
  - ASoC: Intel: sof_sdw: Update BT offload config for soundwire
    config (bsc#1012628).
  - ALSA: hda: intel-dsp-cfg: add LunarLake support (bsc#1012628).
  - drm/amd/display: Use DTBCLK as refclk instead of DPREFCLK
    (bsc#1012628).
  - drm/amd/display: Blocking invalid 420 modes on HDMI TMDS for
    DCN31 (bsc#1012628).
  - drm/amd/display: Blocking invalid 420 modes on HDMI TMDS for
    DCN314 (bsc#1012628).
  - drm/amd/display: Use max memclk variable when setting max memclk
    (bsc#1012628).
  - drm/msm/adreno: Use quirk identify hw_apriv (bsc#1012628).
  - drm/msm/adreno: Use quirk to identify cached-coherent support
    (bsc#1012628).
  - drm/exynos: fix a possible null-pointer dereference due to
    data race in exynos_drm_crtc_atomic_disable() (bsc#1012628).
  - io_uring: annotate the struct io_kiocb slab for appropriate
    user copy (bsc#1012628).
  - drm/mediatek: dp: Change logging to dev for
    mtk_dp_aux_transfer() (bsc#1012628).
  - bus: ti-sysc: Configure uart quirks for k3 SoC (bsc#1012628).
  - arm64: dts: qcom: sc8280xp-x13s: Add camera activity LED
    (bsc#1012628).
  - md: raid1: fix potential OOB in raid1_remove_disk()
    (bsc#1012628).
  - ext2: fix datatype of block number in ext2_xattr_set2()
    (bsc#1012628).
  - blk-mq: fix tags leak when shrink nr_hw_queues (bsc#1012628).
  - ASoC: SOF: amd: clear panic mask status when panic occurs
    (bsc#1012628).
  - x86: bring back rep movsq for user access on CPUs without ERMS
    (bsc#1012628).
  - fs/jfs: prevent double-free in dbUnmount() after failed
    jfs_remount() (bsc#1012628).
  - jfs: fix invalid free of JFS_IP(ipimap)->i_imap in diUnmount
    (bsc#1012628).
  - ext4: add two helper functions extent_logical_end() and
    pa_logical_end() (bsc#1012628).
  - ext4: avoid overlapping preallocations due to overflow
    (bsc#1012628).
  - PCI: dwc: Provide deinit callback for i.MX (bsc#1012628).
  - ARM: 9317/1: kexec: Make smp stop calls asynchronous
    (bsc#1012628).
  - powerpc/pseries: fix possible memory leak in ibmebus_bus_init()
    (bsc#1012628).
  - PCI: vmd: Disable bridge window for domain reset (bsc#1012628).
  - PCI: fu740: Set the number of MSI vectors (bsc#1012628).
  - media: mdp3: Fix resource leaks in of_find_device_by_node
    (bsc#1012628).
  - media: dvb-usb-v2: af9035: Fix null-ptr-deref in
    af9035_i2c_master_xfer (bsc#1012628).
  - media: dw2102: Fix null-ptr-deref in dw2102_i2c_transfer()
    (bsc#1012628).
  - media: af9005: Fix null-ptr-deref in af9005_i2c_xfer
    (bsc#1012628).
  - media: anysee: fix null-ptr-deref in anysee_master_xfer
    (bsc#1012628).
  - media: az6007: Fix null-ptr-deref in az6007_i2c_xfer()
    (bsc#1012628).
  - media: dvb-usb-v2: gl861: Fix null-ptr-deref in
    gl861_i2c_master_xfer (bsc#1012628).
  - scsi: lpfc: Abort outstanding ELS cmds when mailbox timeout
    error is detected (bsc#1012628).
  - media: tuners: qt1010: replace BUG_ON with a regular error
    (bsc#1012628).
  - media: pci: cx23885: replace BUG with error return
    (bsc#1012628).
  - usb: cdns3: Put the cdns set active part outside the spin lock
    (bsc#1012628).
  - usb: typec: intel_pmc_mux: Add new ACPI ID for Lunar Lake IOM
    device (bsc#1012628).
  - usb: gadget: fsl_qe_udc: validate endpoint index for ch9 udc
    (bsc#1012628).
  - tools: iio: iio_generic_buffer: Fix some integer type and
    calculation (bsc#1012628).
  - scsi: target: iscsi: Fix buffer overflow in
    lio_target_nacl_info_show() (bsc#1012628).
  - serial: cpm_uart: Avoid suspicious locking (bsc#1012628).
  - misc: open-dice: make OPEN_DICE depend on HAS_IOMEM
    (bsc#1012628).
  - usb: dwc3: dwc3-octeon: Verify clock divider (bsc#1012628).
  - usb: ehci: add workaround for chipidea PORTSC.PEC bug
    (bsc#1012628).
  - usb: chipidea: add workaround for chipidea PEC bug
    (bsc#1012628).
  - media: pci: ipu3-cio2: Initialise timing struct to avoid a
    compiler warning (bsc#1012628).
  - kobject: Add sanity check for kset->kobj.ktype in
    kset_register() (bsc#1012628).
  - interconnect: Fix locking for runpm vs reclaim (bsc#1012628).
  - usb: typec: qcom-pmic-typec: register drm_bridge (bsc#1012628).
  - printk: Reduce console_unblank() usage in unsafe scenarios
    (bsc#1012628).
  - printk: Keep non-panic-CPUs out of console lock (bsc#1012628).
  - printk: Do not take console lock for console_flush_on_panic()
    (bsc#1012628).
  - printk: Consolidate console deferred printing (bsc#1012628).
  - printk: Rename abandon_console_lock_in_panic() to
    other_cpu_in_panic() (bsc#1012628).
  - ext4: fix BUG in ext4_mb_new_inode_pa() due to overflow
    (bsc#1012628).
  - btrfs: introduce struct to consolidate extent buffer write
    context (bsc#1012628).
  - btrfs: zoned: introduce block group context to
    btrfs_eb_write_context (bsc#1012628).
  - btrfs: zoned: return int from btrfs_check_meta_write_pointer
    (bsc#1012628).
  - btrfs: zoned: defer advancing meta write pointer (bsc#1012628).
  - btrfs: zoned: activate metadata block group on write time
    (bsc#1012628).
  - mtd: spi-nor: spansion: use CLPEF as an alternative to CLSR
    (bsc#1012628).
  - mtd: spi-nor: spansion: preserve CFR2V[7] when writing MEMLAT
    (bsc#1012628).
  - btrfs: add a helper to read the superblock metadata_uuid
    (bsc#1012628).
  - btrfs: compare the correct fsid/metadata_uuid in
    btrfs_validate_super (bsc#1012628).
  - nvmet-tcp: pass iov_len instead of sg->length to bvec_set_page()
    (bsc#1012628).
  - drm: gm12u320: Fix the timeout usage for usb_bulk_msg()
    (bsc#1012628).
  - scsi: qla2xxx: Fix NULL vs IS_ERR() bug for debugfs_create_dir()
    (bsc#1012628).
  - md: don't dereference mddev after export_rdev() (bsc#1012628).
  - md: fix warning for holder mismatch from export_rdev()
    (bsc#1012628).
  - PM: hibernate: Fix the exclusive get block device in test_resume
    mode (bsc#1012628).
  - selftests: tracing: Fix to unmount tracefs for recovering
    environment (bsc#1012628).
  - x86/ibt: Suppress spurious ENDBR (bsc#1012628).
  - x86/ibt: Avoid duplicate ENDBR in __put_user_nocheck*()
    (bsc#1012628).
  - riscv: kexec: Align the kexeced kernel entry (bsc#1012628).
  - x86/sched: Restore the SD_ASYM_PACKING flag in the DIE domain
    (bsc#1012628).
  - scsi: target: core: Fix target_cmd_counter leak (bsc#1012628).
  - scsi: lpfc: Fix the NULL vs IS_ERR() bug for
    debugfs_create_file() (bsc#1012628).
  - panic: Reenable preemption in WARN slowpath (bsc#1012628).
  - ata: libata-core: fetch sense data for successful commands
    iff CDL enabled (bsc#1012628).
  - x86/boot/compressed: Reserve more memory for page tables
    (bsc#1012628).
  - x86/purgatory: Remove LTO flags (bsc#1012628).
  - samples/hw_breakpoint: fix building without module unloading
    (bsc#1012628).
  - blk-mq: prealloc tags when increase tagset nr_hw_queues
    (bsc#1012628).
  - blk-mq: fix tags UAF when shrinking q->nr_hw_queues
    (bsc#1012628).
  - md/raid1: fix error: ISO C90 forbids mixed declarations
    (bsc#1012628).
  - Revert "SUNRPC: Fail faster on bad verifier" (bsc#1012628).
  - attr: block mode changes of symlinks (bsc#1012628).
  - ovl: fix failed copyup of fileattr on a symlink (bsc#1012628).
  - ovl: fix incorrect fdput() on aio completion (bsc#1012628).
  - io_uring/net: fix iter retargeting for selected buf
    (bsc#1012628).
  - nvme: avoid bogus CRTO values (bsc#1012628).
  - x86/platform/uv: Use alternate source for socket to node data
    (bsc#1012628).
  - Revert "firewire: core: obsolete usage of GFP_ATOMIC at building
    node tree" (bsc#1012628).
  - md: Put the right device in md_seq_next (bsc#1012628).
  - drm/amd: Make fence wait in suballocator uninterruptible
    (bsc#1012628).
  - Revert "drm/amd: Disable S/G for APUs when 64GB or more host
    memory" (bsc#1012628).
  - dm: don't attempt to queue IO under RCU protection
    (bsc#1012628).
  - dm: fix a race condition in retrieve_deps (bsc#1012628).
  - btrfs: fix lockdep splat and potential deadlock after failure
    running delayed items (bsc#1012628).
  - btrfs: fix a compilation error if DEBUG is defined in
    btree_dirty_folio (bsc#1012628).
  - btrfs: fix race between finishing block group creation and
    its item update (bsc#1012628).
  - btrfs: release path before inode lookup during the ino lookup
    ioctl (bsc#1012628).
  - btrfs: check for BTRFS_FS_ERROR in pending ordered assert
    (bsc#1012628).
  - tracing/synthetic: Fix order of struct trace_dynamic_info
    (bsc#1012628).
  - tracing: Have tracing_max_latency inc the trace array ref count
    (bsc#1012628).
  - tracing: Have event inject files inc the trace array ref count
    (bsc#1012628).
  - tracing/synthetic: Print out u64 values properly (bsc#1012628).
  - tracing: Increase trace array ref count on enable and filter
    files (bsc#1012628).
  - tracing: Have current_trace inc the trace array ref count
    (bsc#1012628).
  - tracing: Have option files inc the trace array ref count
    (bsc#1012628).
  - selinux: fix handling of empty opts in
    selinux_fs_context_submount() (bsc#1012628).
  - nfsd: fix change_info in NFSv4 RENAME replies (bsc#1012628).
  - tracefs: Add missing lockdown check to tracefs_create_dir()
    (bsc#1012628).
  - i2c: aspeed: Reset the i2c controller when timeout occurs
    (bsc#1012628).
  - ata: libata: disallow dev-initiated LPM transitions to
    unsupported states (bsc#1012628).
  - ata: libahci: clear pending interrupt status (bsc#1012628).
  - scsi: megaraid_sas: Fix deadlock on firmware crashdump
    (bsc#1012628).
  - scsi: qla2xxx: Use raw_smp_processor_id() instead of
    smp_processor_id() (bsc#1012628).
  - scsi: pm8001: Setup IRQs on resume (bsc#1012628).
  - Revert "comedi: add HAS_IOPORT dependencies" (bsc#1012628).
  - ext4: fix rec_len verify error (bsc#1012628).
  - drm/radeon: make fence wait in suballocator uninterrruptable
    (bsc#1012628).
  - drm/i915: Only check eDP HPD when AUX CH is shared
    (bsc#1012628).
  - drm/amdkfd: Insert missing TLB flush on GFX10 and later
    (bsc#1012628).
  - drm/tests: helpers: Avoid a driver uaf (bsc#1012628).
  - drm/amd/display: Adjust the MST resume flow (bsc#1012628).
  - drm/amd/display: fix the white screen issue when >= 64GB DRAM
    (bsc#1012628).
  - drm/amd/display: Add DPIA Link Encoder Assignment Fix
    (bsc#1012628).
  - drm/amd/display: Fix 2nd DPIA encoder Assignment (bsc#1012628).
  - Revert "memcg: drop kmem.limit_in_bytes" (bsc#1012628).
  - drm/amdgpu: fix amdgpu_cs_p1_user_fence (bsc#1012628).
  - interconnect: Teach lockdep about icc_bw_lock order
    (bsc#1012628).
  - x86/alternatives: Remove faulty optimization (bsc#1012628).
  - x86,static_call: Fix static-call vs return-thunk (bsc#1012628).
  - Update config files.
    * restore COMEDI_ISA_DRIVERS=n
    * CAN_SUN4I=m, following master
  - Rename to
    patches.kernel.org/6.5.5-010-ACPI-video-Add-backlight-native-DMI-quirk-for-L.patch.
  - Rename to
    patches.kernel.org/6.5.5-147-efivarfs-fix-statfs-on-efivarfs.patch.
  - commit 2459c16
* Sun Sep 24 2023 mkubecek@suse.cz
  - Update to 6.6-rc3
  - commit 15b4ad8
* Fri Sep 22 2023 jslaby@suse.cz
  - drm/amdgpu: set completion status
    as preempted for the resubmission
    (https://gitlab.freedesktop.org/drm/amd/-/issues/2447).
  - commit f926df3
* Wed Sep 20 2023 msuchanek@suse.de
  - kernel-binary: python3 is needed for build
    At least scripts/bpf_helpers_doc.py requires python3 since Linux 4.18
    Other simimlar scripts may exist.
  - commit c882efa
* Wed Sep 20 2023 mkubecek@suse.cz
  - Update
    patches.kernel.org/6.5.3-188-net-sched-sch_hfsc-Ensure-inner-classes-have-fs.patch
    references (add CVE-2023-4623 bsc#1215115).
  - commit e836b0d
* Wed Sep 20 2023 jslaby@suse.cz
  - smb3: move server check earlier when setting channel sequence
    number (git-fixes).
  - commit fdd7e9e
* Tue Sep 19 2023 jlee@suse.com
  - Update config files. (bsc#1205447, jsc#PED-6528)
    Set CONFIG_IMA_KEYRINGS_PERMIT_SIGNED_BY_BUILTIN_OR_SECONDARY=n
    to armv6hl/default, armv7hl/default
    Let's disable CONFIG_IMA_KEYRINGS_PERMIT_SIGNED_BY_BUILTIN_OR_SECONDARY
    before we have conclusion on PED-6528. Which means that the
    .secondary_trusted_keys keyring can NOT be used to verify .ima/.evm
    keys. It also means that MOK in .machine keyring can not be used to
    verify .ima/.evm keys. (bsc#1205447, jsc#PED-6528)
  - commit 6f5f69b
* Tue Sep 19 2023 jslaby@suse.cz
  - Linux 6.5.4 (bsc#1012628).
  - drm/virtio: Conditionally allocate virtio_gpu_fence
    (bsc#1012628).
  - scsi: ufs: core: Add advanced RPMB support where UFSHCI 4.0
    does not support EHS length in UTRD (bsc#1012628).
  - scsi: qla2xxx: Adjust IOCB resource on qpair create
    (bsc#1012628).
  - scsi: qla2xxx: Limit TMF to 8 per function (bsc#1012628).
  - scsi: qla2xxx: Fix deletion race condition (bsc#1012628).
  - scsi: qla2xxx: fix inconsistent TMF timeout (bsc#1012628).
  - scsi: qla2xxx: Fix command flush during TMF (bsc#1012628).
  - scsi: qla2xxx: Fix erroneous link up failure (bsc#1012628).
  - scsi: qla2xxx: Turn off noisy message log (bsc#1012628).
  - scsi: qla2xxx: Fix session hang in gnl (bsc#1012628).
  - scsi: qla2xxx: Fix TMF leak through (bsc#1012628).
  - scsi: qla2xxx: Remove unsupported ql2xenabledif option
    (bsc#1012628).
  - scsi: qla2xxx: Flush mailbox commands on chip reset
    (bsc#1012628).
  - scsi: qla2xxx: Fix smatch warn for qla_init_iocb_limit()
    (bsc#1012628).
  - scsi: qla2xxx: Error code did not return to upper layer
    (bsc#1012628).
  - scsi: qla2xxx: Fix firmware resource tracking (bsc#1012628).
  - null_blk: fix poll request timeout handling (bsc#1012628).
  - kernfs: fix missing kernfs_iattr_rwsem locking (bsc#1012628).
  - fbdev/ep93xx-fb: Do not assign to struct fb_info.dev
    (bsc#1012628).
  - clk: qcom: camcc-sc7180: fix async resume during probe
    (bsc#1012628).
  - drm/ast: Fix DRAM init on AST2200 (bsc#1012628).
  - ASoC: tegra: Fix SFC conversion for few rates (bsc#1012628).
  - ARM: dts: samsung: exynos4210-i9100: Fix LCD screen's physical
    size (bsc#1012628).
  - arm64: tegra: Update AHUB clock parent and rate on Tegra234
    (bsc#1012628).
  - arm64: tegra: Update AHUB clock parent and rate (bsc#1012628).
  - clk: qcom: turingcc-qcs404: fix missing resume during probe
    (bsc#1012628).
  - ARM: dts: qcom: msm8974pro-castor: correct inverted X of
    touchscreen (bsc#1012628).
  - arm64: dts: qcom: msm8953-vince: drop duplicated touschreen
    parent interrupt (bsc#1012628).
  - ARM: dts: qcom: msm8974pro-castor: correct touchscreen function
    names (bsc#1012628).
  - ARM: dts: qcom: msm8974pro-castor: correct touchscreen
    syna,nosleep-mode (bsc#1012628).
  - arm64: dts: renesas: rzg2l: Fix txdv-skew-psec typos
    (bsc#1012628).
  - ARM: dts: BCM5301X: Extend RAM to full 256MB for Linksys EA6500
    V2 (bsc#1012628).
  - send channel sequence number in SMB3 requests after reconnects
    (bsc#1012628).
  - memcg: drop kmem.limit_in_bytes (bsc#1012628).
  - mm: hugetlb_vmemmap: fix a race between vmemmap pmd split
    (bsc#1012628).
  - lib/test_meminit: allocate pages up to order MAX_ORDER
    (bsc#1012628).
  - Multi-gen LRU: avoid race in inc_min_seq() (bsc#1012628).
  - parisc: led: Fix LAN receive and transmit LEDs (bsc#1012628).
  - parisc: led: Reduce CPU overhead for disk & lan LED computation
    (bsc#1012628).
  - cifs: update desired access while requesting for directory lease
    (bsc#1012628).
  - pinctrl: cherryview: fix address_space_handler() argument
    (bsc#1012628).
  - dt-bindings: clock: xlnx,versal-clk: drop select:false
    (bsc#1012628).
  - clk: imx: pll14xx: dynamically configure PLL for
    393216000/361267200Hz (bsc#1012628).
  - clk: imx: pll14xx: align pdiv with reference manual
    (bsc#1012628).
  - clk: qcom: gcc-mdm9615: use proper parent for pll0_vote clock
    (bsc#1012628).
  - soc: qcom: qmi_encdec: Restrict string length in decode
    (bsc#1012628).
  - clk: qcom: dispcc-sm8450: fix runtime PM imbalance on probe
    errors (bsc#1012628).
  - clk: qcom: dispcc-sm8550: fix runtime PM imbalance on probe
    errors (bsc#1012628).
  - clk: qcom: lpasscc-sc7280: fix missing resume during probe
    (bsc#1012628).
  - clk: qcom: q6sstop-qcs404: fix missing resume during probe
    (bsc#1012628).
  - clk: qcom: mss-sc7180: fix missing resume during probe
    (bsc#1012628).
  - NFS: Fix a potential data corruption (bsc#1012628).
  - NFSv4/pnfs: minor fix for cleanup path in nfs4_get_device_info
    (bsc#1012628).
  - bus: mhi: host: Skip MHI reset if device is in RDDM
    (bsc#1012628).
  - kbuild: rpm-pkg: define _arch conditionally (bsc#1012628).
  - kbuild: do not run depmod for 'make modules_sign' (bsc#1012628).
  - tpm_crb: Fix an error handling path in crb_acpi_add()
    (bsc#1012628).
  - gfs2: Switch to wait_event in gfs2_logd (bsc#1012628).
  - gfs2: low-memory forced flush fixes (bsc#1012628).
  - mailbox: qcom-ipcc: fix incorrect num_chans counting
    (bsc#1012628).
  - kconfig: fix possible buffer overflow (bsc#1012628).
  - tools/mm: fix undefined reference to pthread_once (bsc#1012628).
  - Input: iqs7222 - configure power mode before triggering ATI
    (bsc#1012628).
  - perf trace: Really free the evsel->priv area (bsc#1012628).
  - pwm: atmel-tcb: Harmonize resource allocation order
    (bsc#1012628).
  - pwm: atmel-tcb: Fix resource freeing in error path and remove
    (bsc#1012628).
  - backlight: lp855x: Initialize PWM state on first brightness
    change (bsc#1012628).
  - backlight: gpio_backlight: Drop output GPIO direction check
    for initial power state (bsc#1012628).
  - perf parse-events: Separate YYABORT and YYNOMEM cases
    (bsc#1012628).
  - perf parse-events: Move instances of YYABORT to YYNOMEM
    (bsc#1012628).
  - perf parse-events: Separate ENOMEM memory handling
    (bsc#1012628).
  - perf parse-events: Additional error reporting (bsc#1012628).
  - KVM: SVM: Don't defer NMI unblocking until next exit for SEV-ES
    guests (bsc#1012628).
  - Input: tca6416-keypad - always expect proper IRQ number in
    i2c client (bsc#1012628).
  - Input: tca6416-keypad - fix interrupt enable disbalance
    (bsc#1012628).
  - perf annotate bpf: Don't enclose non-debug code with an assert()
    (bsc#1012628).
  - x86/virt: Drop unnecessary check on extended CPUID level in
    cpu_has_svm() (bsc#1012628).
  - perf script: Print "cgroup" field on the same line as "comm"
    (bsc#1012628).
  - perf bpf-filter: Fix sample flag check with || (bsc#1012628).
  - perf dlfilter: Initialize addr_location before passing it to
    thread__find_symbol_fb() (bsc#1012628).
  - perf dlfilter: Add al_cleanup() (bsc#1012628).
  - perf vendor events: Update the JSON/events descriptions for
    power10 platform (bsc#1012628).
  - perf vendor events: Drop some of the JSON/events for power10
    platform (bsc#1012628).
  - perf vendor events: Drop STORES_PER_INST metric event for
    power10 platform (bsc#1012628).
  - perf vendor events: Move JSON/events to appropriate files for
    power10 platform (bsc#1012628).
  - perf vendor events: Update metric event names for power10
    platform (bsc#1012628).
  - perf top: Don't pass an ERR_PTR() directly to
    perf_session__delete() (bsc#1012628).
  - perf lock: Don't pass an ERR_PTR() directly to
    perf_session__delete() (bsc#1012628).
  - watchdog: intel-mid_wdt: add MODULE_ALIAS() to allow auto-load
    (bsc#1012628).
  - perf vendor events arm64: Remove L1D_CACHE_LMISS from AmpereOne
    list (bsc#1012628).
  - pwm: lpc32xx: Remove handling of PWM channels (bsc#1012628).
  - accel/ivpu: refactor deprecated strncpy (bsc#1012628).
  - perf header: Fix missing PMU caps (bsc#1012628).
  - i3c: master: svc: Describe member 'saved_regs' (bsc#1012628).
  - perf test stat_bpf_counters_cgrp: Fix shellcheck issue about
    logical operators (bsc#1012628).
  - perf test stat_bpf_counters_cgrp: Enhance perf stat cgroup
    BPF counter test (bsc#1012628).
  - regulator: tps6287x: Fix n_voltages (bsc#1012628).
  - selftests/bpf: Fix flaky cgroup_iter_sleepable subtest
    (bsc#1012628).
  - drm/i915: mark requests for GuC virtual engines to avoid
    use-after-free (bsc#1012628).
  - blk-throttle: use calculate_io/bytes_allowed() for
    throtl_trim_slice() (bsc#1012628).
  - blk-throttle: consider 'carryover_ios/bytes' in
    throtl_trim_slice() (bsc#1012628).
  - netfilter: nf_tables: Audit log setelem reset (bsc#1012628).
  - netfilter: nf_tables: Audit log rule reset (bsc#1012628).
  - smb: propagate error code of extract_sharename() (bsc#1012628).
  - net/sched: fq_pie: avoid stalls in fq_pie_timer() (bsc#1012628).
  - sctp: annotate data-races around sk->sk_wmem_queued
    (bsc#1012628).
  - ipv4: annotate data-races around fi->fib_dead (bsc#1012628).
  - net: read sk->sk_family once in sk_mc_loop() (bsc#1012628).
  - net: fib: avoid warn splat in flow dissector (bsc#1012628).
  - xsk: Fix xsk_diag use-after-free error during socket cleanup
    (bsc#1012628).
  - drm/i915/gvt: Verify pfn is "valid" before dereferencing
    "struct page" (bsc#1012628).
  - drm/i915/gvt: Put the page reference obtained by KVM's
    gfn_to_pfn() (bsc#1012628).
  - drm/i915/gvt: Drop unused helper intel_vgpu_reset_gtt()
    (bsc#1012628).
  - drm/amd/display: fix mode scaling (RMX_.*) (bsc#1012628).
  - net/handshake: fix null-ptr-deref in handshake_nl_done_doit()
    (bsc#1012628).
  - net: use sk_forward_alloc_get() in sk_get_meminfo()
    (bsc#1012628).
  - net: annotate data-races around sk->sk_forward_alloc
    (bsc#1012628).
  - mptcp: annotate data-races around msk->rmem_fwd_alloc
    (bsc#1012628).
  - net: annotate data-races around sk->sk_tsflags (bsc#1012628).
  - net: annotate data-races around sk->sk_bind_phc (bsc#1012628).
  - ipv4: ignore dst hint for multipath routes (bsc#1012628).
  - ipv6: ignore dst hint for multipath routes (bsc#1012628).
  - selftests/bpf: Fix a CI failure caused by vsock write
    (bsc#1012628).
  - igb: disable virtualization features on 82580 (bsc#1012628).
  - gve: fix frag_list chaining (bsc#1012628).
  - veth: Fixing transmit return status for dropped packets
    (bsc#1012628).
  - net: ipv6/addrconf: avoid integer underflow in
    ipv6_create_tempaddr (bsc#1012628).
  - net: phy: micrel: Correct bit assignments for phy_device flags
    (bsc#1012628).
  - bpf, sockmap: Fix skb refcnt race after locking changes
    (bsc#1012628).
  - af_unix: Fix msg_controllen test in scm_pidfd_recv() for
    MSG_CMSG_COMPAT (bsc#1012628).
  - af_unix: Fix data-races around user->unix_inflight
    (bsc#1012628).
  - af_unix: Fix data-race around unix_tot_inflight (bsc#1012628).
  - af_unix: Fix data-races around sk->sk_shutdown (bsc#1012628).
  - af_unix: Fix data race around sk->sk_err (bsc#1012628).
  - net: sched: sch_qfq: Fix UAF in qfq_dequeue() (bsc#1012628).
  - kcm: Destroy mutex in kcm_exit_net() (bsc#1012628).
  - octeontx2-af: Fix truncation of smq in CN10K NIX AQ enqueue
    mbox handler (bsc#1012628).
  - igc: Change IGC_MIN to allow set rx/tx value between 64 and 80
    (bsc#1012628).
  - igbvf: Change IGBVF_MIN to allow set rx/tx value between 64
    and 80 (bsc#1012628).
  - igb: Change IGB_MIN to allow set rx/tx value between 64 and 80
    (bsc#1012628).
  - s390/zcrypt: don't leak memory if dev_set_name() fails
    (bsc#1012628).
  - regulator: tps6594-regulator: Fix random kernel crash
    (bsc#1012628).
  - idr: fix param name in idr_alloc_cyclic() doc (bsc#1012628).
  - ip_tunnels: use DEV_STATS_INC() (bsc#1012628).
  - net/mlx5e: Clear mirred devices array if the rule is split
    (bsc#1012628).
  - net/mlx5: Give esw_offloads_load/unload_rep() "mlx5_" prefix
    (bsc#1012628).
  - net/mlx5: Rework devlink port alloc/free into init/cleanup
    (bsc#1012628).
  - net/mlx5: Push devlink port PF/VF init/cleanup calls out of
    devlink_port_register/unregister() (bsc#1012628).
  - mlx5/core: E-Switch, Create ACL FT for eswitch manager in
    switchdev mode (bsc#1012628).
  - net: dsa: sja1105: fix bandwidth discrepancy between tc-cbs
    software and offload (bsc#1012628).
  - net: dsa: sja1105: fix -ENOSPC when replacing the same tc-cbs
    too many times (bsc#1012628).
  - net: dsa: sja1105: complete tc-cbs offload support on SJA1110
    (bsc#1012628).
  - net: phylink: fix sphinx complaint about invalid literal
    (bsc#1012628).
  - bpf: Invoke __bpf_prog_exit_sleepable_recur() on recursion in
    kern_sys_bpf() (bsc#1012628).
  - bpf: Assign bpf_tramp_run_ctx::saved_run_ctx before recursion
    check (bsc#1012628).
  - s390/bpf: Pass through tail call counter in trampolines
    (bsc#1012628).
  - bpf: bpf_sk_storage: Fix invalid wait context lockdep report
    (bsc#1012628).
  - bpf: bpf_sk_storage: Fix the missing uncharge in sk_omem_alloc
    (bsc#1012628).
  - netfilter: nfnetlink_osf: avoid OOB read (bsc#1012628).
  - netfilter: nft_set_rbtree: skip sync GC for new elements in
    this transaction (bsc#1012628).
  - netfilter: nf_tables: Unbreak audit log reset (bsc#1012628).
  - net: phy: Provide Module 4 KSZ9477 errata (DS80000754C)
    (bsc#1012628).
  - net: hns3: fix tx timeout issue (bsc#1012628).
  - net: hns3: fix byte order conversion issue in
    hclge_dbg_fd_tcam_read() (bsc#1012628).
  - net: hns3: fix debugfs concurrency issue between kfree buffer
    and read (bsc#1012628).
  - net: hns3: fix invalid mutex between tc qdisc and dcb ets
    command issue (bsc#1012628).
  - net: hns3: fix the port information display when sfp is absent
    (bsc#1012628).
  - net: hns3: remove GSO partial feature bit (bsc#1012628).
  - net: enetc: distinguish error from valid pointers in
    enetc_fixup_clear_rss_rfs() (bsc#1012628).
  - sh: boards: Fix CEU buffer size passed to
    dma_declare_coherent_memory() (bsc#1012628).
  - sh: push-switch: Reorder cleanup operations to avoid
    use-after-free bug (bsc#1012628).
  - linux/export: fix reference to exported functions for parisc64
    (bsc#1012628).
  - watchdog: advantech_ec_wdt: fix Kconfig dependencies
    (bsc#1012628).
  - drm/amd/display: Temporary Disable MST DP Colorspace Property
    (bsc#1012628).
  - ARC: atomics: Add compiler barrier to atomic
    operations.. (bsc#1012628).
  - clocksource/drivers/arm_arch_timer: Disable timer before
    programming CVAL (bsc#1012628).
  - dmaengine: sh: rz-dmac: Fix destination and source data size
    setting (bsc#1012628).
  - misc: fastrpc: Fix remote heap allocation request (bsc#1012628).
  - misc: fastrpc: Fix incorrect DMA mapping unmap request
    (bsc#1012628).
  - jbd2: fix checkpoint cleanup performance regression
    (bsc#1012628).
  - jbd2: check 'jh->b_transaction' before removing it from
    checkpoint (bsc#1012628).
  - jbd2: correct the end of the journal recovery scan range
    (bsc#1012628).
  - ext4: fix slab-use-after-free in ext4_es_insert_extent()
    (bsc#1012628).
  - ext4: add correct group descriptors and reserved GDT blocks
    to system zone (bsc#1012628).
  - ext4: fix memory leaks in
    ext4_fname_{setup_filename,prepare_lookup} (bsc#1012628).
  - ext4: drop dio overwrite only flag and associated warning
    (bsc#1012628).
  - f2fs: get out of a repeat loop when getting a locked data page
    (bsc#1012628).
  - f2fs: flush inode if atomic file is aborted (bsc#1012628).
  - f2fs: avoid false alarm of circular locking (bsc#1012628).
  - lib: test_scanf: Add explicit type cast to result initialization
    in test_number_prefix() (bsc#1012628).
  - hwspinlock: qcom: add missing regmap config for SFPB MMIO
    implementation (bsc#1012628).
  - memcontrol: ensure memcg acquired by id is properly set up
    (bsc#1012628).
  - ata: ahci: Add Elkhart Lake AHCI controller (bsc#1012628).
  - ata: pata_falcon: fix IO base selection for Q40 (bsc#1012628).
  - ata: sata_gemini: Add missing MODULE_DESCRIPTION (bsc#1012628).
  - ata: pata_ftide010: Add missing MODULE_DESCRIPTION
    (bsc#1012628).
  - fuse: nlookup missing decrement in fuse_direntplus_link
    (bsc#1012628).
  - btrfs: zoned: do not zone finish data relocation block group
    (bsc#1012628).
  - btrfs: fix start transaction qgroup rsv double free
    (bsc#1012628).
  - btrfs: free qgroup rsv on io failure (bsc#1012628).
  - btrfs: don't start transaction when joining with
    TRANS_JOIN_NOSTART (bsc#1012628).
  - btrfs: set page extent mapped after read_folio in
    relocate_one_page (bsc#1012628).
  - btrfs: zoned: re-enable metadata over-commit for zoned mode
    (bsc#1012628).
  - btrfs: use the correct superblock to compare fsid in
    btrfs_validate_super (bsc#1012628).
  - btrfs: scrub: avoid unnecessary extent tree search preparing
    stripes (bsc#1012628).
  - btrfs: scrub: avoid unnecessary csum tree search preparing
    stripes (bsc#1012628).
  - btrfs: scrub: fix grouping of read IO (bsc#1012628).
  - drm/mxsfb: Disable overlay plane in
    mxsfb_plane_overlay_atomic_disable() (bsc#1012628).
  - mtd: rawnand: brcmnand: Fix crash during the panic_write
    (bsc#1012628).
  - mtd: rawnand: brcmnand: Fix potential out-of-bounds access in
    oob write (bsc#1012628).
  - mtd: spi-nor: Correct flags for Winbond w25q128 (bsc#1012628).
  - mtd: rawnand: brcmnand: Fix potential false time out warning
    (bsc#1012628).
  - mtd: rawnand: brcmnand: Fix ECC level field setting for v7.2
    controller (bsc#1012628).
  - Revert "drm/amd/display: Remove v_startup workaround for dcn3+"
    (bsc#1012628).
  - drm/amd/display: enable cursor degamma for DCN3+ DRM legacy
    gamma (bsc#1012628).
  - drm/amd/display: limit the v_startup workaround to ASICs older
    than DCN3.1 (bsc#1012628).
  - drm/amd/display: prevent potential division by zero errors
    (bsc#1012628).
  - KVM: VMX: Refresh available regs and IDT vectoring info before
    NMI handling (bsc#1012628).
  - KVM: SVM: Take and hold ir_list_lock when updating vCPU's
    Physical ID entry (bsc#1012628).
  - KVM: SVM: Don't inject #UD if KVM attempts to skip SEV guest
    insn (bsc#1012628).
  - KVM: SVM: Get source vCPUs from source VM for SEV-ES intrahost
    migration (bsc#1012628).
  - KVM: nSVM: Check instead of asserting on nested TSC scaling
    support (bsc#1012628).
  - KVM: nSVM: Load L1's TSC multiplier based on L1 state, not L2
    state (bsc#1012628).
  - KVM: SVM: Set target pCPU during IRTE update if target vCPU
    is running (bsc#1012628).
  - KVM: SVM: Skip VMSA init in sev_es_init_vmcb() if pointer is
    NULL (bsc#1012628).
  - MIPS: Only fiddle with CHECKFLAGS if `need-compiler'
    (bsc#1012628).
  - MIPS: Fix CONFIG_CPU_DADDI_WORKAROUNDS `modules_install'
    regression (bsc#1012628).
  - perf hists browser: Fix hierarchy mode header (bsc#1012628).
  - perf build: Update build rule for generated files (bsc#1012628).
  - perf test shell stat_bpf_counters: Fix test on Intel
    (bsc#1012628).
  - perf tools: Handle old data in PERF_RECORD_ATTR (bsc#1012628).
  - perf build: Include generated header files properly
    (bsc#1012628).
  - perf hists browser: Fix the number of entries for 'e' key
    (bsc#1012628).
  - drm/amd/display: always switch off ODM before committing more
    streams (bsc#1012628).
  - drm/amd/display: Remove wait while locked (bsc#1012628).
  - drm/amdkfd: Add missing gfx11 MQD manager callbacks
    (bsc#1012628).
  - drm/amdgpu: register a dirty framebuffer callback for fbcon
    (bsc#1012628).
  - bpf: fix bpf_probe_read_kernel prototype mismatch (bsc#1012628).
  - regulator: raa215300: Change the scope of the variables
    {clkin_name, xin_name} (bsc#1012628).
  - regulator: raa215300: Fix resource leak in case of error
    (bsc#1012628).
  - parisc: sba_iommu: Fix build warning if procfs if disabled
    (bsc#1012628).
  - kunit: Fix wild-memory-access bug in kunit_free_suite_set()
    (bsc#1012628).
  - net: ipv4: fix one memleak in __inet_del_ifa() (bsc#1012628).
  - kselftest/runner.sh: Propagate SIGTERM to runner child
    (bsc#1012628).
  - selftests: Keep symlinks, when possible (bsc#1012628).
  - selftests/ftrace: Fix dependencies for some of the synthetic
    event tests (bsc#1012628).
  - net: microchip: vcap api: Fix possible memory leak for
    vcap_dup_rule() (bsc#1012628).
  - octeontx2-pf: Fix page pool cache index corruption
    (bsc#1012628).
  - net/smc: use smc_lgr_list.lock to protect smc_lgr_list.list
    iterate in smcr_port_add (bsc#1012628).
  - net: stmmac: fix handling of zero coalescing tx-usecs
    (bsc#1012628).
  - net: ethernet: mvpp2_main: fix possible OOB write in
    mvpp2_ethtool_get_rxnfc() (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix possible NULL pointer
    dereference in mtk_hwlro_get_fdir_all() (bsc#1012628).
  - hsr: Fix uninit-value access in fill_frame_info() (bsc#1012628).
  - net: ethernet: adi: adin1110: use eth_broadcast_addr() to
    assign broadcast address (bsc#1012628).
  - net:ethernet:adi:adin1110: Fix forwarding offload (bsc#1012628).
  - net: dsa: sja1105: hide all multicast addresses from "bridge
    fdb show" (bsc#1012628).
  - net: dsa: sja1105: propagate exact error code from
    sja1105_dynamic_config_poll_valid() (bsc#1012628).
  - net: dsa: sja1105: fix multicast forwarding working only for
    last added mdb entry (bsc#1012628).
  - net: dsa: sja1105: serialize sja1105_port_mcast_flood() with
    other FDB accesses (bsc#1012628).
  - net: dsa: sja1105: block FDB accesses that are concurrent with
    a switch reset (bsc#1012628).
  - r8152: check budget for r8152_poll() (bsc#1012628).
  - kcm: Fix memory leak in error path of kcm_sendmsg()
    (bsc#1012628).
  - platform/mellanox: mlxbf-tmfifo: Drop the Rx packet if no more
    descriptors (bsc#1012628).
  - platform/mellanox: mlxbf-tmfifo: Drop jumbo frames
    (bsc#1012628).
  - platform/mellanox: mlxbf-pmc: Fix potential buffer overflows
    (bsc#1012628).
  - platform/mellanox: mlxbf-pmc: Fix reading of unprogrammed events
    (bsc#1012628).
  - platform/mellanox: NVSW_SN2201 should depend on ACPI
    (bsc#1012628).
  - net/tls: do not free tls_rec on async operation in
    bpf_exec_tx_verdict() (bsc#1012628).
  - net: macb: fix sleep inside spinlock (bsc#1012628).
  - veth: Update XDP feature set when bringing up device
    (bsc#1012628).
  - ipv6: fix ip6_sock_set_addr_preferences() typo (bsc#1012628).
  - tcp: Factorise sk_family-independent comparison in
    inet_bind2_bucket_match(_addr_any) (bsc#1012628).
  - tcp: Fix bind() regression for v4-mapped-v6 wildcard address
    (bsc#1012628).
  - tcp: Fix bind() regression for v4-mapped-v6 non-wildcard address
    (bsc#1012628).
  - selftest: tcp: Fix address length in bind_wildcard.c
    (bsc#1012628).
  - ixgbe: fix timestamp configuration code (bsc#1012628).
  - igb: clean up in all error paths when enabling SR-IOV
    (bsc#1012628).
  - net: renesas: rswitch: Fix unmasking irq condition
    (bsc#1012628).
  - kcm: Fix error handling for SOCK_DGRAM in kcm_sendmsg()
    (bsc#1012628).
  - vm: fix move_vma() memory accounting being off (bsc#1012628).
  - drm/amd/display: Fix a bug when searching for insert_above_mpcc
    (bsc#1012628).
  - Rename to
    patches.kernel.org/6.5.4-001-net-ipv6-SKB-symmetric-hash-should-incorporate-.patch.
  - Rename to
    patches.kernel.org/6.5.4-058-kbuild-dummy-tools-make-MPROFILE_KERNEL-checks-.patch.
  - Rename to
    patches.kernel.org/6.5.4-161-netfilter-nftables-exthdr-fix-4-byte-stack-OOB-.patch.
  - Update config files.
  - commit b203436
* Tue Sep 19 2023 schwab@suse.de
  - riscv: enable CONFIG_MEDIA_PLATFORM_SUPPORT
    - MEDIA_PLATFORM_SUPPORT=y
    - V4L2_H264=m
    - V4L2_VP9=m
    - MEDIA_PLATFORM_DRIVERS=y
    - V4L_PLATFORM_DRIVERS=y
    - SDR_PLATFORM_DRIVERS=y
    - DVB_PLATFORM_DRIVERS=y
    - V4L_MEM2MEM_DRIVERS=y
    - VIDEO_MEM2MEM_DEINTERLACE=m
    - VIDEO_MUX=m
    - VIDEO_CADENCE_CSI2RX=m
    - VIDEO_CADENCE_CSI2TX=m
    - VIDEO_CAFE_CCIC=m
    - VIDEO_RCAR_ISP=m
    - VIDEO_RCAR_CSI2=m
    - VIDEO_RCAR_VIN=m
    - VIDEO_RZG2L_CSI2=m
    - VIDEO_RZG2L_CRU=m
    - VIDEO_RENESAS_FCP=m
    - VIDEO_RENESAS_FDP1=m
    - VIDEO_RENESAS_JPU=m
    - VIDEO_RENESAS_VSP1=m
    - VIDEO_RCAR_DRIF=m
    - VIDEO_SUN4I_CSI=m
    - VIDEO_SUN6I_CSI=m
    - VIDEO_SUN6I_MIPI_CSI2=m
    - VIDEO_SUN8I_A83T_MIPI_CSI2=m
    - VIDEO_SUN8I_DEINTERLACE=m
    - VIDEO_SUN8I_ROTATE=m
    - VIDEO_HANTRO=m
    - VIDEO_HANTRO_SUNXI=y
    - VIDEO_XILINX=m
    - VIDEO_XILINX_CSI2RXSS=m
    - VIDEO_XILINX_TPG=m
    - VIDEO_XILINX_VTC=m
    - SMS_SDIO_DRV=m
    - SMS_SIANO_DEBUGFS=n
    - VIDEO_SUN6I_ISP=m
  - commit 337896e
* Tue Sep 19 2023 tiwai@suse.de
  - efivarfs: fix statfs() on efivarfs (bsc#1215284).
  - commit 6b704c5
* Mon Sep 18 2023 petr.pavlu@suse.com
  - doc/README.SUSE: Reflow text to 80-column width (jsc#PED-5021)
  - commit e8f2c67
* Mon Sep 18 2023 tiwai@suse.de
  - Update config files: make SCSI/ATA drivers modules again
    As discussed on opensuse-kernel ML, we want to make SCSI and ATA
    drivers from built-in back to modules again:
    https://lists.opensuse.org/archives/list/kernel@lists.opensuse.org/thread/MLRQW7RFEAKTAP63NMPFFIYTXAF7E3I3/
    They were made as built-in many many years ago just for boot speed up
    and a slight hope of initrd-less systems.  But it makes more sense to
    align with the SLE configurations.
  - commit 8c848c4
* Mon Sep 18 2023 petr.pavlu@suse.com
  - doc/README.SUSE: Minor content clean up (jsc#PED-5021)
    * Mark the user's build directory as a variable, not a command:
    'make -C $(your_build_dir)' -> 'make -C $YOUR_BUILD_DIR'.
    * Unify how to get the current directory: 'M=$(pwd)' -> 'M=$PWD'.
    * 'GIT' / 'git' -> 'Git'.
  - commit 1cb4ec8
* Mon Sep 18 2023 petr.pavlu@suse.com
  - doc/README.SUSE: Update information about module paths
    (jsc#PED-5021)
    * Use version variables to describe names of the
    /lib/modules/$VERSION-$RELEASE-$FLAVOR/... directories
    instead of using specific example versions which get outdated quickly.
    * Note: Keep the /lib/modules/ prefix instead of using the new
    /usr/lib/modules/ location for now. The updated README is expected to
    be incorporated to various branches that are not yet usrmerged.
  - commit 7eba2f0
* Mon Sep 18 2023 petr.pavlu@suse.com
  - doc/README.SUSE: Update information about custom patches
    (jsc#PED-5021)
    * Replace mention of various patches.* directories with only
    patches.suse as the typical location for patches.
    * Replace i386 with x86_64 in the example how to define a config addon.
    * Fix some typos and wording.
  - commit 2997d22
* Mon Sep 18 2023 clin@suse.com
  - Update config files: enable arm64 regulator modules for mediatek boards (bsc#1215335)
  - commit b197b36
* Mon Sep 18 2023 mkubecek@suse.cz
  - Update to 6.6-rc2
  - eliminate 1 patch
    - patches.rpmify/kbuild-avoid-long-argument-lists-in-make-modules_ins.patch
  - refresh configs
  - commit 8a1f7fd
* Fri Sep 15 2023 mkubecek@suse.cz
  - netfilter: nftables: exthdr: fix 4-byte stack OOB write
    (CVE-2023-4881 bsc#1215221).
  - commit 89de233
* Fri Sep 15 2023 petr.pavlu@suse.com
  - doc/README.SUSE: Update information about config files
    (jsc#PED-5021)
    * Use version variables to describe a name of the /boot/config-... file
    instead of using specific example versions which get outdated quickly.
    * Replace removed silentoldconfig with oldconfig.
    * Mention that oldconfig can automatically pick a base config from
    "/boot/config-$(uname -r)".
    * Avoid writing additional details in parentheses, incorporate them
    instead properly in the text.
  - commit cba5807
* Fri Sep 15 2023 petr.pavlu@suse.com
  - doc/README.SUSE: Update the patch selection section
    (jsc#PED-5021)
    * Make the steps how to obtain expanded kernel source more generic in
    regards to version numbers.
    * Use '#' instead of '$' as the command line indicator to signal that
    the steps need to be run as root.
    * Update the format of linux-$SRCVERSION.tar.bz2 to xz.
    * Improve some wording.
  - commit e14852c
* Fri Sep 15 2023 petr.pavlu@suse.com
  - doc/README.SUSE: Update information about (un)supported modules
    (jsc#PED-5021)
    * Update the list of taint flags. Convert it to a table that matches the
    upstream documentation format and describe specifically flags that are
    related to module support status.
    * Fix some typos and wording.
  - commit e46f0df
* Fri Sep 15 2023 petr.pavlu@suse.com
  - doc/README.SUSE: Bring information about compiling up to date
    (jsc#PED-5021)
    * When building the kernel, don't mention to initially change the
    current directory to /usr/src/linux because later description
    discourages it and specifies to use 'make -C /usr/src/linux'.
    * Avoid writing additional details in parentheses, incorporate them
    instead properly in the text.
    * Fix the obsolete name of /etc/modprobe.d/unsupported-modules ->
    /etc/modprobe.d/10-unsupported-modules.conf.
    * Drop a note that a newly built kernel should be added to the boot
    manager because that normally happens automatically when running
    'make install'.
    * Update a link to the Kernel Module Packages Manual.
    * When preparing a build for external modules, mention use of the
    upstream recommended 'make modules_prepare' instead of a pair of
    'make prepare' + 'make scripts'.
    * Fix some typos+grammar.
  - commit b9b7e79
* Fri Sep 15 2023 jslaby@suse.cz
  - Revert "Revert "io_uring/net: don't overflow multishot recv""
    This reverts commit 561ed6f4e7c483049221b51678bdddad3677fb61, liburing
    was fixed in by commit b73e940c9dd4.
  - commit ba6631b
* Thu Sep 14 2023 jslaby@suse.cz
  - Refresh
    patches.suse/ACPI-video-Add-backlight-native-DMI-quirk-for-Lenovo.patch.
    Update upstream status.
  - commit 786e0d6
* Thu Sep 14 2023 jslaby@suse.cz
  - Revert "io_uring/net: don't overflow multishot recv"
    (bsc#1215332).
  - commit 561ed6f
* Thu Sep 14 2023 jslaby@suse.cz
  - Update
    patches.kernel.org/6.5.3-669-media-dvb-symbol-fixup-for-dvb_attach.patch
    (bsc#1012628 bsc#1215264).
    Add a bsc reference.
  - commit 3940da6
* Thu Sep 14 2023 clin@suse.com
  - drm/msm/adreno: Add missing MODULE_FIRMWARE macros
    (bsc#1213614).
  - commit 421188e
* Wed Sep 13 2023 petr.pavlu@suse.com
  - doc/README.SUSE: Bring the overview section up to date
    (jsc#PED-5021)
    * Update information in the overview section that was no longer
    accurate.
    * Improve wording and fix some typos+grammar.
  - commit 798c075
* Wed Sep 13 2023 jslaby@suse.cz
  - sysctl/defaults: increase vm.max_map_count (bsc#1214445)
  - commit ac3c174
* Wed Sep 13 2023 petr.pavlu@suse.com
  - doc/README.SUSE: Update the references list (jsc#PED-5021)
    * Remove the reference to Linux Documentation Project. It has been
    inactive for years and mostly contains old manuals that aren't
    relevant for contemporary systems and hardware.
    * Update the name and link to LWN.net. The original name "Linux Weekly
    News" has been deemphasized over time by its authors.
    * Update the link to Kernel newbies website.
    * Update the reference to The Linux Kernel Module Programming Guide. The
    document has not been updated for over a decade but it looks its
    content is still relevant for today.
    * Point Kernel Module Packages Manual to the current version.
    * Add a reference to SUSE SolidDriver Program.
  - commit 0edac75
* Wed Sep 13 2023 petr.pavlu@suse.com
  - doc/README.SUSE: Update title information (jsc#PED-5021)
    * Drop the mention of kernel versions from the readme title.
    * Remove information about the original authors of the document. Rely as
    in case of other readmes on Git metadata to get information about all
    contributions.
    * Strip the table of contents. The document is short and easy to
    navigate just by scrolling through it.
  - commit 06f5139
* Wed Sep 13 2023 petr.pavlu@suse.com
  - doc/README.SUSE: Update information about DUD (jsc#PED-5021)
    Remove a dead link to description of Device Update Disks found
    previously on novell.com. Replace it with a short section summarizing
    what DUD is and reference the mkdud + mksusecd tools and their
    documentation for more information.
  - commit 7eeba4e
* Wed Sep 13 2023 jslaby@suse.cz
  - Linux 6.5.3 (bsc#1012628).
  - drm/amd/display: ensure async flips are only accepted for fast
    updates (bsc#1012628).
  - cpufreq: intel_pstate: set stale CPU frequency to minimum
    (bsc#1012628).
  - tpm: Enable hwrng only for Pluton on AMD CPUs (bsc#1012628).
  - net: Avoid address overwrite in kernel_connect (bsc#1012628).
  - Bluetooth: btrtl: Load FW v2 otherwise FW v1 for RTL8852C
    (bsc#1012628).
  - Input: i8042 - add quirk for TUXEDO Gemini 17 Gen1/Clevo PD70PN
    (bsc#1012628).
  - Revert "fuse: in fuse_flush only wait if someone wants the
    return code" (bsc#1012628).
  - Revert "f2fs: clean up w/ sbi->log_sectors_per_block"
    (bsc#1012628).
  - Revert "PCI: tegra194: Enable support for 256 Byte payload"
    (bsc#1012628).
  - Revert "net: macsec: preserve ingress frame ordering"
    (bsc#1012628).
  - reiserfs: Check the return value from __getblk() (bsc#1012628).
  - splice: always fsnotify_access(in), fsnotify_modify(out)
    on success (bsc#1012628).
  - splice: fsnotify_access(fd)/fsnotify_modify(fd) in vmsplice
    (bsc#1012628).
  - splice: fsnotify_access(in), fsnotify_modify(out) on success
    in tee (bsc#1012628).
  - eventfd: prevent underflow for eventfd semaphores (bsc#1012628).
  - fs: Fix error checking for d_hash_and_lookup() (bsc#1012628).
  - iomap: Remove large folio handling in iomap_invalidate_folio()
    (bsc#1012628).
  - tmpfs: verify {g,u}id mount options correctly (bsc#1012628).
  - selftests/harness: Actually report SKIP for signal tests
    (bsc#1012628).
  - vfs, security: Fix automount superblock LSM init problem,
    preventing NFS sb sharing (bsc#1012628).
  - ARM: ptrace: Restore syscall restart tracing (bsc#1012628).
  - ARM: ptrace: Restore syscall skipping for tracers (bsc#1012628).
  - btrfs: zoned: skip splitting and logical rewriting on pre-alloc
    write (bsc#1012628).
  - erofs: release ztailpacking pclusters properly (bsc#1012628).
  - locking/arch: Avoid variable shadowing in local_try_cmpxchg()
    (bsc#1012628).
  - refscale: Fix uninitalized use of wait_queue_head_t
    (bsc#1012628).
  - clocksource: Handle negative skews in "skew is too large"
    messages (bsc#1012628).
  - powercap: arm_scmi: Remove recursion while parsing zones
    (bsc#1012628).
  - OPP: Fix potential null ptr dereference in
    dev_pm_opp_get_required_pstate() (bsc#1012628).
  - OPP: Fix passing 0 to PTR_ERR in _opp_attach_genpd()
    (bsc#1012628).
  - selftests/resctrl: Add resctrl.h into build deps (bsc#1012628).
  - selftests/resctrl: Don't leak buffer in fill_cache()
    (bsc#1012628).
  - selftests/resctrl: Unmount resctrl FS if child fails to run
    benchmark (bsc#1012628).
  - selftests/resctrl: Close perf value read fd on errors
    (bsc#1012628).
  - sched/fair: remove util_est boosting (bsc#1012628).
  - arm64/ptrace: Clean up error handling path in sve_set_common()
    (bsc#1012628).
  - sched/psi: Select KERNFS as needed (bsc#1012628).
  - cpuidle: teo: Update idle duration estimate when choosing
    shallower state (bsc#1012628).
  - x86/decompressor: Don't rely on upper 32 bits of GPRs being
    preserved (bsc#1012628).
  - arm64/fpsimd: Only provide the length to cpufeature for xCR
    registers (bsc#1012628).
  - sched/rt: Fix sysctl_sched_rr_timeslice intial value
    (bsc#1012628).
  - perf/imx_ddr: don't enable counter0 if none of 4 counters are
    used (bsc#1012628).
  - selftests/futex: Order calls to futex_lock_pi (bsc#1012628).
  - s390/pkey: fix/harmonize internal keyblob headers (bsc#1012628).
  - s390/pkey: fix PKEY_TYPE_EP11_AES handling in PKEY_GENSECK2
    IOCTL (bsc#1012628).
  - s390/pkey: fix PKEY_TYPE_EP11_AES handling in PKEY_CLR2SECK2
    IOCTL (bsc#1012628).
  - s390/pkey: fix PKEY_TYPE_EP11_AES handling in
    PKEY_KBLOB2PROTK[23] (bsc#1012628).
  - s390/pkey: fix PKEY_TYPE_EP11_AES handling in PKEY_VERIFYKEY2
    IOCTL (bsc#1012628).
  - s390/pkey: fix PKEY_TYPE_EP11_AES handling for sysfs attributes
    (bsc#1012628).
  - s390/paes: fix PKEY_TYPE_EP11_AES handling for secure keyblobs
    (bsc#1012628).
  - irqchip/loongson-eiointc: Fix return value checking of
    eiointc_index (bsc#1012628).
  - ACPI: x86: s2idle: Post-increment variables when getting
    constraints (bsc#1012628).
  - ACPI: x86: s2idle: Fix a logic error parsing AMD constraints
    table (bsc#1012628).
  - thermal/of: Fix potential uninitialized value access
    (bsc#1012628).
  - cpufreq: amd-pstate-ut: Remove module parameter access
    (bsc#1012628).
  - cpufreq: amd-pstate-ut: Fix kernel panic when loading the driver
    (bsc#1012628).
  - tools/nolibc: arch-*.h: add missing space after ','
    (bsc#1012628).
  - tools/nolibc: fix up startup failures for -O0 under gcc <
    11.1.0 (bsc#1012628).
  - x86/efistub: Fix PCI ROM preservation in mixed mode
    (bsc#1012628).
  - cpufreq: powernow-k8: Use related_cpus instead of cpus in
    driver.exit() (bsc#1012628).
  - cpufreq: tegra194: add online/offline hooks (bsc#1012628).
  - cpufreq: tegra194: remove opp table in exit hook (bsc#1012628).
  - selftests/bpf: Fix bpf_nf failure upon test rerun (bsc#1012628).
  - libbpf: only reset sec_def handler when necessary (bsc#1012628).
  - bpftool: use a local copy of perf_event to fix accessing ::
    Bpf_cookie (bsc#1012628).
  - bpftool: Define a local bpf_perf_link to fix accessing its
    fields (bsc#1012628).
  - bpftool: Use a local copy of BPF_LINK_TYPE_PERF_EVENT in
    pid_iter.bpf.c (bsc#1012628).
  - bpftool: Use a local bpf_perf_event_value to fix accessing
    its fields (bsc#1012628).
  - libbpf: Fix realloc API handling in zero-sized edge cases
    (bsc#1012628).
  - bpf: Clear the probe_addr for uprobe (bsc#1012628).
  - bpf: Fix an error around PTR_UNTRUSTED (bsc#1012628).
  - bpf: Fix an error in verifying a field in a union (bsc#1012628).
  - crypto: qat - change value of default idle filter (bsc#1012628).
  - tcp: tcp_enter_quickack_mode() should be static (bsc#1012628).
  - hwrng: nomadik - keep clock enabled while hwrng is registered
    (bsc#1012628).
  - hwrng: pic32 - use devm_clk_get_enabled (bsc#1012628).
  - regmap: maple: Use alloc_flags for memory allocations
    (bsc#1012628).
  - regmap: rbtree: Use alloc_flags for memory allocations
    (bsc#1012628).
  - wifi: rtw89: debug: Fix error handling in
    rtw89_debug_priv_btc_manual_set() (bsc#1012628).
  - wifi: mt76: mt7996: fix header translation logic (bsc#1012628).
  - wifi: mt76: mt7915: fix background radar event being blocked
    (bsc#1012628).
  - wifi: mt76: mt7915: rework tx packets counting when WED is
    active (bsc#1012628).
  - wifi: mt76: mt7915: rework tx bytes counting when WED is active
    (bsc#1012628).
  - wifi: mt76: mt7921: fix non-PSC channel scan fail (bsc#1012628).
  - wifi: mt76: mt7996: fix bss wlan_idx when sending bss_info
    command (bsc#1012628).
  - wifi: mt76: mt7996: use correct phy for background radar event
    (bsc#1012628).
  - wifi: mt76: mt7996: fix WA event ring size (bsc#1012628).
  - udp: re-score reuseport groups when connected sockets are
    present (bsc#1012628).
  - bpf: reject unhashed sockets in bpf_sk_assign (bsc#1012628).
  - wifi: mt76: mt7915: fix command timeout in AP stop period
    (bsc#1012628).
  - wifi: mt76: mt7915: fix capabilities in non-AP mode
    (bsc#1012628).
  - wifi: mt76: mt7915: remove VHT160 capability on MT7915
    (bsc#1012628).
  - wifi: mt76: testmode: add nla_policy for MT76_TM_ATTR_TX_LENGTH
    (bsc#1012628).
  - spi: tegra20-sflash: fix to check return value of
    platform_get_irq() in tegra_sflash_probe() (bsc#1012628).
  - can: gs_usb: gs_usb_receive_bulk_callback(): count RX overflow
    errors also in case of OOM (bsc#1012628).
  - can: tcan4x5x: Remove reserved register 0x814 from writable
    table (bsc#1012628).
  - wifi: mt76: mt7915: fix tlv length of
    mt7915_mcu_get_chan_mib_info (bsc#1012628).
  - wifi: mt76: mt7915: fix power-limits while chan_switch
    (bsc#1012628).
  - wifi: mwifiex: Fix OOB and integer underflow when rx packets
    (bsc#1012628).
  - wifi: mwifiex: fix error recovery in PCIE buffer descriptor
    management (bsc#1012628).
  - wifi: ath11k: fix band selection for ppdu received in channel
    177 of 5 GHz (bsc#1012628).
  - wifi: ath12k: fix memcpy array overflow in
    ath12k_peer_assoc_h_he() (bsc#1012628).
  - selftests/bpf: fix static assert compilation issue for
    test_cls_*.c (bsc#1012628).
  - power: supply: qcom_pmi8998_charger: fix uninitialized variable
    (bsc#1012628).
  - spi: mpc5xxx-psc: Fix unsigned expression compared with zero
    (bsc#1012628).
  - crypto: af_alg - Fix missing initialisation affecting
    gcm-aes-s390 (bsc#1012628).
  - bpf: fix bpf_dynptr_slice() to stop return an ERR_PTR
    (bsc#1012628).
  - kbuild: rust_is_available: remove -v option (bsc#1012628).
  - kbuild: rust_is_available: fix version check when CC has
    multiple arguments (bsc#1012628).
  - kbuild: rust_is_available: add check for `bindgen` invocation
    (bsc#1012628).
  - kbuild: rust_is_available: fix confusion when a version appears
    in the path (bsc#1012628).
  - crypto: stm32 - Properly handle pm_runtime_get failing
    (bsc#1012628).
  - crypto: api - Use work queue in crypto_destroy_instance
    (bsc#1012628).
  - Bluetooth: ISO: Add support for connecting multiple BISes
    (bsc#1012628).
  - Bluetooth: ISO: do not emit new LE Create CIS if previous is
    pending (bsc#1012628).
  - Bluetooth: nokia: fix value check in
    nokia_bluetooth_serdev_probe() (bsc#1012628).
  - Bluetooth: ISO: Fix not checking for valid CIG/CIS IDs
    (bsc#1012628).
  - Bluetooth: hci_conn: Fix not allowing valid CIS ID
    (bsc#1012628).
  - Bluetooth: hci_conn: Fix hci_le_set_cig_params (bsc#1012628).
  - Bluetooth: Fix potential use-after-free when clear keys
    (bsc#1012628).
  - Bluetooth: hci_sync: Don't double print name in add/remove
    adv_monitor (bsc#1012628).
  - Bluetooth: hci_sync: Avoid use-after-free in dbg for
    hci_add_adv_monitor() (bsc#1012628).
  - Bluetooth: hci_conn: Always allocate unique handles
    (bsc#1012628).
  - Bluetooth: hci_event: drop only unbound CIS if Set CIG
    Parameters fails (bsc#1012628).
  - net: tcp: fix unexcepted socket die when snd_wnd is 0
    (bsc#1012628).
  - net: pcs: lynx: fix lynx_pcs_link_up_sgmii() not doing anything
    in fixed-link mode (bsc#1012628).
  - libbpf: Set close-on-exec flag on gzopen (bsc#1012628).
  - selftests/bpf: Fix repeat option when kfunc_call verification
    fails (bsc#1012628).
  - selftests/bpf: Clean up fmod_ret in bench_rename test script
    (bsc#1012628).
  - net: hns3: move dump regs function to a separate file
    (bsc#1012628).
  - net: hns3: Support tlv in regs data for HNS3 PF driver
    (bsc#1012628).
  - net: hns3: fix wrong rpu tln reg issue (bsc#1012628).
  - net-memcg: Fix scope of sockmem pressure indicators
    (bsc#1012628).
  - ice: ice_aq_check_events: fix off-by-one check when filling
    buffer (bsc#1012628).
  - crypto: caam - fix unchecked return value error (bsc#1012628).
  - hwrng: iproc-rng200 - Implement suspend and resume calls
    (bsc#1012628).
  - lwt: Fix return values of BPF xmit ops (bsc#1012628).
  - lwt: Check LWTUNNEL_XMIT_CONTINUE strictly (bsc#1012628).
  - usb: typec: tcpm: set initial svdm version based on pd revision
    (bsc#1012628).
  - usb: typec: bus: verify partner exists in
    typec_altmode_attention (bsc#1012628).
  - USB: core: Unite old scheme and new scheme descriptor reads
    (bsc#1012628).
  - USB: core: Change usb_get_device_descriptor() API (bsc#1012628).
  - USB: core: Fix race by not overwriting udev->descriptor in
    hub_port_init() (bsc#1012628).
  - scripts/gdb: fix 'lx-lsmod' show the wrong size (bsc#1012628).
  - nmi_backtrace: allow excluding an arbitrary CPU (bsc#1012628).
  - watchdog/hardlockup: avoid large stack frames in
    watchdog_hardlockup_check() (bsc#1012628).
  - fs: ocfs2: namei: check return value of ocfs2_add_entry()
    (bsc#1012628).
  - net: lan966x: Fix return value check for vcap_get_rule()
    (bsc#1012628).
  - net: annotate data-races around sk->sk_lingertime (bsc#1012628).
  - hwmon: (asus-ec-sensosrs) fix mutex path for X670E Hero
    (bsc#1012628).
  - wifi: mwifiex: fix memory leak in mwifiex_histogram_read()
    (bsc#1012628).
  - wifi: mwifiex: Fix missed return in oob checks failed path
    (bsc#1012628).
  - wifi: rtw89: 8852b: rfk: fine tune IQK parameters to improve
    performance on 2GHz band (bsc#1012628).
  - selftests: memfd: error out test process when child test fails
    (bsc#1012628).
  - samples/bpf: fix bio latency check with tracepoint
    (bsc#1012628).
  - samples/bpf: fix broken map lookup probe (bsc#1012628).
  - wifi: ath9k: fix races between ath9k_wmi_cmd and
    ath9k_wmi_ctrl_rx (bsc#1012628).
  - wifi: ath9k: protect WMI command response buffer replacement
    with a lock (bsc#1012628).
  - bpf: Fix a bpf_kptr_xchg() issue with local kptr (bsc#1012628).
  - wifi: mac80211: fix puncturing bitmap handling in CSA
    (bsc#1012628).
  - wifi: nl80211/cfg80211: add forgotten nla_policy for BSS color
    attribute (bsc#1012628).
  - mac80211: make ieee80211_tx_info padding explicit (bsc#1012628).
  - bpf: Fix check_func_arg_reg_off bug for graph root/node
    (bsc#1012628).
  - wifi: mwifiex: avoid possible NULL skb pointer dereference
    (bsc#1012628).
  - Bluetooth: hci_conn: Consolidate code for aborting connections
    (bsc#1012628).
  - Bluetooth: ISO: Notify user space about failed bis connections
    (bsc#1012628).
  - Bluetooth: hci_sync: Fix UAF on hci_abort_conn_sync
    (bsc#1012628).
  - Bluetooth: hci_sync: Fix UAF in hci_disconnect_all_sync
    (bsc#1012628).
  - Bluetooth: hci_conn: fail SCO/ISO via hci_conn_failed if ACL
    gone early (bsc#1012628).
  - Bluetooth: btusb: Do not call kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - arm64: mm: use ptep_clear() instead of pte_clear() in
    clear_flush() (bsc#1012628).
  - net/mlx5: Dynamic cyclecounter shift calculation for PTP free
    running clock (bsc#1012628).
  - wifi: ath9k: use IS_ERR() with debugfs_create_dir()
    (bsc#1012628).
  - ice: avoid executing commands on other ports when driving sync
    (bsc#1012628).
  - octeontx2-pf: fix page_pool creation fail for rings > 32k
    (bsc#1012628).
  - net: arcnet: Do not call kfree_skb() under local_irq_disable()
    (bsc#1012628).
  - kunit: Fix checksum tests on big endian CPUs (bsc#1012628).
  - mlxsw: i2c: Fix chunk size setting in output mailbox buffer
    (bsc#1012628).
  - mlxsw: i2c: Limit single transaction buffer size (bsc#1012628).
  - mlxsw: core_hwmon: Adjust module label names based on MTCAP
    sensor counter (bsc#1012628).
  - crypto: qat - fix crypto capability detection for 4xxx
    (bsc#1012628).
  - hwmon: (tmp513) Fix the channel number in tmp51x_is_visible()
    (bsc#1012628).
  - octeontx2-pf: Fix PFC TX scheduler free (bsc#1012628).
  - octeontx2-af: CN10KB: fix PFC configuration (bsc#1012628).
  - cteonxt2-pf: Fix backpressure config for multiple PFC priorities
    to work simultaneously (bsc#1012628).
  - sfc: Check firmware supports Ethernet PTP filter (bsc#1012628).
  - net/sched: sch_hfsc: Ensure inner classes have fsc curve
    (bsc#1012628).
  - pds_core: protect devlink callbacks from fw_down state
    (bsc#1012628).
  - pds_core: no health reporter in VF (bsc#1012628).
  - pds_core: no reset command for VF (bsc#1012628).
  - pds_core: check for work queue before use (bsc#1012628).
  - pds_core: pass opcode to devcmd_wait (bsc#1012628).
  - netrom: Deny concurrent connect() (bsc#1012628).
  - drm/bridge: tc358764: Fix debug print parameter order
    (bsc#1012628).
  - ASoC: soc-compress: Fix deadlock in soc_compr_open_fe
    (bsc#1012628).
  - ASoC: cs43130: Fix numerator/denominator mixup (bsc#1012628).
  - drm: bridge: dw-mipi-dsi: Fix enable/disable of DSI controller
    (bsc#1012628).
  - quota: factor out dquot_write_dquot() (bsc#1012628).
  - quota: rename dquot_active() to inode_quota_active()
    (bsc#1012628).
  - quota: add new helper dquot_active() (bsc#1012628).
  - quota: fix dqput() to follow the guarantees dquot_srcu should
    provide (bsc#1012628).
  - drm/amd/display: Do not set drr on pipe commit (bsc#1012628).
  - drm/hyperv: Fix a compilation issue because of not including
    screen_info.h (bsc#1012628).
  - ASoC: stac9766: fix build errors with REGMAP_AC97 (bsc#1012628).
  - soc: qcom: ocmem: Fix NUM_PORTS & NUM_MACROS macros
    (bsc#1012628).
  - arm64: defconfig: enable Qualcomm MSM8996 Global Clock
    Controller as built-in (bsc#1012628).
  - arm64: dts: qcom: sm8150: use proper DSI PHY compatible
    (bsc#1012628).
  - arm64: dts: qcom: sm6350: Fix ZAP region (bsc#1012628).
  - Revert "arm64: dts: qcom: msm8996: rename labels for HDMI nodes"
    (bsc#1012628).
  - arm64: dts: qcom: sm8250: correct dynamic power coefficients
    (bsc#1012628).
  - arm64: dts: qcom: sm8450: correct crypto unit address
    (bsc#1012628).
  - arm64: dts: qcom: msm8916-l8150: correct light sensor VDDIO
    supply (bsc#1012628).
  - arm64: dts: qcom: sm8250-edo: Add gpio line names for TLMM
    (bsc#1012628).
  - arm64: dts: qcom: sm8250-edo: Add GPIO line names for PMIC GPIOs
    (bsc#1012628).
  - arm64: dts: qcom: sm8250-edo: Rectify gpio-keys (bsc#1012628).
  - arm64: dts: qcom: sc8280xp-crd: Correct vreg_misc_3p3 GPIO
    (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: Add missing SCM interconnect
    (bsc#1012628).
  - arm64: dts: qcom: msm8939: Drop "qcom,idle-state-spc" compatible
    (bsc#1012628).
  - arm64: dts: qcom: msm8939: Add missing 'cache-unified' to L2
    (bsc#1012628).
  - arm64: dts: qcom: msm8996: Add missing interrupt to the USB2
    controller (bsc#1012628).
  - arm64: dts: qcom: sdm845-tama: Set serial indices and
    stdout-path (bsc#1012628).
  - arm64: dts: qcom: sm8350: Fix CPU idle state residency times
    (bsc#1012628).
  - arm64: dts: qcom: sm8350: Add missing LMH interrupts to cpufreq
    (bsc#1012628).
  - arm64: dts: qcom: sc8180x: Fix cluster PSCI suspend param
    (bsc#1012628).
  - arm64: dts: qcom: sm8350: Use proper CPU compatibles
    (bsc#1012628).
  - arm64: dts: qcom: pm8350: fix thermal zone name (bsc#1012628).
  - arm64: dts: qcom: pm8350b: fix thermal zone name (bsc#1012628).
  - arm64: dts: qcom: pmr735b: fix thermal zone name (bsc#1012628).
  - arm64: dts: qcom: pmk8350: fix ADC-TM compatible string
    (bsc#1012628).
  - arm64: dts: qcom: sm8450-hdk: remove pmr735b PMIC inclusion
    (bsc#1012628).
  - arm64: dts: qcom: sm8250: Mark PCIe hosts as DMA coherent
    (bsc#1012628).
  - arm64: dts: qcom: minor whitespace cleanup around '='
    (bsc#1012628).
  - arm64: dts: qcom: sm8250: Mark SMMUs as DMA coherent
    (bsc#1012628).
  - ARM: dts: stm32: Add missing detach mailbox for emtrion
    emSBC-Argon (bsc#1012628).
  - ARM: dts: stm32: Add missing detach mailbox for Odyssey SoM
    (bsc#1012628).
  - ARM: dts: stm32: Add missing detach mailbox for DHCOM SoM
    (bsc#1012628).
  - ARM: dts: stm32: Add missing detach mailbox for DHCOR SoM
    (bsc#1012628).
  - firmware: ti_sci: Use system_state to determine polling
    (bsc#1012628).
  - drm/amdgpu: avoid integer overflow warning in
    amdgpu_device_resize_fb_bar() (bsc#1012628).
  - ARM: dts: BCM53573: Drop nonexistent "default-off" LED trigger
    (bsc#1012628).
  - ARM: dts: BCM53573: Drop nonexistent #usb-cells (bsc#1012628).
  - ARM: dts: BCM53573: Add cells sizes to PCIe node (bsc#1012628).
  - ARM: dts: BCM53573: Use updated "spi-gpio" binding properties
    (bsc#1012628).
  - arm64: tegra: Add missing alias for NVIDIA IGX Orin
    (bsc#1012628).
  - arm64: tegra: Fix HSUART for Jetson AGX Orin (bsc#1012628).
  - arm64: dts: qcom: sm8250-sony-xperia: correct GPIO keys wakeup
    again (bsc#1012628).
  - arm64: dts: qcom: pm6150l: Add missing short interrupt
    (bsc#1012628).
  - arm64: dts: qcom: pm660l: Add missing short interrupt
    (bsc#1012628).
  - arm64: dts: qcom: pmi8950: Add missing OVP interrupt
    (bsc#1012628).
  - arm64: dts: qcom: pmi8994: Add missing OVP interrupt
    (bsc#1012628).
  - arm64: dts: qcom: sc8180x: Add missing 'cache-unified' to L3
    (bsc#1012628).
  - arm64: tegra: Fix HSUART for Smaug (bsc#1012628).
  - drm/etnaviv: fix dumping of active MMU context (bsc#1012628).
  - block: cleanup queue_wc_store (bsc#1012628).
  - block: don't allow enabling a cache on devices that don't
    support it (bsc#1012628).
  - blk-flush: fix rq->flush.seq for post-flush requests
    (bsc#1012628).
  - x86/mm: Fix PAT bit missing from page protection modify mask
    (bsc#1012628).
  - drm/bridge: anx7625: Use common macros for DP power sequencing
    commands (bsc#1012628).
  - drm/bridge: anx7625: Use common macros for HDCP capabilities
    (bsc#1012628).
  - ARM: dts: samsung: s3c6410-mini6410: correct ethernet reg
    addresses (split) (bsc#1012628).
  - ARM: dts: samsung: s5pv210-smdkv210: correct ethernet reg
    addresses (split) (bsc#1012628).
  - drm: adv7511: Fix low refresh rate register for ADV7533/5
    (bsc#1012628).
  - ARM: dts: BCM53573: Fix Ethernet info for Luxul devices
    (bsc#1012628).
  - arm64: dts: qcom: sdm845: Add missing RPMh power domain to GCC
    (bsc#1012628).
  - arm64: dts: qcom: sdm845: Fix the min frequency of
    "ice_core_clk" (bsc#1012628).
  - arm64: dts: qcom: sc8180x: Fix LLCC reg property (bsc#1012628).
  - arm64: dts: qcom: msm8996-gemini: fix touchscreen VIO supply
    (bsc#1012628).
  - arm64: dts: qcom: sc8180x-pmics: add missing qcom,spmi-gpio
    fallbacks (bsc#1012628).
  - arm64: dts: qcom: sc8180x-pmics: add missing gpio-ranges
    (bsc#1012628).
  - arm64: dts: qcom: sc8180x-pmics: align SPMI PMIC Power-on node
    name with dtschema (bsc#1012628).
  - arm64: dts: qcom: sc8180x-pmics: align LPG node name with
    dtschema (bsc#1012628).
  - dt-bindings: arm: msm: kpss-acc: Make the optional reg truly
    optional (bsc#1012628).
  - drm/amdgpu: Update min() to min_t() in 'amdgpu_info_ioctl'
    (bsc#1012628).
  - drm/amdgpu: Use seq_puts() instead of seq_printf()
    (bsc#1012628).
  - arm64: dts: rockchip: Fix PCIe regulators on Radxa E25
    (bsc#1012628).
  - arm64: dts: rockchip: Enable SATA on Radxa E25 (bsc#1012628).
  - ASoC: loongson: drop of_match_ptr for OF device id
    (bsc#1012628).
  - ASoC: fsl: fsl_qmc_audio: Fix snd_pcm_format_t values handling
    (bsc#1012628).
  - md: restore 'noio_flag' for the last mddev_resume()
    (bsc#1012628).
  - md/raid10: factor out dereference_rdev_and_rrdev()
    (bsc#1012628).
  - md/raid10: use dereference_rdev_and_rrdev() to get devices
    (bsc#1012628).
  - md/md-bitmap: remove unnecessary local variable in
    backlog_store() (bsc#1012628).
  - md/md-bitmap: hold 'reconfig_mutex' in backlog_store()
    (bsc#1012628).
  - drm/msm: Update dev core dump to not print backwards
    (bsc#1012628).
  - drm/tegra: dpaux: Fix incorrect return value of platform_get_irq
    (bsc#1012628).
  - of: unittest: fix null pointer dereferencing in
    of_unittest_find_node_by_name() (bsc#1012628).
  - arm64: dts: qcom: sm8150: Fix the I2C7 interrupt (bsc#1012628).
  - drm/ast: report connection status on Display Port (bsc#1012628).
  - ARM: dts: BCM53573: Fix Tenda AC9 switch CPU port (bsc#1012628).
  - drm/armada: Fix off-by-one error in
    armada_overlay_get_property() (bsc#1012628).
  - drm/repaper: Reduce temporary buffer size in repaper_fb_dirty()
    (bsc#1012628).
  - drm/panel: simple: Add missing connector type and pixel format
    for AUO T215HVN01 (bsc#1012628).
  - ima: Remove deprecated IMA_TRUSTED_KEYRING Kconfig
    (bsc#1012628).
  - drm: xlnx: zynqmp_dpsub: Add missing check for dma_set_mask
    (bsc#1012628).
  - drm/msm/dpu: increase memtype count to 16 for sm8550
    (bsc#1012628).
  - drm/msm/dpu: inline DSC_BLK and DSC_BLK_1_2 macros
    (bsc#1012628).
  - drm/msm/dpu: fix DSC 1.2 block lengths (bsc#1012628).
  - drm/msm/dpu1: Rename sm8150_dspp_blk to sdm845_dspp_blk
    (bsc#1012628).
  - drm/msm/dpu: Define names for unnamed sblks (bsc#1012628).
  - drm/msm/dpu: fix DSC 1.2 enc subblock length (bsc#1012628).
  - arm64: dts: qcom: sm8550-mtp: Add missing supply for L1B
    regulator (bsc#1012628).
  - soc: qcom: smem: Fix incompatible types in comparison
    (bsc#1012628).
  - drm/msm/mdp5: Don't leak some plane state (bsc#1012628).
  - firmware: meson_sm: fix to avoid potential NULL pointer
    dereference (bsc#1012628).
  - drm/msm/dpu: fix the irq index in
    dpu_encoder_phys_wb_wait_for_commit_done (bsc#1012628).
  - arm64: dts: ti: k3-j784s4-evm: Correct Pin mux offset for ospi
    (bsc#1012628).
  - arm64: dts: ti: k3-j721s2: correct pinmux offset for ospi
    (bsc#1012628).
  - smackfs: Prevent underflow in smk_set_cipso() (bsc#1012628).
  - drm/amdgpu: Sort the includes in amdgpu/amdgpu_drv.c
    (bsc#1012628).
  - drm/amdgpu: Move vram, gtt & flash defines to amdgpu_ ttm &
    _psp.h (bsc#1012628).
  - drm/amd/pm: fix variable dereferenced issue in
    amdgpu_device_attr_create() (bsc#1012628).
  - drm/msm/a2xx: Call adreno_gpu_init() earlier (bsc#1012628).
  - drm/msm/a6xx: Fix GMU lockdep splat (bsc#1012628).
  - ASoC: SOF: Intel: hda-mlink: fix off-by-one error (bsc#1012628).
  - ASoC: SOF: Intel: fix u16/32 confusion in LSDIID (bsc#1012628).
  - drm/mediatek: Fix uninitialized symbol (bsc#1012628).
  - audit: fix possible soft lockup in __audit_inode_child()
    (bsc#1012628).
  - block/mq-deadline: use correct way to throttling write requests
    (bsc#1012628).
  - io_uring: fix drain stalls by invalid SQE (bsc#1012628).
  - block: move the BIO_CLONED checks out of __bio_try_merge_page
    (bsc#1012628).
  - block: move the bi_vcnt check out of __bio_try_merge_page
    (bsc#1012628).
  - block: move the bi_size overflow check in __bio_try_merge_page
    (bsc#1012628).
  - block: move the bi_size update out of __bio_try_merge_page
    (bsc#1012628).
  - block: don't pass a bio to bio_try_merge_hw_seg (bsc#1012628).
  - block: make bvec_try_merge_hw_page() non-static (bsc#1012628).
  - bio-integrity: create multi-page bvecs in
    bio_integrity_add_page() (bsc#1012628).
  - drm/mediatek: dp: Add missing error checks in
    mtk_dp_parse_capabilities (bsc#1012628).
  - arm64: dts: ti: k3-j784s4-evm: Correct Pin mux offset for ADC
    (bsc#1012628).
  - arm64: dts: ti: k3-j784s4: Fix interrupt ranges for wkup &
    main gpio (bsc#1012628).
  - bus: ti-sysc: Fix build warning for 64-bit build (bsc#1012628).
  - drm/mediatek: Remove freeing not dynamic allocated memory
    (bsc#1012628).
  - drm/mediatek: Add cnt checking for coverity issue (bsc#1012628).
  - arm64: dts: imx8mp-debix: remove unused fec pinctrl node
    (bsc#1012628).
  - ARM: dts: qcom: ipq4019: correct SDHCI XO clock (bsc#1012628).
  - arm64: dts: ti: k3-am62x-sk-common: Update main-i2c1 frequency
    (bsc#1012628).
  - drm/mediatek: Fix potential memory leak if vmap() fail
    (bsc#1012628).
  - drm/mediatek: Fix void-pointer-to-enum-cast warning
    (bsc#1012628).
  - arm64: dts: qcom: apq8016-sbc: Fix ov5640 regulator supply names
    (bsc#1012628).
  - arm64: dts: qcom: apq8016-sbc: Rename ov5640 enable-gpios to
    powerdown-gpios (bsc#1012628).
  - arm64: dts: qcom: msm8998: Drop bus clock reference from MMSS
    SMMU (bsc#1012628).
  - arm64: dts: qcom: msm8998: Add missing power domain to MMSS SMMU
    (bsc#1012628).
  - ARM: dts: qcom: sdx65-mtp: Update the pmic used in sdx65
    (bsc#1012628).
  - arm64: dts: qcom: msm8996: Fix dsi1 interrupts (bsc#1012628).
  - arm64: dts: qcom: sc8280xp-x13s: Unreserve NC pins
    (bsc#1012628).
  - bus: ti-sysc: Fix cast to enum warning (bsc#1012628).
  - block: uapi: Fix compilation errors using ioprio.h with C++
    (bsc#1012628).
  - md/raid5-cache: fix a deadlock in r5l_exit_log() (bsc#1012628).
  - md/raid5-cache: fix null-ptr-deref for
    r5l_flush_stripe_to_raid() (bsc#1012628).
  - firmware: cs_dsp: Fix new control name check (bsc#1012628).
  - blk-cgroup: Fix NULL deref caused by blkg_policy_data being
    installed before init (bsc#1012628).
  - md/raid0: Factor out helper for mapping and submitting a bio
    (bsc#1012628).
  - md/raid0: Fix performance regression for large sequential writes
    (bsc#1012628).
  - md: raid0: account for split bio in iostat accounting
    (bsc#1012628).
  - ASoC: SOF: amd: clear dsp to host interrupt status
    (bsc#1012628).
  - of: overlay: Call of_changeset_init() early (bsc#1012628).
  - of: unittest: Fix overlay type in apply/revert check
    (bsc#1012628).
  - ALSA: ac97: Fix possible error value of *rac97 (bsc#1012628).
  - ALSA: usb-audio: Attach legacy rawmidi after probing all UMP
    EPs (bsc#1012628).
  - ALSA: ump: Fill group names for legacy rawmidi substreams
    (bsc#1012628).
  - ALSA: ump: Don't create unused substreams for static blocks
    (bsc#1012628).
  - ALSA: ump: Fix -Wformat-truncation warnings (bsc#1012628).
  - ipmi:ssif: Add check for kstrdup (bsc#1012628).
  - ipmi:ssif: Fix a memory leak when scanning for an adapter
    (bsc#1012628).
  - clk: qcom: gpucc-sm6350: Introduce index-based clk lookup
    (bsc#1012628).
  - clk: qcom: gpucc-sm6350: Fix clock source names (bsc#1012628).
  - clk: qcom: gcc-sc8280xp: Add missing GDSC flags (bsc#1012628).
  - dt-bindings: clock: qcom,gcc-sc8280xp: Add missing GDSCs
    (bsc#1012628).
  - clk: qcom: gcc-sc8280xp: Add missing GDSCs (bsc#1012628).
  - clk: qcom: gcc-sm7150: Add CLK_OPS_PARENT_ENABLE to sdcc2 rcg
    (bsc#1012628).
  - clk: rockchip: rk3568: Fix PLL rate setting for 78.75MHz
    (bsc#1012628).
  - PCI: apple: Initialize pcie->nvecs before use (bsc#1012628).
  - PCI: qcom-ep: Switch MHI bus master clock off during L1SS
    (bsc#1012628).
  - clk: qcom: gcc-sc8280xp: fix runtime PM imbalance on probe
    errors (bsc#1012628).
  - drivers: clk: keystone: Fix parameter judgment in
    _of_pll_clk_init() (bsc#1012628).
  - EDAC/i10nm: Skip the absent memory controllers (bsc#1012628).
  - iommufd: Fix locking around hwpt allocation (bsc#1012628).
  - PCI/DOE: Fix destroy_work_on_stack() race (bsc#1012628).
  - clk: qcom: dispcc-sc8280xp: Use ret registers on GDSCs
    (bsc#1012628).
  - clk: sunxi-ng: Modify mismatched function name (bsc#1012628).
  - clk: qcom: gcc-sc7180: Fix up gcc_sdcc2_apps_clk_src
    (bsc#1012628).
  - EDAC/igen6: Fix the issue of no error events (bsc#1012628).
  - ext4: correct grp validation in ext4_mb_good_group
    (bsc#1012628).
  - ext4: avoid potential data overflow in next_linear_group
    (bsc#1012628).
  - clk: qcom: gcc-sm8250: Fix gcc_sdcc2_apps_clk_src (bsc#1012628).
  - clk: qcom: fix some Kconfig corner cases (bsc#1012628).
  - kvm/vfio: Prepare for accepting vfio device fd (bsc#1012628).
  - kvm/vfio: ensure kvg instance stays around in
    kvm_vfio_group_add() (bsc#1012628).
  - clk: qcom: reset: Use the correct type of sleep/delay based
    on length (bsc#1012628).
  - clk: qcom: gcc-sm6350: Fix gcc_sdcc2_apps_clk_src (bsc#1012628).
  - PCI: microchip: Correct the DED and SEC interrupt bit offsets
    (bsc#1012628).
  - PCI: Mark NVIDIA T4 GPUs to avoid bus reset (bsc#1012628).
  - pinctrl: mcp23s08: check return value of devm_kasprintf()
    (bsc#1012628).
  - PCI: Add locking to RMW PCI Express Capability Register
    accessors (bsc#1012628).
  - PCI: Make link retraining use RMW accessors for changing LNKCTL
    (bsc#1012628).
  - PCI: pciehp: Use RMW accessors for changing LNKCTL
    (bsc#1012628).
  - PCI/ASPM: Use RMW accessors for changing LNKCTL (bsc#1012628).
  - clk: qcom: gcc-sm8450: Use floor ops for SDCC RCGs
    (bsc#1012628).
  - clk: qcom: gcc-qdu1000: Fix gcc_pcie_0_pipe_clk_src clock
    handling (bsc#1012628).
  - clk: qcom: gcc-qdu1000: Fix clkref clocks handling
    (bsc#1012628).
  - clk: imx: pllv4: Fix SPLL2 MULT range (bsc#1012628).
  - clk: imx: imx8ulp: update SPLL2 type (bsc#1012628).
  - clk: imx8mp: fix sai4 clock (bsc#1012628).
  - clk: imx: composite-8m: fix clock pauses when set_rate would
    be a no-op (bsc#1012628).
  - powerpc/radix: Move some functions into #ifdef
    CONFIG_KVM_BOOK3S_HV_POSSIBLE (bsc#1012628).
  - vfio/type1: fix cap_migration information leak (bsc#1012628).
  - nvdimm: Fix memleak of pmu attr_groups in
    unregister_nvdimm_pmu() (bsc#1012628).
  - nvdimm: Fix dereference after free in register_nvdimm_pmu()
    (bsc#1012628).
  - powerpc/fadump: reset dump area size if fadump memory reserve
    fails (bsc#1012628).
  - powerpc/perf: Convert fsl_emb notifier to state machine
    callbacks (bsc#1012628).
  - pinctrl: mediatek: fix pull_type data for MT7981 (bsc#1012628).
  - pinctrl: mediatek: assign functions to configure pin bias on
    MT7986 (bsc#1012628).
  - drm/amdgpu: Use RMW accessors for changing LNKCTL (bsc#1012628).
  - drm/radeon: Use RMW accessors for changing LNKCTL (bsc#1012628).
  - net/mlx5: Use RMW accessors for changing LNKCTL (bsc#1012628).
  - wifi: ath11k: Use RMW accessors for changing LNKCTL
    (bsc#1012628).
  - wifi: ath12k: Use RMW accessors for changing LNKCTL
    (bsc#1012628).
  - wifi: ath10k: Use RMW accessors for changing LNKCTL
    (bsc#1012628).
  - NFSv4.2: Fix READ_PLUS smatch warnings (bsc#1012628).
  - NFSv4.2: Fix READ_PLUS size calculations (bsc#1012628).
  - NFSv4.2: Rework scratch handling for READ_PLUS (again)
    (bsc#1012628).
  - PCI: layerscape: Add workaround for lost link capabilities
    during reset (bsc#1012628).
  - powerpc: Don't include lppaca.h in paca.h (bsc#1012628).
  - powerpc/pseries: Rework lppaca_shared_proc() to avoid
    DEBUG_PREEMPT (bsc#1012628).
  - nfs/blocklayout: Use the passed in gfp flags (bsc#1012628).
  - powerpc/pseries: Fix hcall tracepoints with JUMP_LABEL=n
    (bsc#1012628).
  - powerpc/mpc5xxx: Add missing fwnode_handle_put() (bsc#1012628).
  - powerpc/iommu: Fix notifiers being shared by PCI and VIO buses
    (bsc#1012628).
  - ext4: fix unttached inode after power cut with orphan file
    feature enabled (bsc#1012628).
  - jfs: validate max amount of blocks before allocation
    (bsc#1012628).
  - SUNRPC: Fix the recent bv_offset fix (bsc#1012628).
  - fs: lockd: avoid possible wrong NULL parameter (bsc#1012628).
  - NFSD: da_addr_body field missing in some GETDEVICEINFO replies
    (bsc#1012628).
  - clk: qcom: Fix SM_GPUCC_8450 dependencies (bsc#1012628).
  - NFS: Guard against READDIR loop when entry names exceed
    MAXNAMELEN (bsc#1012628).
  - NFSv4.2: fix handling of COPY ERR_OFFLOAD_NO_REQ (bsc#1012628).
  - pNFS: Fix assignment of xprtdata.cred (bsc#1012628).
  - cgroup/cpuset: Inherit parent's load balance state in v2
    (bsc#1012628).
  - RDMA/qedr: Remove a duplicate assignment in irdma_query_ah()
    (bsc#1012628).
  - media: ov5640: fix low resolution image abnormal issue
    (bsc#1012628).
  - media: i2c: imx290: drop format param from imx290_ctrl_update
    (bsc#1012628).
  - media: ad5820: Drop unsupported ad5823 from i2c_ and
    of_device_id tables (bsc#1012628).
  - media: i2c: tvp5150: check return value of devm_kasprintf()
    (bsc#1012628).
  - media: v4l2-core: Fix a potential resource leak in
    v4l2_fwnode_parse_link() (bsc#1012628).
  - iommu/amd/iommu_v2: Fix pasid_state refcount dec hit 0 warning
    on pasid unbind (bsc#1012628).
  - iommu: rockchip: Fix directory table address encoding
    (bsc#1012628).
  - drivers: usb: smsusb: fix error handling code in
    smsusb_init_device (bsc#1012628).
  - media: dib7000p: Fix potential division by zero (bsc#1012628).
  - media: dvb-usb: m920x: Fix a potential memory leak in
    m920x_i2c_xfer() (bsc#1012628).
  - media: cx24120: Add retval check for cx24120_message_send()
    (bsc#1012628).
  - RDMA/siw: Fabricate a GID on tun and loopback devices
    (bsc#1012628).
  - scsi: hisi_sas: Fix normally completed I/O analysed as failed
    (bsc#1012628).
  - dt-bindings: extcon: maxim,max77843: restrict connector
    properties (bsc#1012628).
  - media: amphion: reinit vpu if reqbufs output 0 (bsc#1012628).
  - media: amphion: add helper function to get id name
    (bsc#1012628).
  - media: verisilicon: Fix TRY_FMT on encoder OUTPUT (bsc#1012628).
  - media: mtk-jpeg: Fix use after free bug due to uncanceled work
    (bsc#1012628).
  - media: amphion: decoder support display delay for all formats
    (bsc#1012628).
  - media: rkvdec: increase max supported height for H.264
    (bsc#1012628).
  - media: amphion: fix CHECKED_RETURN issues reported by coverity
    (bsc#1012628).
  - media: amphion: fix REVERSE_INULL issues reported by coverity
    (bsc#1012628).
  - media: amphion: fix UNINIT issues reported by coverity
    (bsc#1012628).
  - media: amphion: fix UNUSED_VALUE issue reported by coverity
    (bsc#1012628).
  - media: amphion: ensure the bitops don't cross boundaries
    (bsc#1012628).
  - media: mediatek: vcodec: fix AV1 decode fail for 36bit iova
    (bsc#1012628).
  - media: mediatek: vcodec: Return NULL if no vdec_fb is found
    (bsc#1012628).
  - media: mediatek: vcodec: fix potential double free
    (bsc#1012628).
  - media: mediatek: vcodec: fix resource leaks in
    vdec_msg_queue_init() (bsc#1012628).
  - usb: phy: mxs: fix getting wrong state with
    mxs_phy_is_otg_host() (bsc#1012628).
  - scsi: RDMA/srp: Fix residual handling (bsc#1012628).
  - scsi: ufs: Fix residual handling (bsc#1012628).
  - scsi: iscsi: Add length check for nlattr payload (bsc#1012628).
  - scsi: iscsi: Add strlen() check in iscsi_if_set{_host}_param()
    (bsc#1012628).
  - scsi: be2iscsi: Add length check when parsing nlattrs
    (bsc#1012628).
  - scsi: qla4xxx: Add length check when parsing nlattrs
    (bsc#1012628).
  - iio: accel: adxl313: Fix adxl313_i2c_id[] table (bsc#1012628).
  - serial: sprd: Assign sprd_port after initialized to avoid
    wrong access (bsc#1012628).
  - serial: sprd: Fix DMA buffer leak issue (bsc#1012628).
  - x86/APM: drop the duplicate APM_MINOR_DEV macro (bsc#1012628).
  - RDMA/rxe: Move work queue code to subroutines (bsc#1012628).
  - RDMA/rxe: Fix unsafe drain work queue code (bsc#1012628).
  - RDMA/rxe: Fix rxe_modify_srq (bsc#1012628).
  - RDMA/rxe: Fix incomplete state save in rxe_requester
    (bsc#1012628).
  - scsi: qedf: Do not touch __user pointer in
    qedf_dbg_stop_io_on_error_cmd_read() directly (bsc#1012628).
  - scsi: qedf: Do not touch __user pointer in
    qedf_dbg_debug_cmd_read() directly (bsc#1012628).
  - scsi: qedf: Do not touch __user pointer in
    qedf_dbg_fp_int_cmd_read() directly (bsc#1012628).
  - RDMA/irdma: Replace one-element array with flexible-array member
    (bsc#1012628).
  - coresight: tmc: Explicit type conversions to prevent integer
    overflow (bsc#1012628).
  - interconnect: qcom: qcm2290: Enable sync state (bsc#1012628).
  - dma-buf/sync_file: Fix docs syntax (bsc#1012628).
  - driver core: test_async: fix an error code (bsc#1012628).
  - driver core: Call dma_cleanup() on the test_remove path
    (bsc#1012628).
  - kernfs: add stub helper for kernfs_generic_poll() (bsc#1012628).
  - extcon: cht_wc: add POWER_SUPPLY dependency (bsc#1012628).
  - iommu/mediatek: Fix two IOMMU share pagetable issue
    (bsc#1012628).
  - iommu/sprd: Add missing force_aperture (bsc#1012628).
  - iommu: Remove kernel-doc warnings (bsc#1012628).
  - bnxt_en: Update HW interface headers (bsc#1012628).
  - bnxt_en: Share the bar0 address with the RoCE driver
    (bsc#1012628).
  - RDMA/bnxt_re: Initialize Doorbell pacing feature (bsc#1012628).
  - RDMA/bnxt_re: Fix max_qp count for virtual functions
    (bsc#1012628).
  - RDMA/bnxt_re: Remove a redundant flag (bsc#1012628).
  - RDMA/hns: Fix port active speed (bsc#1012628).
  - RDMA/hns: Fix incorrect post-send with direct wqe of wr-list
    (bsc#1012628).
  - RDMA/hns: Fix inaccurate error label name in init instance
    (bsc#1012628).
  - RDMA/hns: Fix CQ and QP cache affinity (bsc#1012628).
  - IB/uverbs: Fix an potential error pointer dereference
    (bsc#1012628).
  - fsi: aspeed: Reset master errors after CFAM reset (bsc#1012628).
  - iommu/qcom: Disable and reset context bank before programming
    (bsc#1012628).
  - tty: serial: qcom-geni-serial: Poll primary sequencer irq
    status after cancel_tx (bsc#1012628).
  - iommu/vt-d: Fix to flush cache of PASID directory table
    (bsc#1012628).
  - platform/x86: dell-sysman: Fix reference leak (bsc#1012628).
  - media: cec: core: add adap_nb_transmit_canceled() callback
    (bsc#1012628).
  - media: cec: core: add adap_unconfigured() callback
    (bsc#1012628).
  - media: go7007: Remove redundant if statement (bsc#1012628).
  - media: venus: hfi_venus: Only consider sys_idle_indicator on V1
    (bsc#1012628).
  - arm64: defconfig: Drop CONFIG_VIDEO_IMX_MEDIA (bsc#1012628).
  - media: ipu-bridge: Fix null pointer deref on SSDB/PLD parsing
    warnings (bsc#1012628).
  - media: ipu3-cio2: rename cio2 bridge to ipu bridge and move
    out of ipu3 (bsc#1012628).
  - media: ipu-bridge: Do not use on stack memory for
    software_node.name field (bsc#1012628).
  - docs: ABI: fix spelling/grammar in SBEFIFO timeout interface
    (bsc#1012628).
  - USB: gadget: core: Add missing kerneldoc for vbus_work
    (bsc#1012628).
  - USB: gadget: f_mass_storage: Fix unused variable warning
    (bsc#1012628).
  - drivers: base: Free devm resources when unregistering a device
    (bsc#1012628).
  - HID: input: Support devices sending Eraser without Invert
    (bsc#1012628).
  - HID: nvidia-shield: Remove led_classdev_unregister in
    thunderstrike_create (bsc#1012628).
  - media: ov5640: Enable MIPI interface in ov5640_set_power_mipi()
    (bsc#1012628).
  - media: ov5640: Fix initial RESETB state and annotate timings
    (bsc#1012628).
  - media: Documentation: Fix [GS]_ROUTING documentation
    (bsc#1012628).
  - media: ov2680: Remove auto-gain and auto-exposure controls
    (bsc#1012628).
  - media: ov2680: Fix ov2680_bayer_order() (bsc#1012628).
  - media: ov2680: Fix vflip / hflip set functions (bsc#1012628).
  - media: ov2680: Remove VIDEO_V4L2_SUBDEV_API ifdef-s
    (bsc#1012628).
  - media: ov2680: Don't take the lock for try_fmt calls
    (bsc#1012628).
  - media: ov2680: Add ov2680_fill_format() helper function
    (bsc#1012628).
  - media: ov2680: Fix ov2680_set_fmt() which ==
    V4L2_SUBDEV_FORMAT_TRY not working (bsc#1012628).
  - media: ov2680: Fix regulators being left enabled on
    ov2680_power_on() errors (bsc#1012628).
  - media: i2c: rdacm21: Fix uninitialized value (bsc#1012628).
  - f2fs: fix spelling in ABI documentation (bsc#1012628).
  - f2fs: fix to avoid mmap vs set_compress_option case
    (bsc#1012628).
  - f2fs: don't reopen the main block device in f2fs_scan_devices
    (bsc#1012628).
  - f2fs: check zone type before sending async reset zone command
    (bsc#1012628).
  - f2fs: Only lfs mode is allowed with zoned block device feature
    (bsc#1012628).
  - Revert "f2fs: fix to do sanity check on extent cache correctly"
    (bsc#1012628).
  - f2fs: fix to account gc stats correctly (bsc#1012628).
  - f2fs: fix to account cp stats correctly (bsc#1012628).
  - cgroup:namespace: Remove unused cgroup_namespaces_init()
    (bsc#1012628).
  - coresight: trbe: Allocate platform data per device
    (bsc#1012628).
  - coresight: platform: acpi: Ignore the absence of graph
    (bsc#1012628).
  - coresight: Fix memory leak in acpi_buffer->pointer
    (bsc#1012628).
  - coresight: trbe: Fix TRBE potential sleep in atomic context
    (bsc#1012628).
  - Revert "f2fs: do not issue small discard commands during
    checkpoint" (bsc#1012628).
  - RDMA/irdma: Prevent zero-length STAG registration (bsc#1012628).
  - scsi: core: Use 32-bit hostnum in scsi_host_lookup()
    (bsc#1012628).
  - scsi: fcoe: Fix potential deadlock on &fip->ctlr_lock
    (bsc#1012628).
  - interconnect: qcom: sm8450: Enable sync_state (bsc#1012628).
  - interconnect: qcom: bcm-voter: Improve enable_mask handling
    (bsc#1012628).
  - interconnect: qcom: bcm-voter: Use enable_maks for keepalive
    voting (bsc#1012628).
  - dt-bindings: usb: samsung,exynos-dwc3: fix order of clocks on
    Exynos5433 (bsc#1012628).
  - dt-bindings: usb: samsung,exynos-dwc3: Fix Exynos5433 compatible
    (bsc#1012628).
  - serial: tegra: handle clk prepare error in tegra_uart_hw_init()
    (bsc#1012628).
  - Documentation: devices.txt: Remove ttyIOC* (bsc#1012628).
  - Documentation: devices.txt: Remove ttySIOC* (bsc#1012628).
  - Documentation: devices.txt: Fix minors for ttyCPM*
    (bsc#1012628).
  - amba: bus: fix refcount leak (bsc#1012628).
  - Revert "IB/isert: Fix incorrect release of isert connection"
    (bsc#1012628).
  - RDMA/siw: Balance the reference of cep->kref in the error path
    (bsc#1012628).
  - RDMA/siw: Correct wrong debug message (bsc#1012628).
  - RDMA/efa: Fix wrong resources deallocation order (bsc#1012628).
  - HID: logitech-dj: Fix error handling in
    logi_dj_recv_switch_to_dj_mode() (bsc#1012628).
  - nvmem: core: Return NULL when no nvmem layout is found
    (bsc#1012628).
  - riscv: Require FRAME_POINTER for some configurations
    (bsc#1012628).
  - f2fs: compress: fix to assign compress_level for lz4 correctly
    (bsc#1012628).
  - HID: uclogic: Correct devm device reference for hidinput
    input_dev name (bsc#1012628).
  - HID: multitouch: Correct devm device reference for hidinput
    input_dev name (bsc#1012628).
  - HID: nvidia-shield: Reference hid_device devm allocation of
    input_dev name (bsc#1012628).
  - platform/x86/amd/pmf: Fix a missing cleanup path (bsc#1012628).
  - workqueue: fix data race with the pwq->stats[] increment
    (bsc#1012628).
  - tick/rcu: Fix false positive "softirq work is pending" messages
    (bsc#1012628).
  - x86/speculation: Mark all Skylake CPUs as vulnerable to GDS
    (bsc#1012628).
  - tracing: Remove extra space at the end of hwlat_detector/mode
    (bsc#1012628).
  - tracing: Fix race issue between cpu buffer write and swap
    (bsc#1012628).
  - mm/pagewalk: fix bootstopping regression from extra pte_unmap()
    (bsc#1012628).
  - mtd: rawnand: brcmnand: Fix mtd oobsize (bsc#1012628).
  - dmaengine: idxd: Modify the dependence of attribute
    pasid_enabled (bsc#1012628).
  - phy/rockchip: inno-hdmi: use correct vco_div_5 macro on rk3328
    (bsc#1012628).
  - phy/rockchip: inno-hdmi: round fractal pixclock in rk3328
    recalc_rate (bsc#1012628).
  - phy/rockchip: inno-hdmi: do not power on rk3328 post pll on
    reg write (bsc#1012628).
  - rpmsg: glink: Add check for kstrdup (bsc#1012628).
  - leds: aw200xx: Fix error code in probe() (bsc#1012628).
  - leds: simatic-ipc-leds-gpio: Restore LEDS_CLASS dependency
    (bsc#1012628).
  - leds: pwm: Fix error code in led_pwm_create_fwnode()
    (bsc#1012628).
  - thermal/drivers/mediatek/lvts_thermal: Handle IRQ on all
    controllers (bsc#1012628).
  - thermal/drivers/mediatek/lvts_thermal: Honor sensors in
    immediate mode (bsc#1012628).
  - thermal/drivers/mediatek/lvts_thermal: Use offset threshold
    for IRQ (bsc#1012628).
  - thermal/drivers/mediatek/lvts_thermal: Disable undesired
    interrupts (bsc#1012628).
  - thermal/drivers/mediatek/lvts_thermal: Don't leave threshold
    zeroed (bsc#1012628).
  - thermal/drivers/mediatek/lvts_thermal: Manage threshold between
    sensors (bsc#1012628).
  - thermal/drivers/imx8mm: Suppress log message on probe deferral
    (bsc#1012628).
  - leds: multicolor: Use rounded division when calculating color
    components (bsc#1012628).
  - leds: Fix BUG_ON check for LED_COLOR_ID_MULTI that is always
    false (bsc#1012628).
  - leds: trigger: tty: Do not use LED_ON/OFF constants, use
    led_blink_set_oneshot instead (bsc#1012628).
  - mtd: spi-nor: Check bus width while setting QE bit
    (bsc#1012628).
  - mtd: rawnand: fsmc: handle clk prepare error in
    fsmc_nand_resume() (bsc#1012628).
  - mfd: rk808: Make MFD_RK8XX tristate (bsc#1012628).
  - mfd: rz-mtu3: Link time dependencies (bsc#1012628).
  - um: Fix hostaudio build errors (bsc#1012628).
  - dmaengine: ste_dma40: Add missing IRQ check in d40_probe
    (bsc#1012628).
  - dmaengine: idxd: Simplify WQ attribute visibility checks
    (bsc#1012628).
  - dmaengine: idxd: Expose ATS disable knob only when WQ ATS is
    supported (bsc#1012628).
  - dmaengine: idxd: Allow ATS disable update only for configurable
    devices (bsc#1012628).
  - dmaengine: idxd: Fix issues with PRS disable sysfs knob
    (bsc#1012628).
  - remoteproc: stm32: fix incorrect optional pointers
    (bsc#1012628).
  - Drivers: hv: vmbus: Don't dereference ACPI root object handle
    (bsc#1012628).
  - um: virt-pci: fix missing declaration warning (bsc#1012628).
  - cpufreq: Fix the race condition while updating the
    transition_task of policy (bsc#1012628).
  - virtio_vdpa: build affinity masks conditionally (bsc#1012628).
  - virtio_ring: fix avail_wrap_counter in virtqueue_add_packed
    (bsc#1012628).
  - net: deal with integer overflows in kmalloc_reserve()
    (bsc#1012628).
  - igmp: limit igmpv3_newpack() packet size to IP_MAX_MTU
    (bsc#1012628).
  - netfilter: ipset: add the missing IP_SET_HASH_WITH_NET0 macro
    for ip_set_hash_netportnet.c (bsc#1012628).
  - netfilter: nft_exthdr: Fix non-linear header modification
    (bsc#1012628).
  - netfilter: xt_u32: validate user space input (bsc#1012628).
  - netfilter: xt_sctp: validate the flag_info count (bsc#1012628).
  - skbuff: skb_segment, Call zero copy functions before using
    skbuff frags (bsc#1012628).
  - drbd: swap bvec_set_page len and offset (bsc#1012628).
  - gpio: zynq: restore zynq_gpio_irq_reqres/zynq_gpio_irq_relres
    callbacks (bsc#1012628).
  - igb: set max size RX buffer when store bad packet is enabled
    (bsc#1012628).
  - parisc: ccio-dma: Create private runway procfs root entry
    (bsc#1012628).
  - PM / devfreq: Fix leak in devfreq_dev_release() (bsc#1012628).
  - Multi-gen LRU: fix per-zone reclaim (bsc#1012628).
  - ALSA: pcm: Fix missing fixup call in compat hw_refine ioctl
    (bsc#1012628).
  - virtio_pmem: add the missing REQ_OP_WRITE for flush bio
    (bsc#1012628).
  - rcu: dump vmalloc memory info safely (bsc#1012628).
  - printk: ringbuffer: Fix truncating buffer size min_t cast
    (bsc#1012628).
  - scsi: core: Fix the scsi_set_resid() documentation
    (bsc#1012628).
  - mm/vmalloc: add a safer version of find_vm_area() for debug
    (bsc#1012628).
  - cpu/hotplug: Prevent self deadlock on CPU hot-unplug
    (bsc#1012628).
  - media: i2c: ccs: Check rules is non-NULL (bsc#1012628).
  - media: i2c: Add a camera sensor top level menu (bsc#1012628).
  - PCI: rockchip: Use 64-bit mask on MSI 64-bit PCI address
    (bsc#1012628).
  - ipmi_si: fix a memleak in try_smi_init() (bsc#1012628).
  - ARM: OMAP2+: Fix -Warray-bounds warning in _pwrdm_state_switch()
    (bsc#1012628).
  - riscv: Move create_tmp_mapping() to init sections (bsc#1012628).
  - riscv: Mark KASAN tmp* page tables variables as static
    (bsc#1012628).
  - XArray: Do not return sibling entries from xa_load()
    (bsc#1012628).
  - io_uring: fix false positive KASAN warnings (bsc#1012628).
  - io_uring: break iopolling on signal (bsc#1012628).
  - io_uring/sqpoll: fix io-wq affinity when IORING_SETUP_SQPOLL
    is used (bsc#1012628).
  - io_uring/net: don't overflow multishot recv (bsc#1012628).
  - io_uring/net: don't overflow multishot accept (bsc#1012628).
  - io_uring: break out of iowq iopoll on teardown (bsc#1012628).
  - backlight/gpio_backlight: Compare against struct fb_info.device
    (bsc#1012628).
  - backlight/bd6107: Compare against struct fb_info.device
    (bsc#1012628).
  - backlight/lv5207lp: Compare against struct fb_info.device
    (bsc#1012628).
  - drm/amd/display: register edp_backlight_control() for DCN301
    (bsc#1012628).
  - xtensa: PMU: fix base address for the newer hardware
    (bsc#1012628).
  - LoongArch: mm: Add p?d_leaf() definitions (bsc#1012628).
  - powercap: intel_rapl: Fix invalid setting of Power Limit 4
    (bsc#1012628).
  - powerpc/ftrace: Fix dropping weak symbols with older toolchains
    (bsc#1012628).
  - i3c: master: svc: fix probe failure when no i3c device exist
    (bsc#1012628).
  - io_uring: Don't set affinity on a dying sqpoll thread
    (bsc#1012628).
  - arm64: csum: Fix OoB access in IP checksum code for negative
    lengths (bsc#1012628).
  - ALSA: usb-audio: Fix potential memory leaks at error path for
    UMP open (bsc#1012628).
  - ALSA: seq: Fix snd_seq_expand_var_event() call to user-space
    (bsc#1012628).
  - ALSA: hda/cirrus: Fix broken audio on hardware with two CS42L42
    codecs (bsc#1012628).
  - selftests/landlock: Fix a resource leak (bsc#1012628).
  - media: dvb: symbol fixup for dvb_attach() (bsc#1012628).
  - media: venus: hfi_venus: Write to VIDC_CTRL_INIT after unmasking
    interrupts (bsc#1012628).
  - media: nxp: Fix wrong return pointer check in
    mxc_isi_crossbar_init() (bsc#1012628).
  - Revert "scsi: qla2xxx: Fix buffer overrun" (bsc#1012628).
  - scsi: mpt3sas: Perform additional retries if doorbell read
    returns 0 (bsc#1012628).
  - PCI: Free released resource after coalescing (bsc#1012628).
  - PCI: hv: Fix a crash in hv_pci_restore_msi_msg() during
    hibernation (bsc#1012628).
  - PCI/PM: Only read PCI_PM_CTRL register when available
    (bsc#1012628).
  - dt-bindings: PCI: qcom: Fix SDX65 compatible (bsc#1012628).
  - ntb: Drop packets when qp link is down (bsc#1012628).
  - ntb: Clean up tx tail index on link down (bsc#1012628).
  - ntb: Fix calculation ntb_transport_tx_free_entry()
    (bsc#1012628).
  - Revert "PCI: Mark NVIDIA T4 GPUs to avoid bus reset"
    (bsc#1012628).
  - block: fix pin count management when merging same-page segments
    (bsc#1012628).
  - block: don't add or resize partition on the disk with
    GENHD_FL_NO_PART (bsc#1012628).
  - procfs: block chmod on /proc/thread-self/comm (bsc#1012628).
  - parisc: Fix /proc/cpuinfo output for lscpu (bsc#1012628).
  - misc: fastrpc: Pass proper scm arguments for static process init
    (bsc#1012628).
  - drm/amd/display: Add smu write msg id fail retry process
    (bsc#1012628).
  - bpf: Fix issue in verifying allow_ptr_leaks (bsc#1012628).
  - dlm: fix plock lookup when using multiple lockspaces
    (bsc#1012628).
  - dccp: Fix out of bounds access in DCCP error handler
    (bsc#1012628).
  - x86/sev: Make enc_dec_hypercall() accept a size instead of
    npages (bsc#1012628).
  - r8169: fix ASPM-related issues on a number of systems with
    NIC version from RTL8168h (bsc#1012628).
  - X.509: if signature is unsupported skip validation
    (bsc#1012628).
  - net: handle ARPHRD_PPP in dev_is_mac_header_xmit()
    (bsc#1012628).
  - fsverity: skip PKCS#7 parser when keyring is empty
    (bsc#1012628).
  - x86/MCE: Always save CS register on AMD Zen IF Poison errors
    (bsc#1012628).
  - crypto: af_alg - Decrement struct key.usage in
    alg_set_by_key_serial() (bsc#1012628).
  - platform/chrome: chromeos_acpi: print hex string for
    ACPI_TYPE_BUFFER (bsc#1012628).
  - mmc: renesas_sdhi: register irqs before registering controller
    (bsc#1012628).
  - pstore/ram: Check start of empty przs during init (bsc#1012628).
  - arm64: sdei: abort running SDEI handlers during crash
    (bsc#1012628).
  - regulator: dt-bindings: qcom,rpm: fix pattern for children
    (bsc#1012628).
  - iov_iter: Fix iov_iter_extract_pages() with zero-sized entries
    (bsc#1012628).
  - RISC-V: Add ptrace support for vectors (bsc#1012628).
  - s390/dcssblk: fix kernel crash with list_add corruption
    (bsc#1012628).
  - s390/ipl: add missing secure/has_secure file to ipl type
    'unknown' (bsc#1012628).
  - s390/dasd: fix string length handling (bsc#1012628).
  - HID: logitech-hidpp: rework one more time the retries attempts
    (bsc#1012628).
  - crypto: stm32 - fix loop iterating through scatterlist for DMA
    (bsc#1012628).
  - crypto: stm32 - fix MDMAT condition (bsc#1012628).
  - cpufreq: brcmstb-avs-cpufreq: Fix -Warray-bounds bug
    (bsc#1012628).
  - of: property: fw_devlink: Add a devlink for panel followers
    (bsc#1012628).
  - USB: core: Fix oversight in SuperSpeed initialization
    (bsc#1012628).
  - x86/smp: Don't send INIT to non-present and non-booted CPUs
    (bsc#1012628).
  - x86/sgx: Break up long non-preemptible delays in
    sgx_vepc_release() (bsc#1012628).
  - x86/build: Fix linker fill bytes quirk/incompatibility for
    ld.lld (bsc#1012628).
  - perf/x86/uncore: Correct the number of CHAs on EMR
    (bsc#1012628).
  - media: ipu3-cio2: allow ipu_bridge to be a module again
    (bsc#1012628).
  - Bluetooth: msft: Extended monitor tracking by address filter
    (bsc#1012628).
  - serial: sc16is7xx: remove obsolete out_thread label
    (bsc#1012628).
  - serial: sc16is7xx: fix regression with GPIO configuration
    (bsc#1012628).
  - mm/memfd: sysctl: fix MEMFD_NOEXEC_SCOPE_NOEXEC_ENFORCED
    (bsc#1012628).
  - selftests/memfd: sysctl: fix MEMFD_NOEXEC_SCOPE_NOEXEC_ENFORCED
    (bsc#1012628).
  - memfd: do not -EACCES old memfd_create() users with
    vm.memfd_noexec=2 (bsc#1012628).
  - memfd: replace ratcheting feature from vm.memfd_noexec with
    hierarchy (bsc#1012628).
  - memfd: improve userspace warnings for missing exec-related flags
    (bsc#1012628).
  - revert "memfd: improve userspace warnings for missing
    exec-related flags" (bsc#1012628).
  - drm/amd/display: Block optimize on consecutive FAMS enables
    (bsc#1012628).
  - Update config files.
  - Rename to
    patches.kernel.org/6.5.3-099-wifi-rtw89-Fix-loading-of-compressed-firmware.patch.
  - Rename to
    patches.kernel.org/6.5.3-346-drm-msm-a690-Switch-to-a660_gmu.bin.patch.
  - Rename to
    patches.kernel.org/6.5.3-720-Bluetooth-HCI-Introduce-HCI_QUIRK_BROKEN_LE_COD.patch.
  - commit 127fbf8
* Wed Sep 13 2023 jslaby@suse.cz
  - config.conf: Drop ppc64 (BE)
  - Delete config/ppc64/debug.
  - Delete config/ppc64/default.
  - Delete config/ppc64/kvmsmall.
  - Delete config/ppc64/vanilla.
    The ppc64 builds are being stopped in OBS. Stop producing the big endian
    kernel too.
    See also:
    https://build.opensuse.org/request/show/1110638
    https://lists.opensuse.org/archives/list/factory@lists.opensuse.org/message/G6IJ4GAEHXL23FYDPZ4J6ML4Z2WY7ARF/
    https://lists.opensuse.org/archives/list/factory@lists.opensuse.org/message/SELOVYRDN5ZDDQ2EN7CXNS7BH33XACLU/
  - commit d271544
* Wed Sep 13 2023 jslaby@suse.cz
  - net/ipv6: SKB symmetric hash should incorporate transport ports
    (20230913065407.GA510095@bm02).
  - commit dc48d90
* Wed Sep 13 2023 clin@suse.com
  - Update config files: add QSEECOM support for Lenovo X13s (bsc#1215268)
  - commit 574861d
* Wed Sep 13 2023 clin@suse.com
  - firmware: Add support for Qualcomm UEFI Secure Application
    (bsc#1215268).
  - firmware: qcom_scm: Add support for Qualcomm Secure Execution
    Environment SCM interface (bsc#1215268).
  - lib/ucs2_string: Add UCS-2 strscpy function (bsc#1215268).
  - clk: qcom: sc8280xp: Add fallback compatibles to lpasscc
    (bsc#1215268).
  - firmware: Add support for Qualcomm UEFI Secure Application
    (bsc#1215268).
  - firmware: qcom_scm: Add support for Qualcomm Secure Execution
    Environment SCM interface (bsc#1215268).
  - lib/ucs2_string: Add UCS-2 strscpy function (bsc#1215268).
  - commit 2eb2d4b
* Wed Sep 13 2023 clin@suse.com
  - Update config files: Add audio support for Lenovo X13s (bsc#1215268)
  - commit 11e1625
* Tue Sep 12 2023 tiwai@suse.de
  - Update config files: enable audio on Lenovo X13s (bsc#1215256)
    Enable CONFIG_SND_SOC_LPASS_*_MACRO for arm64.
  - commit 7859b9e
* Tue Sep 12 2023 petr.pavlu@suse.com
  - rpm/kernel-binary.spec.in: Drop use of KBUILD_OVERRIDE=1
    Genksyms has functionality to specify an override for each type in
    a symtypes reference file. This override is then used instead of an
    actual type and allows to preserve modversions (CRCs) of symbols that
    reference the type. It is kind of an alternative to doing kABI fix-ups
    with '#ifndef __GENKSYMS__'. The functionality is hidden behind the
    genksyms --preserve option which primarily tells the tool to strictly
    verify modversions against a given reference file or fail.
    Downstream patch patches.suse/genksyms-add-override-flag.diff which is
    present in various kernel-source branches separates the override logic.
    It allows it to be enabled with a new --override flag and used without
    specifying the --preserve option. Setting KBUILD_OVERRIDE=1 in the spec
    file is then a way how the build is told that --override should be
    passed to all invocations of genksyms. This was needed for SUSE kernels
    because their build doesn't use --preserve but instead resulting CRCs
    are later checked by scripts/kabi.pl.
    However, this override functionality was not utilized much in practice
    and the only use currently to be found is in SLE11-SP1-LTSS. It means
    that no one should miss this option and KBUILD_OVERRIDE=1 together with
    patches.suse/genksyms-add-override-flag.diff can be removed.
    Notes for maintainers merging this commit to their branches:
    * Downstream patch patches.suse/genksyms-add-override-flag.diff can be
    dropped after merging this commit.
    * Branch SLE11-SP1-LTSS uses the mentioned override functionality and
    this commit should not be merged to it, or needs to be reverted
    afterwards.
  - commit 4aa02b8
* Mon Sep 11 2023 clin@suse.com
  - drm/msm/a690: Switch to a660_gmu.bin (bsc#1213614).
  - commit 221ff83
* Mon Sep 11 2023 mkubecek@suse.cz
  - Update to 6.6-rc1
  - drop 47 patches (44 stable, 3 mainline)
    - patches.kernel.org/*
    - patches.rpmify/Revert-kbuild-Hack-for-depmod-not-handling-X.Y-versi.patch
    - patches.rpmify/kbuild-dummy-tools-support-make-MPROFILE_KERNEL-chec.patch
    - patches.suse/wifi-rtw89-Fix-loading-of-compressed-firmware.patch
  - refresh
    - patches.suse/0001-bsc-1204315-Disable-sysfb-before-creating-simple-fra.patch
    - patches.suse/0002-efi-Add-an-EFI_SECURE_BOOT-flag-to-indicate-secure-boot-mode.patch
    - patches.suse/add-product-identifying-information-to-vmcoreinfo.patch
    - patches.suse/add-suse-supported-flag.patch
    - patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch
    - patches.suse/wifi-ath11k-add-support-for-suspend-in-power-down-st.patch
  - add build failure fix
    - patches.rpmify/kbuild-avoid-long-argument-lists-in-make-modules_ins.patch
  - disable ARM architectures (need config update)
  - new config options
    - General setup
    - CRASH_HOTPLUG=y
    - CRASH_MAX_MEMORY_RANGES=8192
    - Processor type and features
    - X86_USER_SHADOW_STACK=n
    - Virtualization
    - KVM_PROVE_MMU=n
    - Memory Management options
    - RANDOM_KMALLOC_CACHES=n
    - File systems
    - OVERLAY_FS_DEBUG=n
    - TMPFS_QUOTA=y
    - EROFS_FS_ZIP_DEFLATE=y
    - Security options
    - SECURITY_SELINUX_DEBUG=n
    - LIST_HARDENED=n
    - Library routines
    - SWIOTLB_DYNAMIC=n
    - DMA_NUMA_CMA=y
    - Multiple devices driver support (RAID and LVM)
    - MD_BITMAP_FILE=y
    - Network device support
    - NETCONSOLE_EXTENDED_LOG=n
    - MLX5_MACSEC=y
    - MARVELL_88Q2XXX_PHY=m
    - Hardware Monitoring support
    - SENSORS_HS3001=m
    - SENSORS_MP2975_REGULATOR=y
    - Multifunction device drivers
    - MFD_CS42L43_I2C=m
    - MFD_CS42L43_SDW=m
    - Voltage and Current Regulator Support
    - REGULATOR_AW37503=m
    - REGULATOR_MAX77857=m
    - REGULATOR_RTQ2208=m
    - Multimedia support
    - INTEL_VSC=m
    - VIDEO_CAMERA_SENSOR=y
    - VIDEO_DW9719=m
    - Graphics support
    - DRM_LOONGSON=m
    - FB_DEVICE=y
    - Sound card support
    - SND_HDA_SCODEC_CS35L56_I2C=m
    - SND_HDA_SCODEC_CS35L56_SPI=m
    - SND_HDA_SCODEC_TAS2781_I2C=m
    - SND_SOC_INTEL_AVS_MACH_ES8336=m
    - SND_SOC_INTEL_AVS_MACH_RT5663=m
    - SND_SOC_SOF_AMD_VANGOGH=m
    - SND_SOC_SOF_LUNARLAKE=m
    - SND_SOC_AUDIO_IIO_AUX=n
    - SND_SOC_AW88261=n
    - SND_SOC_CS42L43=m
    - SND_SOC_CS42L43_SDW=m
    - SND_SOC_RT1017_SDCA_SDW=m
    - LED Support
    - LEDS_PCA995X=m
    - LEDS_SIEMENS_SIMATIC_IPC_ELKHARTLAKE=m
    - X86 Platform Specific Device Drivers
    - HP_BIOSCFG=m
    - SIEMENS_SIMATIC_IPC_BATT=m
    - SIEMENS_SIMATIC_IPC_BATT_APOLLOLAKE=m
    - SIEMENS_SIMATIC_IPC_BATT_ELKHARTLAKE=m
    - SIEMENS_SIMATIC_IPC_BATT_F7188X=m
    - SEL3350_PLATFORM=m
    - Industrial I/O support
    - MCP4728=n
    - IRSD200=n
    - PHY Subsystem
    - PHY_RTK_RTD_USB2PHY=m
    - PHY_RTK_RTD_USB3PHY=m
    - Counter support
    - 104_QUAD_8=m
    - INTEL_QEP=m
    - INTERRUPT_CNT=m
    - Misc drivers
    - TOUCHSCREEN_IQS7211=m
    - I2C_ATR=m
    - SPI_CS42L43=n
    - PTP_1588_CLOCK_MOCK=m
    - PINCTRL_CS42L43=m
    - GPIO_DS4520=m
    - HID_GOOGLE_STADIA_FF=m
    - USB_CONFIGFS_F_MIDI2=y
    - XILINX_DMA=m
    - PDS_VFIO_PCI=m
    - XEN_PRIVCMD_IRQFD=y
    - OF dependent (i386, ppc64/ppc64le, riscv64)
    - PCI_DYNAMIC_OF_NODES=y
    - REGULATOR_MAX5970=m
    - VIDEO_DS90UB913=m
    - VIDEO_DS90UB953=m
    - VIDEO_DS90UB960=m
    - DRM_PANEL_STARTEK_KD070FHFID015=n
    - DRM_PANEL_VISIONOX_R66451=n
    - LEDS_GROUP_MULTICOLOR=m
    - COMMON_CLK_VC3=m
    - ppc64 / ppc64le
    - FSI_MASTER_I2CR=n
    - INTEGRITY_MACHINE_KEYRING=y
    - ppc64le
    - CRYPTO_CHACHA20_P10=m
    - CRYPTO_POLY1305_P10=m
    - s390x
    - CERT_STORE=y
    - S390_HYPFS=y
    - FUNCTION_GRAPH_RETVAL=y
    - riscv64
    - PREEMPT_DYNAMIC=y
    - RANDOMIZE_BASE=y
    - RISCV_ISA_FALLBACK=y
    - CFI_CLANG=n
    - CAN_SUN4I=m
    - CEC_GPIO=m
    - CLK_STARFIVE_JH7110_STG=m
    - SUN20I_GPADC=n
    - PHY_STARFIVE_JH7110_DPHY_RX=m
    - PHY_STARFIVE_JH7110_PCIE=m
    - PHY_STARFIVE_JH7110_USB=m
    - DEBUG_FORCE_FUNCTION_ALIGN_64B=n
    - DEBUG_PREEMPT=n
    - PREEMPT_TRACER=n
    - CEC_PIN_ERROR_INJ=n
  - commit 8c17599
* Fri Sep 08 2023 jslaby@suse.cz
  - Linux 6.5.2 (bsc#1012628).
  - drm/amdgpu: correct vmhub index in GMC v10/11 (bsc#1012628).
  - erofs: ensure that the post-EOF tails are all zeroed
    (bsc#1012628).
  - ksmbd: fix wrong DataOffset validation of create context
    (bsc#1012628).
  - ksmbd: fix slub overflow in ksmbd_decode_ntlmssp_auth_blob()
    (bsc#1012628).
  - ksmbd: replace one-element array with flex-array member in
    struct smb2_ea_info (bsc#1012628).
  - ksmbd: reduce descriptor size if remaining bytes is less than
    request size (bsc#1012628).
  - ARM: pxa: remove use of symbol_get() (bsc#1012628).
  - mmc: au1xmmc: force non-modular build and remove symbol_get
    usage (bsc#1012628).
  - net: enetc: use EXPORT_SYMBOL_GPL for enetc_phc_index
    (bsc#1012628).
  - rtc: ds1685: use EXPORT_SYMBOL_GPL for ds1685_rtc_poweroff
    (bsc#1012628).
  - modules: only allow symbol_get of EXPORT_SYMBOL_GPL modules
    (bsc#1012628).
  - USB: serial: option: add Quectel EM05G variant (0x030e)
    (bsc#1012628).
  - USB: serial: option: add FOXCONN T99W368/T99W373 product
    (bsc#1012628).
  - ALSA: usb-audio: Fix init call orders for UAC1 (bsc#1012628).
  - usb: dwc3: meson-g12a: do post init to fix broken usb after
    resumption (bsc#1012628).
  - usb: chipidea: imx: improve logic if samsung,picophy-* parameter
    is 0 (bsc#1012628).
  - HID: wacom: remove the battery when the EKR is off
    (bsc#1012628).
  - staging: rtl8712: fix race condition (bsc#1012628).
  - wifi: mt76: mt7921: do not support one stream on secondary
    antenna only (bsc#1012628).
  - wifi: mt76: mt7921: fix skb leak by txs missing in AMSDU
    (bsc#1012628).
  - wifi: ath11k: Don't drop tx_status when peer cannot be found
    (bsc#1012628).
  - wifi: ath11k: Cleanup mac80211 references on failure during
    tx_complete (bsc#1012628).
  - serial: qcom-geni: fix opp vote on shutdown (bsc#1012628).
  - serial: sc16is7xx: fix broken port 0 uart init (bsc#1012628).
  - serial: sc16is7xx: fix bug when first setting GPIO direction
    (bsc#1012628).
  - firmware: stratix10-svc: Fix an NULL vs IS_ERR() bug in probe
    (bsc#1012628).
  - fsi: master-ast-cf: Add MODULE_FIRMWARE macro (bsc#1012628).
  - tcpm: Avoid soft reset when partner does not support get_status
    (bsc#1012628).
  - dt-bindings: sc16is7xx: Add property to change GPIO function
    (bsc#1012628).
  - tracing: Zero the pipe cpumask on alloc to avoid spurious -EBUSY
    (bsc#1012628).
  - nilfs2: fix WARNING in mark_buffer_dirty due to discarded
    buffer reuse (bsc#1012628).
  - usb: typec: tcpci: clear the fault status bit (bsc#1012628).
  - Rename to
    patches.kernel.org/6.5.2-021-wifi-rtw88-usb-kill-and-free-rx-urbs-on-probe-f.patch.
  - Rename to
    patches.kernel.org/6.5.2-034-pinctrl-amd-Don-t-show-Invalid-config-param-err.patch.
  - commit e785fd6
* Wed Sep 06 2023 tiwai@suse.de
  - Bluetooth: HCI: Introduce HCI_QUIRK_BROKEN_LE_CODED
    (bsc#1213972).
  - commit 74930eb
* Wed Sep 06 2023 mkubecek@suse.cz
  - update patch metadata
  - update upstream references
    - patches.rpmify/Revert-kbuild-Hack-for-depmod-not-handling-X.Y-versi.patch
    - patches.rpmify/kbuild-dummy-tools-support-make-MPROFILE_KERNEL-chec.patch
  - commit aaab89b
* Wed Sep 06 2023 mkubecek@suse.cz
  - config: refresh
  - commit bd40664
* Tue Sep 05 2023 msuchanek@suse.de
  - Update config files.
    IPR is powerpc-only driver, disable on other architectures.
  - commit 62fd4da
* Mon Sep 04 2023 jslaby@suse.cz
  - Linux 6.5.1 (bsc#1012628).
  - ACPI: thermal: Drop nocrt parameter (bsc#1012628).
  - module: Expose module_init_layout_section() (bsc#1012628).
  - arm64: module: Use module_init_layout_section() to spot init
    sections (bsc#1012628).
  - ARM: module: Use module_init_layout_section() to spot init
    sections (bsc#1012628).
  - module/decompress: use vmalloc() for zstd decompression
    workspace (bsc#1012628).
  - lockdep: fix static memory detection even more (bsc#1012628).
  - kallsyms: Fix kallsyms_selftest failure (bsc#1012628).
  - commit d232ff6
* Thu Aug 31 2023 tiwai@suse.de
  - firmware: qemu_fw_cfg: Do not hard depend on
    CONFIG_HAS_IOPORT_MAP (bsc#1214773).
  - Update config files: enable CONFIG_FW_CFG_SYSFS for armv7hl
  - commit b5edcad
* Thu Aug 31 2023 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream reference and move into sorted section
    - patches.suse/pinctrl-amd-Don-t-show-Invalid-config-param-errors.patch
  - update upstream references and resort
    - patches.suse/wifi-rtw89-Fix-loading-of-compressed-firmware.patch
    - patches.suse/wifi-rtw88-usb-kill-and-free-rx-urbs-on-probe-failure.patch
  - commit de97d09
* Wed Aug 30 2023 jslaby@suse.cz
  - rpm/mkspec-dtb: dtbs have moved to vendor sub-directories in 6.5
    By commit 724ba6751532 ("ARM: dts: Move .dts files to vendor
    sub-directories").
    So switch to them.
  - rpm/mkspec-dtb: support for nested subdirs
    Commit 724ba6751532 ("ARM: dts: Move .dts files to vendor
    sub-directories") moved the dts to nested subdirs, add a support for
    that. That is, generate a %dir entry in %files for them.
  - commit 7aee36a
* Wed Aug 30 2023 jslaby@suse.cz
  - ipv6: remove hard coded limitation on ipv6_pinfo (ipv6-breakage
    20230829174957.0ae84f41@kernel.org).
  - commit 7f2ff2a
* Tue Aug 29 2023 jslaby@suse.cz
  - kbuild: dummy-tools: support make MPROFILE_KERNEL checks work
    on BE (ppc64-build-fix).
  - Update config files.
    Fix ppc64 build and update configs accordingly (values taken from
    ppc64le).
  - commit 6df272d
* Tue Aug 29 2023 mkubecek@suse.cz
  - series.conf: cleanup
  - move an unsortable patch out of sorted section
    - patches.suse/Revert-101bd907b424-misc-rtsx-judge-ASPM-Mode-to-set.patch
  - update upstream references and move into sorted section
    - patches.suse/wifi-rtw89-Fix-loading-of-compressed-firmware.patch
    - patches.suse/wifi-rtw88-usb-kill-and-free-rx-urbs-on-probe-failure.patch
  - commit 940b0a2
* Tue Aug 29 2023 mkubecek@suse.cz
  - config: refresh
  - commit 1042651
* Mon Aug 28 2023 jack@suse.cz
  - patches.suse/add-suse-supported-flag.patch: Add CONFIG_MODULES dependency
  - commit d5be025
* Mon Aug 28 2023 mkubecek@suse.cz
  - Update to 6.5 final
  - refresh configs (headers only)
  - commit 2844291
* Fri Aug 25 2023 msuchanek@suse.de
  - Revert 101bd907b424 ("misc: rtsx: judge ASPM Mode to set
    PETXCFG Reg") (boo#1214428 boo#1214397).
  - commit 1b02b15
* Fri Aug 25 2023 jslaby@suse.cz
  - Linux 6.4.12 (bsc#1012628).
  - crypto, cifs: fix error handling in extract_iter_to_sg()
    (bsc#1012628).
  - net: phy: at803x: Use devm_regulator_get_enable_optional()
    (bsc#1012628).
  - net: phy: at803x: fix the wol setting functions (bsc#1012628).
  - drm/amd/display: Update DTBCLK for DCN32 (bsc#1012628).
  - drm/scheduler: set entity to NULL in drm_sched_entity_pop_job()
    (bsc#1012628).
  - drm/amdgpu: fix calltrace warning in amddrm_buddy_fini
    (bsc#1012628).
  - drm/amdgpu: Fix integer overflow in amdgpu_cs_pass1
    (bsc#1012628).
  - drm/amdgpu: fix memory leak in mes self test (bsc#1012628).
  - Revert "drm/amd/display: disable SubVP + DRR to prevent
    underflow" (bsc#1012628).
  - ASoC: Intel: sof_sdw: add quirk for MTL RVP (bsc#1012628).
  - ASoC: Intel: sof_sdw: add quirk for LNL RVP (bsc#1012628).
  - PCI: tegra194: Fix possible array out of bounds access
    (bsc#1012628).
  - ASoC: cs35l56: Move DSP part string generation so that it is
    done only once (bsc#1012628).
  - ASoC: SOF: amd: Add pci revision id check (bsc#1012628).
  - drm/stm: ltdc: fix late dereference check (bsc#1012628).
  - arm64: dts: qcom: ipq5332: add QFPROM node (bsc#1012628).
  - drm: rcar-du: remove R-Car H3 ES1.* workarounds (bsc#1012628).
  - ASoC: amd: vangogh: Add check for acp config flags in vangogh
    platform (bsc#1012628).
  - RDMA/mana_ib: Use v2 version of cfg_rx_steer_req to enable RX
    coalescing (bsc#1012628).
  - ARM: dts: imx6dl: prtrvt, prtvt7, prti6q, prtwd2: fix USB
    related warnings (bsc#1012628).
  - ASoC: Intel: sof_sdw_rt_sdca_jack_common: test SOF_JACK_JDSRC
    in _exit (bsc#1012628).
  - ASoC: Intel: sof_sdw: add quick for Dell SKU 0BDA (bsc#1012628).
  - ASoC: Intel: sof_sdw: Add support for Rex soundwire
    (bsc#1012628).
  - iopoll: Call cpu_relax() in busy loops (bsc#1012628).
  - ASoC: SOF: Intel: fix SoundWire/HDaudio mutual exclusion
    (bsc#1012628).
  - dma-remap: use kvmalloc_array/kvfree for larger dma memory remap
    (bsc#1012628).
  - accel/habanalabs: add pci health check during heartbeat
    (bsc#1012628).
  - accel/habanalabs: fix mem leak in capture user mappings
    (bsc#1012628).
  - dt-bindings: input: goodix: Add "goodix,no-reset-during-suspend"
    property (bsc#1012628).
  - HID: i2c-hid: goodix: Add support for
    "goodix,no-reset-during-suspend" property (bsc#1012628).
  - HID: logitech-hidpp: Add USB and Bluetooth IDs for the Logitech
    G915 TKL Keyboard (bsc#1012628).
  - iommu/amd: Introduce Disable IRTE Caching Support (bsc#1012628).
  - drm/amdgpu: install stub fence into potential unused fence
    pointers (bsc#1012628).
  - drm/amd/display: Remove v_startup workaround for dcn3+
    (bsc#1012628).
  - drm/amd/display: Apply 60us prefetch for DCFCLK <= 300Mhz
    (bsc#1012628).
  - drm/amdgpu: unmap and remove csa_va properly (bsc#1012628).
  - RDMA/mlx5: Return the firmware result upon destroying QP/RQ
    (bsc#1012628).
  - RDMA/bnxt_re: consider timeout of destroy ah as success
    (bsc#1012628).
  - drm/amd/display: Skip DPP DTO update if root clock is gated
    (bsc#1012628).
  - drm/amd/display: Enable dcn314 DPP RCO (bsc#1012628).
  - ASoC: SOF: core: Free the firmware trace before calling
    snd_sof_shutdown() (bsc#1012628).
  - HID: intel-ish-hid: ipc: Add Arrow Lake PCI device ID
    (bsc#1012628).
  - Revert "[PATCH] uml: export symbols added by GCC hardened"
    (bsc#1012628).
  - smb: client: fix warning in cifs_smb3_do_mount() (bsc#1012628).
  - cifs: fix session state check in reconnect to avoid
    use-after-free issue (bsc#1012628).
  - serial: stm32: Ignore return value of uart_remove_one_port()
    in .remove() (bsc#1012628).
  - led: qcom-lpg: Fix resource leaks in
    for_each_available_child_of_node() loops (bsc#1012628).
  - media: v4l2-mem2mem: add lock to protect parameter num_rdy
    (bsc#1012628).
  - media: camss: set VFE bpl_alignment to 16 for sdm845 and sm8250
    (bsc#1012628).
  - usb: gadget: u_serial: Avoid spinlock recursion in
    __gs_console_push (bsc#1012628).
  - usb: gadget: uvc: queue empty isoc requests if no video buffer
    is available (bsc#1012628).
  - media: platform: mediatek: vpu: fix NULL ptr dereference
    (bsc#1012628).
  - thunderbolt: Read retimer NVM authentication status prior
    tb_retimer_set_inbound_sbtx() (bsc#1012628).
  - xhci: get rid of XHCI_PLAT quirk that used to prevent MSI setup
    (bsc#1012628).
  - usb: chipidea: imx: don't request QoS for imx8ulp (bsc#1012628).
  - usb: chipidea: imx: turn off vbus comparator when suspend
    (bsc#1012628).
  - usb: chipidea: imx: add missing USB PHY DPDM wakeup setting
    (bsc#1012628).
  - gfs2: Fix possible data races in gfs2_show_options()
    (bsc#1012628).
  - pcmcia: rsrc_nonstatic: Fix memory leak in
    nonstatic_release_resource_db() (bsc#1012628).
  - thunderbolt: Add Intel Barlow Ridge PCI ID (bsc#1012628).
  - thunderbolt: Limit Intel Barlow Ridge USB3 bandwidth
    (bsc#1012628).
  - firewire: net: fix use after free in
    fwnet_finish_incoming_packet() (bsc#1012628).
  - watchdog: sp5100_tco: support Hygon FCH/SCH (Server Controller
    Hub) (bsc#1012628).
  - Bluetooth: L2CAP: Fix use-after-free (bsc#1012628).
  - Bluetooth: btusb: Add MT7922 bluetooth ID for the Asus Ally
    (bsc#1012628).
  - ceph: try to dump the msgs when decoding fails (bsc#1012628).
  - drm/amdgpu: Fix potential fence use-after-free v2 (bsc#1012628).
  - fs/ntfs3: Enhance sanity check while generating attr_list
    (bsc#1012628).
  - fs/ntfs3: Return error for inconsistent extended attributes
    (bsc#1012628).
  - fs: ntfs3: Fix possible null-pointer dereferences in mi_read()
    (bsc#1012628).
  - fs/ntfs3: Mark ntfs dirty when on-disk struct is corrupted
    (bsc#1012628).
  - fs/ntfs3: Alternative boot if primary boot is corrupted
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirks for Unis H3C Desktop B760 & Q760
    (bsc#1012628).
  - ALSA: hda: fix a possible null-pointer dereference due to data
    race in snd_hdac_regmap_sync() (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for ASUS ROG GX650P (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for ASUS ROG GA402X (bsc#1012628).
  - ALSA: hda/realtek: Amend G634 quirk to enable rear speakers
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for ASUS ROG G614Jx (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for ASUS ROG GZ301V (bsc#1012628).
  - powerpc/kasan: Disable KCOV in KASAN code (bsc#1012628).
  - Bluetooth: MGMT: Use correct address for memcpy() (bsc#1012628).
  - ring-buffer: Do not swap cpu_buffer during resize process
    (bsc#1012628).
  - btrfs: move out now unused BG from the reclaim list
    (bsc#1012628).
  - btrfs: fix use-after-free of new block group that became unused
    (bsc#1012628).
  - regulator: qcom-rpmh: Fix LDO 12 regulator for PM8550
    (bsc#1012628).
  - virtio-mmio: don't break lifecycle of vm_dev (bsc#1012628).
  - vduse: Use proper spinlock for IRQ injection (bsc#1012628).
  - virtio-vdpa: Fix cpumask memory leak in virtio_vdpa_find_vqs()
    (bsc#1012628).
  - vdpa/mlx5: Fix mr->initialized semantics (bsc#1012628).
  - vdpa/mlx5: Delete control vq iotlb in destroy_mr only when
    necessary (bsc#1012628).
  - cifs: fix potential oops in cifs_oplock_break (bsc#1012628).
  - rust: macros: vtable: fix `HAS_*` redefinition
    (`gen_const_name`) (bsc#1012628).
  - i2c: bcm-iproc: Fix bcm_iproc_i2c_isr deadlock issue
    (bsc#1012628).
  - i2c: hisi: Only handle the interrupt of the driver's transfer
    (bsc#1012628).
  - i2c: tegra: Fix i2c-tegra DMA config option processing
    (bsc#1012628).
  - blk-cgroup: hold queue_lock when removing blkg->q_node
    (bsc#1012628).
  - fbdev: mmp: fix value check in mmphw_probe() (bsc#1012628).
  - media: mtk-jpeg: Set platform driver data earlier (bsc#1012628).
  - powerpc/rtas_flash: allow user copy to flash block cache objects
    (bsc#1012628).
  - vdpa: Add features attr to vdpa_nl_policy for nlattr length
    check (bsc#1012628).
  - vdpa: Add queue index attr to vdpa_nl_policy for nlattr length
    check (bsc#1012628).
  - vdpa: Add max vqp attr to vdpa_nl_policy for nlattr length check
    (bsc#1012628).
  - vdpa: Enable strict validation for netlinks ops (bsc#1012628).
  - smb3: display network namespace in debug information
    (bsc#1012628).
  - tty: n_gsm: fix the UAF caused by race condition in
    gsm_cleanup_mux (bsc#1012628).
  - tty: serial: fsl_lpuart: Clear the error flags by writing 1
    for lpuart32 platforms (bsc#1012628).
  - btrfs: fix infinite directory reads (bsc#1012628).
  - btrfs: fix incorrect splitting in btrfs_drop_extent_map_range
    (bsc#1012628).
  - btrfs: fix BUG_ON condition in btrfs_cancel_balance
    (bsc#1012628).
  - btrfs: fix replace/scrub failure with metadata_uuid
    (bsc#1012628).
  - btrfs: only subtract from len_to_oe_boundary when it is tracking
    an extent (bsc#1012628).
  - i2c: designware: Correct length byte validation logic
    (bsc#1012628).
  - i2c: designware: Handle invalid SMBus block data response
    length value (bsc#1012628).
  - x86/cpu: Fix __x86_return_thunk symbol type (bsc#1012628).
  - x86/cpu: Fix up srso_safe_ret() and __x86_return_thunk()
    (bsc#1012628).
  - objtool/x86: Fix SRSO mess (bsc#1012628).
  - x86/alternative: Make custom return thunk unconditional
    (bsc#1012628).
  - x86/cpu: Clean up SRSO return thunk mess (bsc#1012628).
  - x86/cpu: Rename original retbleed methods (bsc#1012628).
  - x86/cpu: Rename srso_(.*)_alias to srso_alias_\1 (bsc#1012628).
  - x86/cpu: Cleanup the untrain mess (bsc#1012628).
  - x86/cpu/kvm: Provide UNTRAIN_RET_VM (bsc#1012628).
  - x86/srso: Explain the untraining sequences a bit more
    (bsc#1012628).
  - objtool/x86: Fixup frame-pointer vs rethunk (bsc#1012628).
  - x86/static_call: Fix __static_call_fixup() (bsc#1012628).
  - x86/retpoline: Don't clobber RFLAGS during srso_safe_ret()
    (bsc#1012628).
  - x86/CPU/AMD: Fix the DIV(0) initial fix attempt (bsc#1012628).
  - x86/srso: Disable the mitigation on unaffected configurations
    (bsc#1012628).
  - x86/retpoline,kprobes: Fix position of thunk sections with
    CONFIG_LTO_CLANG (bsc#1012628).
  - x86/retpoline,kprobes: Skip optprobe check for indirect jumps
    with retpolines and IBT (bsc#1012628).
  - x86/srso: Correct the mitigation status when SMT is disabled
    (bsc#1012628).
  - net: xfrm: Fix xfrm_address_filter OOB read (bsc#1012628).
  - net: af_key: fix sadb_x_filter validation (bsc#1012628).
  - net: xfrm: Amend XFRMA_SEC_CTX nla_policy structure
    (bsc#1012628).
  - xfrm: Silence warnings triggerable by bad packets (bsc#1012628).
  - xfrm: fix slab-use-after-free in decode_session6 (bsc#1012628).
  - ip6_vti: fix slab-use-after-free in decode_session6
    (bsc#1012628).
  - ip_vti: fix potential slab-use-after-free in decode_session6
    (bsc#1012628).
  - xfrm: add NULL check in xfrm_update_ae_params (bsc#1012628).
  - xfrm: add forgotten nla_policy for XFRMA_MTIMER_THRESH
    (bsc#1012628).
  - xfrm: delete offloaded policy (bsc#1012628).
  - xfrm: don't skip free of empty state in acquire policy
    (bsc#1012628).
  - virtio-net: set queues after driver_ok (bsc#1012628).
  - net: pcs: Add missing put_device call in miic_create
    (bsc#1012628).
  - net: phy: fix IRQ-based wake-on-lan over hibernate / power off
    (bsc#1012628).
  - selftests: mirror_gre_changes: Tighten up the TTL test match
    (bsc#1012628).
  - drm/panel: simple: Fix AUO G121EAN01 panel timings according
    to the docs (bsc#1012628).
  - drm/i915/guc/slpc: Restore efficient freq earlier (bsc#1012628).
  - net: macb: In ZynqMP resume always configure PS GTR for
    non-wakeup source (bsc#1012628).
  - octeon_ep: fix timeout value for waiting on mbox response
    (bsc#1012628).
  - octeon_ep: cancel tx_timeout_task later in remove sequence
    (bsc#1012628).
  - octeon_ep: cancel ctrl_mbox_task after intr_poll_task
    (bsc#1012628).
  - octeon_ep: cancel queued works in probe error path
    (bsc#1012628).
  - net: veth: Page pool creation error handling for existing
    pools only (bsc#1012628).
  - accel/qaic: Fix slicing memory leak (bsc#1012628).
  - accel/qaic: Clean up integer overflow checking in
    map_user_pages() (bsc#1012628).
  - netfilter: nf_tables: fix false-positive lockdep splat
    (bsc#1012628).
  - netfilter: nf_tables: deactivate catchall elements in next
    generation (bsc#1012628).
  - netfilter: nf_tables: don't fail inserts if duplicate has
    expired (bsc#1012628).
  - netfilter: set default timeout to 3 secs for sctp shutdown
    send and recv state (bsc#1012628).
  - ipvs: fix racy memcpy in proc_do_sync_threshold (bsc#1012628).
  - netfilter: nf_tables: fix GC transaction races with netns and
    netlink event exit path (bsc#1012628).
  - netfilter: nf_tables: GC transaction race with netns dismantle
    (bsc#1012628).
  - netfilter: nft_dynset: disallow object maps (bsc#1012628).
  - net: phy: broadcom: stub c45 read/write for 54810 (bsc#1012628).
  - team: Fix incorrect deletion of ETH_P_8021AD protocol vid from
    slaves (bsc#1012628).
  - net: openvswitch: reject negative ifindex (bsc#1012628).
  - iavf: fix FDIR rule fields masks validation (bsc#1012628).
  - i40e: fix misleading debug logs (bsc#1012628).
  - net/mlx5e: XDP, Fix fifo overrun on XDP_REDIRECT (bsc#1012628).
  - net: dsa: mv88e6xxx: Wait for EEPROM done before HW reset
    (bsc#1012628).
  - sfc: add fallback action-set-lists for TC offload (bsc#1012628).
  - sfc: don't unregister flow_indr if it was never registered
    (bsc#1012628).
  - sfc: don't fail probe if MAE/TC setup fails (bsc#1012628).
  - sock: Fix misuse of sk_under_memory_pressure() (bsc#1012628).
  - net: do not allow gso_size to be set to GSO_BY_FRAGS
    (bsc#1012628).
  - qede: fix firmware halt over suspend and resume (bsc#1012628).
  - ice: Block switchdev mode when ADQ is active and vice versa
    (bsc#1012628).
  - bus: ti-sysc: Flush posted write on enable before reset
    (bsc#1012628).
  - arm64: dts: qcom: qrb5165-rb5: fix thermal zone conflict
    (bsc#1012628).
  - arm64: dts: rockchip: Disable HS400 for eMMC on ROCK Pi 4
    (bsc#1012628).
  - arm64: dts: rockchip: Disable HS400 for eMMC on ROCK 4C+
    (bsc#1012628).
  - ARM: dts: imx6: phytec: fix RTC interrupt level (bsc#1012628).
  - ARM: dts: imx: Adjust dma-apbh node name (bsc#1012628).
  - ARM: dts: imx: Set default tuning step for imx7d usdhc
    (bsc#1012628).
  - arm64: dts: imx8mm: Drop CSI1 PHY reference clock configuration
    (bsc#1012628).
  - ARM: dts: imx: Set default tuning step for imx6sx usdhc
    (bsc#1012628).
  - ASoC: max98363: don't return on success reading revision ID
    (bsc#1012628).
  - arm64: dts: imx93: Fix anatop node size (bsc#1012628).
  - ASoC: rt5665: add missed regulator_bulk_disable (bsc#1012628).
  - ASoC: meson: axg-tdm-formatter: fix channel slot allocation
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirks for HP G11 Laptops (bsc#1012628).
  - pinctrl: qcom: Add intr_target_width field to support increased
    number of interrupt targets (bsc#1012628).
  - soc: aspeed: uart-routing: Use __sysfs_match_string
    (bsc#1012628).
  - soc: aspeed: socinfo: Add kfree for kstrdup (bsc#1012628).
  - ALSA: hda/realtek - Remodified 3k pull low procedure
    (bsc#1012628).
  - riscv: entry: set a0 = -ENOSYS only when syscall != -1
    (bsc#1012628).
  - riscv: correct riscv_insn_is_c_jr() and riscv_insn_is_c_jalr()
    (bsc#1012628).
  - riscv: uaccess: Return the number of bytes effectively not
    copied (bsc#1012628).
  - serial: 8250: Fix oops for port->pm on uart_change_pm()
    (bsc#1012628).
  - ALSA: usb-audio: Add support for Mythware XA001AU capture and
    playback interfaces (bsc#1012628).
  - cifs: Release folio lock on fscache read hit (bsc#1012628).
  - parisc: Fix CONFIG_TLB_PTLOCK to work with lightweight spinlock
    checks (bsc#1012628).
  - smb: client: fix null auth (bsc#1012628).
  - regulator: da9063: better fix null deref with partial DT
    (bsc#1012628).
  - virtio-net: Zero max_tx_vq field for
    VIRTIO_NET_CTRL_MQ_HASH_CONFIG case (bsc#1012628).
  - arm64: dts: rockchip: Fix Wifi/Bluetooth on ROCK Pi 4 boards
    (bsc#1012628).
  - arm64/ptrace: Ensure that SME is set up for target when writing
    SSVE state (bsc#1012628).
  - arm64/ptrace: Ensure that the task sees ZT writes on first use
    (bsc#1012628).
  - blk-crypto: dynamically allocate fallback profile (bsc#1012628).
  - media: uvcvideo: Fix menu count handling for userspace XU
    mappings (bsc#1012628).
  - dt-bindings: pinctrl: qcom,sa8775p-tlmm: add gpio function
    constant (bsc#1012628).
  - mmc: f-sdh30: fix order of function calls in
    sdhci_f_sdh30_remove (bsc#1012628).
  - mmc: wbsd: fix double mmc_free_host() in wbsd_init()
    (bsc#1012628).
  - mmc: block: Fix in_flight[issue_type] value error (bsc#1012628).
  - mmc: sunplus: fix return value check of mmc_add_host()
    (bsc#1012628).
  - mmc: sunplus: Fix error handling in spmmc_drv_probe()
    (bsc#1012628).
  - drm/qxl: fix UAF on handle creation (bsc#1012628).
  - Revert "Revert "drm/amdgpu/display: change pipe policy for
    DCN 2.0"" (bsc#1012628).
  - drm/i915/sdvo: fix panel_type initialization (bsc#1012628).
  - drm/amd: flush any delayed gfxoff on suspend entry
    (bsc#1012628).
  - drm/amdgpu: skip fence GFX interrupts disable/enable for S0ix
    (bsc#1012628).
  - drm/amdgpu/pm: fix throttle_status for other than MP1 11.0.7
    (bsc#1012628).
  - ASoC: amd: vangogh: select CONFIG_SND_AMD_ACP_CONFIG
    (bsc#1012628).
  - drm/amd/display: disable RCO for DCN314 (bsc#1012628).
  - drm/amd/pm: skip the RLC stop when S0i3 suspend for SMU
    v13.0.4/11 (bsc#1012628).
  - drm/amdgpu: keep irq count in amdgpu_irq_disable_all
    (bsc#1012628).
  - Revert "perf report: Append inlines to non-DWARF callchains"
    (bsc#1012628).
  - af_unix: Fix null-ptr-deref in unix_stream_sendpage()
    (bsc#1012628).
  - net: fix the RTO timer retransmitting skb every 1ms if linear
    option is enabled (bsc#1012628).
  - commit 4789ce4
* Thu Aug 24 2023 msuchanek@suse.de
  - Update ppc64 config
    - CONFIG_COMPAT_32BIT_TIME=n
    - CONFIG_IMA_ARCH_POLICY=y
    - CONFIG_IMA_DISABLE_HTABLE=y
    - CONFIG_IMA_KEXEC=y
    - CONFIG_IMA_KEYRINGS_PERMIT_SIGNED_BY_BUILTIN_OR_SECONDARY=y
    - CONFIG_LOAD_PPC_KEYS=y
    - CONFIG_PPC_SECURE_BOOT=y
    - CONFIG_PPC_SECVAR_SYSFS=y
  - commit 2b1052f
* Thu Aug 24 2023 tiwai@suse.de
  - wifi: rtw88: usb: kill and free rx urbs on probe failure
    (bsc#1214385).
  - commit 5c3979f
* Wed Aug 23 2023 vkarasulli@suse.de
  - Update references in
    patches.kernel.org/6.4.4-230-Input-cyttsp4_core-change-del_timer_sync-to-tim.patch
    (bsc#1012628 bsc#1213971 CVE-2023-4134).
  - commit f6b7737
* Wed Aug 23 2023 msuchanek@suse.de
  - old-flavors: Drop 2.6 kernels.
    2.6 based kernels are EOL, upgrading from them is no longer suported.
  - commit 7bb5087
* Tue Aug 22 2023 jslaby@suse.cz
  - Refresh
    patches.suse/ASoC-SOF-intel-hda-Clean-up-link-DMA-for-IPC3-during.patch.
    Update to upstream version and move to sorted section.
  - commit e2dbc84
* Tue Aug 22 2023 jslaby@suse.cz
  - Refresh
    patches.suse/drm-nouveau-disp-fix-use-after-free-in-error-handlin.patch.
    Update to upstream version and move to sorted section.
  - commit 2419864
* Tue Aug 22 2023 jslaby@suse.cz
  - Refresh
    patches.suse/Revert-drm-edid-Fix-csync-detailed-mode-parsing.patch.
    Update to upstream version and move to sorted section.
  - commit dcc3072
* Sun Aug 20 2023 mkubecek@suse.cz
  - Update to 6.5-rc7
  - commit 869afb7
* Fri Aug 18 2023 msuchanek@suse.de
  - mkspec: Allow unsupported KMPs (bsc#1214386)
  - commit 55d8b82
* Fri Aug 18 2023 msuchanek@suse.de
  - check-for-config-changes: ignore BUILTIN_RETURN_ADDRESS_STRIPS_PAC (bsc#1214380).
    gcc7 on SLE 15 does not support this while later gcc does.
  - commit 5b41c27
* Thu Aug 17 2023 jslaby@suse.cz
  - Linux 6.4.11 (bsc#1012628).
  - tpm: Disable RNG for all AMD fTPMs (bsc#1012628).
  - tpm: Add a helper for checking hwrng enabled (bsc#1012628).
  - ksmbd: validate command request size (bsc#1012628).
  - ksmbd: fix wrong next length validation of ea buffer in
    smb2_set_ea() (bsc#1012628).
  - KVM: SEV: snapshot the GHCB before accessing it (bsc#1012628).
  - KVM: SEV: only access GHCB fields once (bsc#1012628).
  - wifi: nl80211: fix integer overflow in
    nl80211_parse_mbssid_elems() (bsc#1012628).
  - wifi: rtw89: fix 8852AE disconnection caused by RX full flags
    (bsc#1012628).
  - selftests: forwarding: Set default IPv6 traceroute utility
    (bsc#1012628).
  - wireguard: allowedips: expand maximum node depth (bsc#1012628).
  - mmc: moxart: read scr register without changing byte order
    (bsc#1012628).
  - mmc: sdhci-f-sdh30: Replace with sdhci_pltfm (bsc#1012628).
  - ipv6: adjust ndisc_is_useropt() to also return true for PIO
    (bsc#1012628).
  - selftests: mptcp: join: fix 'delete and re-add' test
    (bsc#1012628).
  - selftests: mptcp: join: fix 'implicit EP' test (bsc#1012628).
  - mptcp: avoid bogus reset on fallback close (bsc#1012628).
  - mptcp: fix disconnect vs accept race (bsc#1012628).
  - dmaengine: pl330: Return DMA_PAUSED when transaction is paused
    (bsc#1012628).
  - dmaengine: xilinx: xdma: Fix interrupt vector setting
    (bsc#1012628).
  - net: mana: Fix MANA VF unload when hardware is unresponsive
    (bsc#1012628).
  - ACPI: resource: Add IRQ override quirk for PCSpecialist Elimina
    Pro 16 M (bsc#1012628).
  - zram: take device and not only bvec offset into account
    (bsc#1012628).
  - io_uring/parisc: Adjust pgoff in io_uring mmap() for parisc
    (bsc#1012628).
  - parisc: Fix lightweight spinlock checks to not break futexes
    (bsc#1012628).
  - riscv: Start of DRAM should at least be aligned on PMD size
    for the direct mapping (bsc#1012628).
  - riscv/kexec: load initrd high in available memory (bsc#1012628).
  - riscv,mmio: Fix readX()-to-delay() ordering (bsc#1012628).
  - riscv/kexec: handle R_RISCV_CALL_PLT relocation type
    (bsc#1012628).
  - riscv: mm: fix 2 instances of -Wmissing-variable-declarations
    (bsc#1012628).
  - nvme: fix possible hang when removing a controller during
    error recovery (bsc#1012628).
  - nvme-tcp: fix potential unbalanced freeze & unfreeze
    (bsc#1012628).
  - nvme-rdma: fix potential unbalanced freeze & unfreeze
    (bsc#1012628).
  - nvme-pci: add NVME_QUIRK_BOGUS_NID for Samsung PM9B1 256G and
    512G (bsc#1012628).
  - drm/nouveau/gr: enable memory loads on helper invocation on
    all channels (bsc#1012628).
  - drm/nouveau/nvkm/dp: Add workaround to fix DP 1.3+ DPCD issues
    (bsc#1012628).
  - drm/shmem-helper: Reset vma->vm_ops before calling
    dma_buf_mmap() (bsc#1012628).
  - drm/amdgpu: fix possible UAF in amdgpu_cs_pass1() (bsc#1012628).
  - drm/amd/pm: correct the pcie width for smu 13.0.0 (bsc#1012628).
  - drm/amd/display: check attr flag before set cursor degamma on
    DCN3+ (bsc#1012628).
  - tpm: tpm_tis: Fix UPX-i11 DMI_MATCH condition (bsc#1012628).
  - cpuidle: dt_idle_genpd: Add helper function to remove genpd
    topology (bsc#1012628).
  - cpuidle: psci: Move enabling OSI mode after power domains
    creation (bsc#1012628).
  - io_uring: correct check for O_TMPFILE (bsc#1012628).
  - zsmalloc: fix races between modifications of fullness and
    isolated (bsc#1012628).
  - hwmon: (pmbus/bel-pfe) Enable PMBUS_SKIP_STATUS_CHECK for
    pfe1100 (bsc#1012628).
  - radix tree test suite: fix incorrect allocation size for
    pthreads (bsc#1012628).
  - cpufreq: amd-pstate: fix global sysfs attribute type
    (bsc#1012628).
  - fs/proc/kcore: reinstate bounce buffer for KCORE_TEXT regions
    (bsc#1012628).
  - nilfs2: fix use-after-free of nilfs_root in dirtying inodes
    via iput (bsc#1012628).
  - accel/ivpu: Add set_pages_array_wc/uc for internal buffers
    (bsc#1012628).
  - hugetlb: do not clear hugetlb dtor until allocating vmemmap
    (bsc#1012628).
  - mm/damon/core: initialize damo_filter->list from
    damos_new_filter() (bsc#1012628).
  - selftests: mm: ksm: fix incorrect evaluation of parameter
    (bsc#1012628).
  - mm: memory-failure: fix potential unexpected return value from
    unpoison_memory() (bsc#1012628).
  - mm: memory-failure: avoid false hwpoison page mapped error info
    (bsc#1012628).
  - drm/amd/pm: expose swctf threshold setting for legacy powerplay
    (bsc#1012628).
  - drm/amd/pm: avoid unintentional shutdown due to temperature
    momentary fluctuation (bsc#1012628).
  - iio: cros_ec: Fix the allocation size for cros_ec_command
    (bsc#1012628).
  - iio: frequency: admv1013: propagate errors from
    regulator_get_voltage() (bsc#1012628).
  - iio: adc: ad7192: Fix ac excitation feature (bsc#1012628).
  - iio: adc: meson: fix core clock enable/disable moment
    (bsc#1012628).
  - iio: adc: ina2xx: avoid NULL pointer dereference on OF device
    match (bsc#1012628).
  - binder: fix memory leak in binder_init() (bsc#1012628).
  - misc: rtsx: judge ASPM Mode to set PETXCFG Reg (bsc#1012628).
  - thunderbolt: Fix memory leak in tb_handle_dp_bandwidth_request()
    (bsc#1012628).
  - usb-storage: alauda: Fix uninit-value in alauda_check_media()
    (bsc#1012628).
  - usb: dwc3: Properly handle processing of pending events
    (bsc#1012628).
  - USB: Gadget: core: Help prevent panic during UVC unconfigure
    (bsc#1012628).
  - usb: common: usb-conn-gpio: Prevent bailing out if initial
    role is none (bsc#1012628).
  - usb: typec: tcpm: Fix response to vsafe0V event (bsc#1012628).
  - usb: typec: altmodes/displayport: Signal hpd when configuring
    pin assignment (bsc#1012628).
  - x86/srso: Fix build breakage with the LLVM linker (bsc#1012628).
  - x86/vdso: Choose the right GDT_ENTRY_CPUNODE for 32-bit getcpu()
    on 64-bit kernel (bsc#1012628).
  - x86/cpu/amd: Enable Zenbleed fix for AMD Custom APU 0405
    (bsc#1012628).
  - x86/mm: Fix VDSO and VVAR placement on 5-level paging machines
    (bsc#1012628).
  - x86/sev: Do not try to parse for the CC blob on non-AMD hardware
    (bsc#1012628).
  - x86/linkage: Fix typo of BUILD_VDSO in asm/linkage.h
    (bsc#1012628).
  - x86/speculation: Add cpu_show_gds() prototype (bsc#1012628).
  - x86: Move gds_ucode_mitigated() declaration to header
    (bsc#1012628).
  - Revert "PCI: mvebu: Mark driver as BROKEN" (bsc#1012628).
  - drm/nouveau/disp: Revert a NULL check inside
    nouveau_connector_get_modes (bsc#1012628).
  - netfilter: nf_tables: don't skip expired elements during walk
    (bsc#1012628).
  - netfilter: nf_tables: GC transaction API to avoid race with
    control plane (bsc#1012628).
  - netfilter: nf_tables: adapt set backend to use GC transaction
    API (bsc#1012628).
  - netfilter: nft_set_hash: mark set element as dead when deleting
    from packet path (bsc#1012628).
  - iio: imu: lsm6dsx: Fix mount matrix retrieval (bsc#1012628).
  - iio: core: Prevent invalid memory access when there is no parent
    (bsc#1012628).
  - iio: light: bu27034: Fix scale format (bsc#1012628).
  - interconnect: qcom: Add support for mask-based BCMs
    (bsc#1012628).
  - interconnect: qcom: sa8775p: add enable_mask for bcm nodes
    (bsc#1012628).
  - interconnect: qcom: sm8450: add enable_mask for bcm nodes
    (bsc#1012628).
  - interconnect: qcom: sm8550: add enable_mask for bcm nodes
    (bsc#1012628).
  - selftests: forwarding: tc_tunnel_key: Make filters more specific
    (bsc#1012628).
  - selftests: forwarding: ethtool_mm: Skip when MAC Merge is not
    supported (bsc#1012628).
  - selftests: forwarding: bridge_mdb_max: Check iproute2 version
    (bsc#1012628).
  - selftests: forwarding: bridge_mdb: Check iproute2 version
    (bsc#1012628).
  - KVM: arm64: Fix hardware enable/disable flows for pKVM
    (bsc#1012628).
  - dmaengine: xilinx: xdma: Fix typo (bsc#1012628).
  - dmaengine: xilinx: xdma: Fix Judgment of the return value
    (bsc#1012628).
  - selftests/bpf: fix a CI failure caused by vsock sockmap test
    (bsc#1012628).
  - selftests/rseq: Fix build with undefined __weak (bsc#1012628).
  - selftests: forwarding: Add a helper to skip test when using
    veth pairs (bsc#1012628).
  - selftests: forwarding: ethtool: Skip when using veth pairs
    (bsc#1012628).
  - selftests: forwarding: ethtool_extended_state: Skip when using
    veth pairs (bsc#1012628).
  - selftests: forwarding: hw_stats_l3_gre: Skip when using veth
    pairs (bsc#1012628).
  - selftests: forwarding: Skip test when no interfaces are
    specified (bsc#1012628).
  - selftests: forwarding: Switch off timeout (bsc#1012628).
  - selftests: forwarding: tc_actions: Use ncat instead of nc
    (bsc#1012628).
  - selftests: forwarding: tc_flower: Relax success criterion
    (bsc#1012628).
  - selftests: forwarding: bridge_mdb_max: Fix failing test with
    old libnet (bsc#1012628).
  - selftests: forwarding: bridge_mdb: Fix failing test with old
    libnet (bsc#1012628).
  - selftests: forwarding: bridge_mdb: Make test more robust
    (bsc#1012628).
  - net: core: remove unnecessary frame_sz check in
    bpf_xdp_adjust_tail() (bsc#1012628).
  - bpf, sockmap: Fix map type error in sock_map_del_link
    (bsc#1012628).
  - bpf, sockmap: Fix bug that strp_done cannot be called
    (bsc#1012628).
  - hwmon: (aquacomputer_d5next) Add selective 200ms delay after
    sending ctrl report (bsc#1012628).
  - mISDN: Update parameter type of dsp_cmx_send() (bsc#1012628).
  - macsec: use DEV_STATS_INC() (bsc#1012628).
  - mptcp: fix the incorrect judgment for msk->cb_flags
    (bsc#1012628).
  - igc: Add lock to safeguard global Qbv variables (bsc#1012628).
  - ionic: Add missing err handling for queue reconfig
    (bsc#1012628).
  - net/packet: annotate data-races around tp->status (bsc#1012628).
  - net/smc: Fix setsockopt and sysctl to specify same buffer size
    again (bsc#1012628).
  - net/smc: Use correct buffer sizes when switching between TCP
    and SMC (bsc#1012628).
  - PCI: move OF status = "disabled" detection to dev->match_driver
    (bsc#1012628).
  - tcp: add missing family to tcp_set_ca_state() tracepoint
    (bsc#1012628).
  - tunnels: fix kasan splat when generating ipv4 pmtu error
    (bsc#1012628).
  - xsk: fix refcount underflow in error path (bsc#1012628).
  - bonding: Fix incorrect deletion of ETH_P_8021AD protocol vid
    from slaves (bsc#1012628).
  - dccp: fix data-race around dp->dccps_mss_cache (bsc#1012628).
  - drivers: net: prevent tun_build_skb() to exceed the packet
    size limit (bsc#1012628).
  - drivers: vxlan: vnifilter: free percpu vni stats on error path
    (bsc#1012628).
  - iavf: fix potential races for FDIR filters (bsc#1012628).
  - IB/hfi1: Fix possible panic during hotplug remove (bsc#1012628).
  - drm/amd/display: Don't show stack trace for missing eDP
    (bsc#1012628).
  - drm/bridge: it6505: Check power state with it6505->powered in
    IRQ handler (bsc#1012628).
  - drm/nouveau: remove unused tu102_gr_load() function
    (bsc#1012628).
  - drm/rockchip: Don't spam logs in atomic check (bsc#1012628).
  - wifi: brcm80211: handle params_v1 allocation failure
    (bsc#1012628).
  - wifi: cfg80211: fix sband iftype data lookup for AP_VLAN
    (bsc#1012628).
  - RDMA/umem: Set iova in ODP flow (bsc#1012628).
  - RDMA/bnxt_re: Properly order ib_device_unalloc() to avoid UAF
    (bsc#1012628).
  - RDMA/bnxt_re: Fix error handling in probe failure path
    (bsc#1012628).
  - net: tls: avoid discarding data on record close (bsc#1012628).
  - net: marvell: prestera: fix handling IPv4 routes with nhid
    (bsc#1012628).
  - net: phy: at803x: remove set/get wol callbacks for AR8032
    (bsc#1012628).
  - net: dsa: ocelot: call dsa_tag_8021q_unregister() under
    rtnl_lock() on driver remove (bsc#1012628).
  - net: hns3: refactor hclge_mac_link_status_wait for interface
    reuse (bsc#1012628).
  - net: hns3: add wait until mac link down (bsc#1012628).
  - net: hns3: fix deadlock issue when externel_lb and reset are
    executed together (bsc#1012628).
  - net: enetc: reimplement RFS/RSS memory clearing as PCI quirk
    (bsc#1012628).
  - nexthop: Fix infinite nexthop dump when using maximum nexthop ID
    (bsc#1012628).
  - nexthop: Make nexthop bucket dump more efficient (bsc#1012628).
  - nexthop: Fix infinite nexthop bucket dump when using maximum
    nexthop ID (bsc#1012628).
  - net: hns3: fix strscpy causing content truncation issue
    (bsc#1012628).
  - dmaengine: mcf-edma: Fix a potential un-allocated memory access
    (bsc#1012628).
  - dmaengine: idxd: Clear PRS disable flag when disabling IDXD
    device (bsc#1012628).
  - dmaengine: owl-dma: Modify mismatched function name
    (bsc#1012628).
  - net/mlx5e: Take RTNL lock when needed before calling
    xdp_set_features() (bsc#1012628).
  - net/mlx5e: TC, Fix internal port memory leak (bsc#1012628).
  - net/mlx5: DR, Fix wrong allocation of modify hdr pattern
    (bsc#1012628).
  - net/mlx5: Allow 0 for total host VFs (bsc#1012628).
  - net/mlx5e: Unoffload post act rule when handling FIB events
    (bsc#1012628).
  - net/mlx5: LAG, Check correct bucket when modifying LAG
    (bsc#1012628).
  - net/mlx5: Skip clock update work when device is in error state
    (bsc#1012628).
  - net/mlx5: Reload auxiliary devices in pci error handlers
    (bsc#1012628).
  - ibmvnic: Enforce stronger sanity checks on login response
    (bsc#1012628).
  - ibmvnic: Unmap DMA login rsp buffer on send login fail
    (bsc#1012628).
  - ibmvnic: Handle DMA unmapping of login buffs in release
    functions (bsc#1012628).
  - ibmvnic: Do partial reset on login failure (bsc#1012628).
  - ibmvnic: Ensure login failure recovery is safe from other resets
    (bsc#1012628).
  - gpio: ws16c48: Fix off-by-one error in WS16C48 resource region
    extent (bsc#1012628).
  - gpio: sim: mark the GPIO chip as a one that can sleep
    (bsc#1012628).
  - btrfs: wait for actual caching progress during allocation
    (bsc#1012628).
  - btrfs: don't stop integrity writeback too early (bsc#1012628).
  - btrfs: don't wait for writeback on clean pages in
    extent_write_cache_pages (bsc#1012628).
  - btrfs: properly clear end of the unreserved range in
    cow_file_range (bsc#1012628).
  - btrfs: exit gracefully if reloc roots don't match (bsc#1012628).
  - btrfs: reject invalid reloc tree root keys with stack dump
    (bsc#1012628).
  - btrfs: set cache_block_group_error if we find an error
    (bsc#1012628).
  - scsi: core: Fix legacy /proc parsing buffer overflow
    (bsc#1012628).
  - scsi: storvsc: Fix handling of virtual Fibre Channel timeouts
    (bsc#1012628).
  - scsi: ufs: renesas: Fix private allocation (bsc#1012628).
  - scsi: 53c700: Check that command slot is not NULL (bsc#1012628).
  - scsi: snic: Fix possible memory leak if device_add() fails
    (bsc#1012628).
  - scsi: core: Fix possible memory leak if device_add() fails
    (bsc#1012628).
  - scsi: fnic: Replace return codes in fnic_clean_pending_aborts()
    (bsc#1012628).
  - scsi: qedi: Fix firmware halt over suspend and resume
    (bsc#1012628).
  - scsi: qedf: Fix firmware halt over suspend and resume
    (bsc#1012628).
  - platform/x86: msi-ec: Fix the build (bsc#1012628).
  - platform/x86: lenovo-ymc: Only bind on machines with a
    convertible DMI chassis-type (bsc#1012628).
  - platform: mellanox: Change register offset addresses
    (bsc#1012628).
  - platform: mellanox: mlx-platform: Fix signals polarity and
    latch mask (bsc#1012628).
  - platform: mellanox: mlx-platform: Modify graceful shutdown
    callback and power down mask (bsc#1012628).
  - platform: mellanox: Fix order in exit flow (bsc#1012628).
  - platform/x86: serial-multi-instantiate: Auto detect IRQ resource
    for CSC3551 (bsc#1012628).
  - ACPI: scan: Create platform device for CS35L56 (bsc#1012628).
  - alpha: remove __init annotation from exported page_is_ram()
    (bsc#1012628).
  - Update config files.
  - commit 2a5b3f6
* Wed Aug 16 2023 msuchanek@suse.de
  - kernel-binary: Common dependencies cleanup
    Common dependencies are copied to a subpackage, there is no need for
    copying defines or build dependencies there.
  - commit 254b03c
* Wed Aug 16 2023 msuchanek@suse.de
  - kernel-binary: Drop code for kerntypes support
    Kerntypes was a SUSE-specific feature dropped before SLE 12.
  - commit 2c37773
* Tue Aug 15 2023 palcantara@suse.de
  - Update
    patches.kernel.org/6.4.5-008-ksmbd-fix-out-of-bounds-read-in-smb2_sess_setup.patch
    (bsc#1012628 bsc#1213545).
  - commit d8f70b2
* Mon Aug 14 2023 tiwai@suse.de
  - drm/nouveau/disp: fix use-after-free in error handling of
    nouveau_connector_create (bsc#1214073).
  - Delete
    patches.suse/Revert-drm-nouveau-disp-PIOR-DP-uses-GPIO-for-HPD-no.patch.
  - commit 1b3aee5
* Mon Aug 14 2023 tiwai@suse.de
  - tpm_tis: Opt-in interrupts (bsc#1213779)
    Also dropped the obsoleted patch:
    patches.suse/tpm-tpm_tis-Disable-interrupts-categorically-for-Len.patch
  - commit 80aa18d
* Mon Aug 14 2023 jslaby@suse.cz
  - Refresh
    patches.suse/ACPI-resource-Always-use-MADT-override-IRQ-settings-.patch.
  - Refresh
    patches.suse/ACPI-resource-Honor-MADT-INT_SRC_OVR-settings-for-IR.patch.
  - Refresh
    patches.suse/ACPI-resource-revert-Remove-Zen-specific-match-and-q.patch.
    Update upstream status and move to sorted section.
  - commit d0aa45f
* Mon Aug 14 2023 jslaby@suse.cz
  - Refresh
    patches.suse/drm-amd-display-Fix-a-regression-on-Polaris-cards.patch.
    Update upstream status and move to sorted section.
  - commit e1f43a2
* Mon Aug 14 2023 jslaby@suse.cz
  - Linux 6.4.10 (bsc#1012628).
  - iommu/arm-smmu-v3: Work around MMU-600 erratum 1076982
    (bsc#1012628).
  - iommu/arm-smmu-v3: Document MMU-700 erratum 2812531
    (bsc#1012628).
  - iommu/arm-smmu-v3: Add explicit feature for nesting
    (bsc#1012628).
  - iommu/arm-smmu-v3: Document nesting-related errata
    (bsc#1012628).
  - arm64: dts: imx8mm-venice-gw7903: disable disp_blk_ctrl
    (bsc#1012628).
  - arm64: dts: imx8mm-venice-gw7904: disable disp_blk_ctrl
    (bsc#1012628).
  - arm64: dts: phycore-imx8mm: Label typo-fix of VPU (bsc#1012628).
  - arm64: dts: phycore-imx8mm: Correction in gpio-line-names
    (bsc#1012628).
  - arm64: dts: imx8mn-var-som: add missing pull-up for onboard
    PHY reset pinmux (bsc#1012628).
  - arm64: dts: freescale: Fix VPU G2 clock (bsc#1012628).
  - firmware: smccc: Fix use of uninitialised results structure
    (bsc#1012628).
  - firmware: arm_scmi: Fix signed error return values handling
    (bsc#1012628).
  - lib/bitmap: workaround const_eval test build failure
    (bsc#1012628).
  - ARM: dts: nxp/imx: limit sk-imx53 supported frequencies
    (bsc#1012628).
  - soc: imx: imx8mp-blk-ctrl: register HSIO PLL clock as
    bus_power_dev child (bsc#1012628).
  - firmware: arm_scmi: Fix chan_free cleanup on SMC (bsc#1012628).
  - ARM: dts: at91: use clock-controller name for PMC nodes
    (bsc#1012628).
  - ARM: dts: at91: use clock-controller name for sckc nodes
    (bsc#1012628).
  - ARM: dts: at91: use generic name for shutdown controller
    (bsc#1012628).
  - ARM: dts: at91: sam9x60: fix the SOC detection (bsc#1012628).
  - word-at-a-time: use the same return type for has_zero regardless
    of endianness (bsc#1012628).
  - s390/vmem: split pages when debug pagealloc is enabled
    (bsc#1012628).
  - KVM: s390: fix sthyi error handling (bsc#1012628).
  - erofs: fix wrong primary bvec selection on deduplicated extents
    (bsc#1012628).
  - perf pmu arm64: Fix reading the PMU cpu slots in sysfs
    (bsc#1012628).
  - wifi: cfg80211: Fix return value in scan logic (bsc#1012628).
  - net/mlx5e: fix double free in
    macsec_fs_tx_create_crypto_table_groups (bsc#1012628).
  - net/mlx5: DR, fix memory leak in mlx5dr_cmd_create_reformat_ctx
    (bsc#1012628).
  - net/mlx5: fix potential memory leak in mlx5e_init_rep_rx
    (bsc#1012628).
  - net/mlx5e: fix return value check in
    mlx5e_ipsec_remove_trailer() (bsc#1012628).
  - net/mlx5: Honor user input for migratable port fn attr
    (bsc#1012628).
  - net/mlx5e: Don't hold encap tbl lock if there is no encap action
    (bsc#1012628).
  - net/mlx5e: Fix crash moving to switchdev mode when ntuple
    offload is set (bsc#1012628).
  - net/mlx5e: Move representor neigh cleanup to profile cleanup_tx
    (bsc#1012628).
  - net/mlx5e: xsk: Fix invalid buffer access for legacy rq
    (bsc#1012628).
  - net/mlx5e: xsk: Fix crash on regular rq reactivation
    (bsc#1012628).
  - net/mlx5e: kTLS, Fix protection domain in use syndrome when
    devlink reload (bsc#1012628).
  - net/mlx5: fs_chains: Fix ft prio if ignore_flow_level is not
    supported (bsc#1012628).
  - net/mlx5: Unregister devlink params in case interface is down
    (bsc#1012628).
  - bpf: Add length check for SK_DIAG_BPF_STORAGE_REQ_MAP_FD parsing
    (bsc#1012628).
  - rtnetlink: let rtnl_bridge_setlink checks IFLA_BRIDGE_MODE
    length (bsc#1012628).
  - net: dsa: fix value check in bcm_sf2_sw_probe() (bsc#1012628).
  - perf test uprobe_from_different_cu: Skip if there is no gcc
    (bsc#1012628).
  - net: sched: cls_u32: Fix match key mis-addressing (bsc#1012628).
  - mISDN: hfcpci: Fix potential deadlock on &hc->lock
    (bsc#1012628).
  - net: stmmac: tegra: Properly allocate clock bulk data
    (bsc#1012628).
  - qed: Fix scheduling in a tasklet while getting stats
    (bsc#1012628).
  - net: move gso declarations and functions to their own files
    (bsc#1012628).
  - net: gro: fix misuse of CB in udp socket lookup (bsc#1012628).
  - net: annotate data-races around sk->sk_reserved_mem
    (bsc#1012628).
  - net: annotate data-race around sk->sk_txrehash (bsc#1012628).
  - net: annotate data-races around sk->sk_max_pacing_rate
    (bsc#1012628).
  - net: add missing READ_ONCE(sk->sk_rcvlowat) annotation
    (bsc#1012628).
  - net: add missing READ_ONCE(sk->sk_sndbuf) annotation
    (bsc#1012628).
  - net: add missing READ_ONCE(sk->sk_rcvbuf) annotation
    (bsc#1012628).
  - net: annotate data-races around sk->sk_mark (bsc#1012628).
  - net: add missing data-race annotations around sk->sk_peek_off
    (bsc#1012628).
  - net: add missing data-race annotation for sk_ll_usec
    (bsc#1012628).
  - net: annotate data-races around sk->sk_priority (bsc#1012628).
  - net/sched: taprio: Limit TCA_TAPRIO_ATTR_SCHED_CYCLE_TIME to
    INT_MAX (bsc#1012628).
  - net: usb: lan78xx: reorder cleanup operations to avoid UAF bugs
    (bsc#1012628).
  - ice: Fix RDMA VSI removal during queue rebuild (bsc#1012628).
  - bnxt: don't handle XDP in netpoll (bsc#1012628).
  - octeon_ep: initialize mbox mutexes (bsc#1012628).
  - bpf: Move unprivileged checks into map_create() and
    bpf_prog_load() (bsc#1012628).
  - bpf: Inline map creation logic in map_create() function
    (bsc#1012628).
  - bpf: Centralize permissions checks for all BPF map types
    (bsc#1012628).
  - bpf, cpumap: Make sure kthread is running before map update
    returns (bsc#1012628).
  - bpf, cpumap: Handle skb as well when clean up ptr_ring
    (bsc#1012628).
  - net/sched: cls_u32: No longer copy tcf_result on update to
    avoid use-after-free (bsc#1012628).
  - net/sched: cls_fw: No longer copy tcf_result on update to
    avoid use-after-free (bsc#1012628).
  - net/sched: cls_route: No longer copy tcf_result on update to
    avoid use-after-free (bsc#1012628).
  - bpf: sockmap: Remove preempt_disable in sock_map_sk_acquire
    (bsc#1012628).
  - net: ll_temac: fix error checking of irq_of_parse_and_map()
    (bsc#1012628).
  - net: korina: handle clk prepare error in korina_probe()
    (bsc#1012628).
  - net: netsec: Ignore 'phy-mode' on SynQuacer in DT mode
    (bsc#1012628).
  - selftest: net: Assert on a proper value in so_incoming_cpu.c
    (bsc#1012628).
  - bnxt_en: Fix page pool logic for page size >= 64K (bsc#1012628).
  - bnxt_en: Fix max_mtu setting for multi-buf XDP (bsc#1012628).
  - net: dcb: choose correct policy to parse DCB_ATTR_BCN
    (bsc#1012628).
  - s390/qeth: Don't call dev_close/dev_open (DOWN/UP)
    (bsc#1012628).
  - ip6mr: Fix skb_under_panic in ip6mr_cache_report()
    (bsc#1012628).
  - vxlan: Fix nexthop hash size (bsc#1012628).
  - net/mlx5: fs_core: Make find_closest_ft more generic
    (bsc#1012628).
  - net/mlx5: fs_core: Skip the FTs in the same FS_TYPE_PRIO_CHAINS
    fs_prio (bsc#1012628).
  - net/mlx5e: Set proper IPsec source port in L4 selector
    (bsc#1012628).
  - prestera: fix fallback to previous version on same major version
    (bsc#1012628).
  - tcp_metrics: fix addr_same() helper (bsc#1012628).
  - tcp_metrics: annotate data-races around tm->tcpm_stamp
    (bsc#1012628).
  - tcp_metrics: annotate data-races around tm->tcpm_lock
    (bsc#1012628).
  - tcp_metrics: annotate data-races around tm->tcpm_vals[]
    (bsc#1012628).
  - tcp_metrics: annotate data-races around tm->tcpm_net
    (bsc#1012628).
  - tcp_metrics: fix data-race in tcpm_suck_dst() vs fastopen
    (bsc#1012628).
  - test/vsock: remove vsock_perf executable on `make clean`
    (bsc#1012628).
  - rust: allocator: Prevent mis-aligned allocation (bsc#1012628).
  - scsi: zfcp: Defer fc_rport blocking until after ADISC response
    (bsc#1012628).
  - scsi: storvsc: Limit max_sectors for virtual Fibre Channel
    devices (bsc#1012628).
  - Documentation: kdump: Add va_kernel_pa_offset for RISCV64
    (bsc#1012628).
  - libceph: fix potential hang in ceph_osdc_notify() (bsc#1012628).
  - USB: zaurus: Add ID for A-300/B-500/C-700 (bsc#1012628).
  - ceph: defer stopping mdsc delayed_work (bsc#1012628).
  - firmware: arm_scmi: Drop OF node reference in the transport
    channel setup (bsc#1012628).
  - exfat: use kvmalloc_array/kvfree instead of kmalloc_array/kfree
    (bsc#1012628).
  - exfat: check if filename entries exceeds max filename length
    (bsc#1012628).
  - exfat: release s_lock before calling dir_emit() (bsc#1012628).
  - mtd: spinand: toshiba: Fix ecc_get_status (bsc#1012628).
  - mtd: spinand: winbond: Fix ecc_get_status (bsc#1012628).
  - mtd: rawnand: meson: fix OOB available bytes for ECC
    (bsc#1012628).
  - riscv: Export va_kernel_pa_offset in vmcoreinfo (bsc#1012628).
  - bpf: Disable preemption in bpf_perf_event_output (bsc#1012628).
  - arm64: dts: stratix10: fix incorrect I2C property for SCL signal
    (bsc#1012628).
  - wifi: mt76: mt7615: do not advertise 5 GHz on first phy of
    MT7615D (DBDC) (bsc#1012628).
  - x86/hyperv: Disable IBT when hypercall page lacks ENDBR
    instruction (bsc#1012628).
  - rbd: prevent busy loop when requesting exclusive lock
    (bsc#1012628).
  - bpf: Disable preemption in bpf_event_output (bsc#1012628).
  - smb: client: fix dfs link mount against w2k8 (bsc#1012628).
  - powerpc/ftrace: Create a dummy stackframe to fix stack unwind
    (bsc#1012628).
  - parisc/mm: preallocate fixmap page tables at init (bsc#1012628).
  - arm64/fpsimd: Sync and zero pad FPSIMD state for streaming SVE
    (bsc#1012628).
  - arm64/fpsimd: Clear SME state in the target task when setting
    the VL (bsc#1012628).
  - arm64/fpsimd: Sync FPSIMD state with SVE for SME only systems
    (bsc#1012628).
  - arm64/ptrace: Flush FP state when setting ZT0 (bsc#1012628).
  - arm64/ptrace: Don't enable SVE when setting streaming SVE
    (bsc#1012628).
  - open: make RESOLVE_CACHED correctly test for O_TMPFILE
    (bsc#1012628).
  - drm/ttm: check null pointer before accessing when swapping
    (bsc#1012628).
  - drm/i915: Fix premature release of request's reusable memory
    (bsc#1012628).
  - drm/i915/gt: Cleanup aux invalidation registers (bsc#1012628).
  - Revert "page cache: fix page_cache_next/prev_miss off by one"
    (bsc#1012628).
  - sunvnet: fix sparc64 build error after gso code split
    (bsc#1012628).
  - clk: imx93: Propagate correct error in imx93_clocks_probe()
    (bsc#1012628).
  - file: reinstate f_pos locking optimization for regular files
    (bsc#1012628).
  - mm: kmem: fix a NULL pointer dereference in
    obj_stock_flush_required() (bsc#1012628).
  - fs/ntfs3: Use __GFP_NOWARN allocation at ntfs_load_attr_list()
    (bsc#1012628).
  - kasan,kmsan: remove __GFP_KSWAPD_RECLAIM usage from kasan/kmsan
    (bsc#1012628).
  - fs/sysv: Null check to prevent null-ptr-deref bug (bsc#1012628).
  - Bluetooth: L2CAP: Fix use-after-free in l2cap_sock_ready_cb
    (bsc#1012628).
  - debugobjects: Recheck debug_objects_enabled before reporting
    (bsc#1012628).
  - net: usbnet: Fix WARNING in usbnet_start_xmit/usb_submit_urb
    (bsc#1012628).
  - fs: Protect reconfiguration of sb read-write from racing writes
    (bsc#1012628).
  - mm/gup: do not return 0 from pin_user_pages_fast() for bad args
    (bsc#1012628).
  - ext2: Drop fragment support (bsc#1012628).
  - btrfs: remove BUG_ON()'s in add_new_free_space() (bsc#1012628).
  - f2fs: fix to do sanity check on direct node in truncate_dnode()
    (bsc#1012628).
  - io_uring: annotate offset timeout races (bsc#1012628).
  - mtd: rawnand: omap_elm: Fix incorrect type in assignment
    (bsc#1012628).
  - mtd: rawnand: rockchip: fix oobfree offset and description
    (bsc#1012628).
  - mtd: rawnand: rockchip: Align hwecc vs. raw page helper layouts
    (bsc#1012628).
  - clk: mediatek: mt8183: Add back SSPM related clocks
    (bsc#1012628).
  - mtd: spi-nor: avoid holes in struct spi_mem_op (bsc#1012628).
  - mtd: rawnand: fsl_upm: Fix an off-by one test in fun_exec_op()
    (bsc#1012628).
  - powerpc/mm/altmap: Fix altmap boundary check (bsc#1012628).
  - drm/imx/ipuv3: Fix front porch adjustment upon hactive aligning
    (bsc#1012628).
  - drm/amdgpu: Use apt name for FW reserved region (bsc#1012628).
  - selftests/rseq: Play nice with binaries statically linked
    against glibc 2.35+ (bsc#1012628).
  - ARM: dts: nxp/imx6sll: fix wrong property name in usbphy node
    (bsc#1012628).
  - drm/i915: Add the gen12_needs_ccs_aux_inv helper (bsc#1012628).
  - drm/i915/gt: Ensure memory quiesced before invalidation
    (bsc#1012628).
  - drm/i915/gt: Add workaround 14016712196 (bsc#1012628).
  - drm/i915/gt: Rename flags with bit_group_X according to the
    datasheet (bsc#1012628).
  - drm/i915/gt: Poll aux invalidation register bit on invalidation
    (bsc#1012628).
  - drm/i915/gt: Support aux invalidation on all engines
    (bsc#1012628).
  - drm/i915/gt: Enable the CCS_FLUSH bit in the pipe control and
    in the CS (bsc#1012628).
  - x86/CPU/AMD: Do not leak quotient data after a division by 0
    (bsc#1012628).
  - commit eb77301
* Sun Aug 13 2023 mkubecek@suse.cz
  - Update to 6.5-rc6
  - update configs
    - x86
    - GDS_FORCE_MITIGATION=n
    - x86_64
    - CPU_SRSO=y
  - commit c65258c
* Sat Aug 12 2023 tiwai@suse.de
  - drm/amd: Disable S/G for APUs when 64GB or more host memory
    (bsc#1213787).
  - commit 1b8ce3e
* Sat Aug 12 2023 tiwai@suse.de
  - pinctrl: amd: Don't show `Invalid config param` errors
    (bsc#1214212).
  - commit e95f7e7
* Fri Aug 11 2023 vkarasulli@suse.de
  - net/sched: cls_route: No longer copy tcf_result on update  to
    avoid use-after-free (bsc#1214149 CVE-2023-4128).
  - net/sched: cls_fw: No longer copy tcf_result on update to
    avoid use-after-free (bsc#1214149 CVE-2023-4128).
  - net/sched: cls_u32: No longer copy tcf_result on update  to
    avoid use-after-free (bsc#1214149 CVE-2023-4128).
  - commit 4368d3a
* Fri Aug 11 2023 tiwai@suse.de
  - Revert "drm/nouveau/disp: PIOR DP uses GPIO for HPD, not PMGR
    AUX interrupts" (bsc#1214073).
  - commit db7e8f0
* Fri Aug 11 2023 tiwai@suse.de
  - tpm/tpm_tis: Disable interrupts categorically for Lenovo
    (bsc#1213779).
    Replaced the obsoleted fix patch:
    patches.suse/tpm-tpm_tis-Disable-interrupts-for-Lenovo-Thinkpad-E.patch
  - commit efae9ac
* Fri Aug 11 2023 tiwai@suse.de
  - tpm/tpm_tis: Disable interrupts for Lenovo P620 devices
    (bsc#1213779).
  - commit 4f44748
* Fri Aug 11 2023 tiwai@suse.de
  - Move upstreamed tpm_tis patch into sorted section
  - commit 693df97
* Thu Aug 10 2023 jslaby@suse.cz
  - mm: lock_vma_under_rcu() must check vma->anon_vma under vma lock
    (per-VMA_lock_fix).
  - commit c5c7c45
* Thu Aug 10 2023 jslaby@suse.cz
  - ACPI: resource: Honor MADT INT_SRC_OVR settings for IRQ1 on
    AMD Zen (20230809085526.84913-1-hdegoede@redhat.com).
  - ACPI: resource: Always use MADT override
    IRQ settings for all legacy non i8042 IRQs
    (20230809085526.84913-1-hdegoede@redhat.com).
  - ACPI: resource: revert "Remove "Zen" specific match and quirks"
    (20230809085526.84913-1-hdegoede@redhat.com).
  - commit 17e449f
* Wed Aug 09 2023 jslaby@suse.cz
  - Linux 6.4.9 (bsc#1012628).
  - Update config files.
    Set:
    * CONFIG_GDS_FORCE_MITIGATION=n
    * CONFIG_CPU_SRSO=y
    as per default.
  - x86: fix backwards merge of GDS/SRSO bit (bsc#1012628).
  - xen/netback: Fix buffer overrun triggered by unusual packet
    (bsc#1012628).
  - x86/srso: Tie SBPB bit setting to microcode patch detection
    (bsc#1012628).
  - x86/srso: Add a forgotten NOENDBR annotation (bsc#1012628).
  - x86/srso: Fix return thunks in generated code (bsc#1012628).
  - x86/srso: Add IBPB on VMEXIT (bsc#1012628).
  - x86/srso: Add IBPB (bsc#1012628).
  - x86/srso: Add SRSO_NO support (bsc#1012628).
  - x86/srso: Add IBPB_BRTYPE support (bsc#1012628).
  - x86/srso: Add a Speculative RAS Overflow mitigation (bsc#1012628
    bsc#1213287 CVE-2023-20569).
  - x86/bugs: Increase the x86 bugs vector size to two u32s
    (bsc#1012628).
  - Documentation/x86: Fix backwards on/off logic about YMM support
    (bsc#1012628).
  - x86/xen: Fix secondary processors' FPU initialization
    (bsc#1012628).
  - x86/mem_encrypt: Unbreak the AMD_MEM_ENCRYPT=n build
    (bsc#1012628).
  - KVM: Add GDS_NO support to KVM (bsc#1012628).
  - x86/speculation: Add Kconfig option for GDS (bsc#1012628).
  - x86/speculation: Add force option to GDS mitigation
    (bsc#1012628).
  - x86/speculation: Add Gather Data Sampling mitigation
    (bsc#1012628 bsc#1206418 CVE-2022-40982).
  - x86/fpu: Move FPU initialization into arch_cpu_finalize_init()
    (bsc#1012628).
  - x86/fpu: Mark init functions __init (bsc#1012628).
  - x86/fpu: Remove cpuinfo argument from init functions
    (bsc#1012628).
  - x86/init: Initialize signal frame size late (bsc#1012628).
  - init, x86: Move mem_encrypt_init() into arch_cpu_finalize_init()
    (bsc#1012628).
  - init: Invoke arch_cpu_finalize_init() earlier (bsc#1012628).
  - init: Remove check_bugs() leftovers (bsc#1012628).
  - um/cpu: Switch to arch_cpu_finalize_init() (bsc#1012628).
  - sparc/cpu: Switch to arch_cpu_finalize_init() (bsc#1012628).
  - sh/cpu: Switch to arch_cpu_finalize_init() (bsc#1012628).
  - mips/cpu: Switch to arch_cpu_finalize_init() (bsc#1012628).
  - m68k/cpu: Switch to arch_cpu_finalize_init() (bsc#1012628).
  - loongarch/cpu: Switch to arch_cpu_finalize_init() (bsc#1012628).
  - ia64/cpu: Switch to arch_cpu_finalize_init() (bsc#1012628).
  - ARM: cpu: Switch to arch_cpu_finalize_init() (bsc#1012628).
  - x86/cpu: Switch to arch_cpu_finalize_init() (bsc#1012628).
  - init: Provide arch_cpu_finalize_init() (bsc#1012628).
  - commit 5b9ad20
* Mon Aug 07 2023 tiwai@suse.de
  - tpm/tpm_tis: Disable interrupts for Lenovo Thinkpad E14 Gen
    2 and 13s-IML (bsc#1213779).
  - commit c4adffc
* Mon Aug 07 2023 mfranc@suse.cz
  - net: tun_chr_open(): set sk_uid from current_fsuid()
    (CVE-2023-4194 bsc#1214019).
  - commit 8967829
* Mon Aug 07 2023 mfranc@suse.cz
  - net: tap_open(): set sk_uid from current_fsuid() (CVE-2023-4194
    bsc#1214019).
  - commit e5a2ecb
* Mon Aug 07 2023 tiwai@suse.de
  - drm/amd/display: Fix a regression on Polaris cards
    (bsc#1212874).
  - commit 9764e05
* Mon Aug 07 2023 mkubecek@suse.cz
  - rpm/config.sh: switch to openSUSE.org repos for IBS
    Mirrored openSUSE repositories are long term more reliable than
    SUSE:Factory:HEAD we use now for IBS builds. Dropping the IBS_PROJECT*
    variables is the simplest way to switch to them as MyBS.pm prepends
    "openSUSE.org:" to the corresponding OBS_PROJECT* variable in their
    absence.
    This is a combination of kernel-source commits 21cafd1fd12a
    ("rpm/config.sh: switch to openSUSE.org repos for IBS") and 294d54140dd0
    ("rpm/config.sh: remove IBS repos completely") from stable branch.
  - commit 997a7e4
* Mon Aug 07 2023 jslaby@suse.cz
  - rpm/config.sh: remove IBS repos completely
    The commit 21cafd1f (rpm/config.sh: switch to openSUSE.org repos for
    IBS) duplicated the OBS repos in openSUSE.org: space. But this is done
    automatically in MyBS.pm. So drop all of them instead of duplicating.
  - commit 294d541
* Mon Aug 07 2023 mkubecek@suse.cz
  - Update to 6.5-rc5
  - commit b685771
* Fri Aug 04 2023 jslaby@suse.cz
  - rpm/config.sh: switch to openSUSE.org repos for IBS
    SUSE:Factory:HEAD is currently (and often) broken. Switch to
    openSUSE.org: repositories. They are up-to-date and provide the same
    archs plus armv6.
  - commit 21cafd1
* Thu Aug 03 2023 jslaby@suse.cz
  - Linux 6.4.8 (bsc#1012628).
  - platform/x86/amd/pmf: Notify OS power slider update
    (bsc#1012628).
  - platform/x86/amd/pmf: reduce verbosity of apmf_get_system_params
    (bsc#1012628).
  - drm/amd: Move helper for dynamic speed switch check out of smu13
    (bsc#1012628).
  - drm/amd: Align SMU11 SMU_MSG_OverridePcieParameters
    implementation with SMU13 (bsc#1012628).
  - jbd2: Fix wrongly judgement for buffer head removing while
    doing checkpoint (bsc#1012628).
  - KVM: arm64: Handle kvm_arm_init failure correctly in
    finalize_pkvm (bsc#1012628).
  - blk-mq: Fix stall due to recursive flush plug (bsc#1012628).
  - powerpc/pseries/vas: Hold mmap_mutex after mmap lock during
    window close (bsc#1012628).
  - KVM: s390: pv: simplify shutdown and fix race (bsc#1012628).
  - KVM: s390: pv: fix index value of replaced ASCE (bsc#1012628).
  - s390/mm: fix per vma lock fault handling (bsc#1012628).
  - io_uring: don't audit the capability check in io_uring_create()
    (bsc#1012628).
  - gpio: tps68470: Make tps68470_gpio_output() always set the
    initial value (bsc#1012628).
  - gpio: mvebu: Make use of devm_pwmchip_add (bsc#1012628).
  - gpio: mvebu: fix irq domain leak (bsc#1012628).
  - regmap: Disable locking for RBTREE and MAPLE unit tests
    (bsc#1012628).
  - btrfs: factor out a btrfs_verify_page helper (bsc#1012628).
  - btrfs: fix fsverify read error handling in end_page_read
    (bsc#1012628).
  - btrfs: fix race between quota disable and relocation
    (bsc#1012628).
  - i2c: Delete error messages for failed memory allocations
    (bsc#1012628).
  - i2c: Improve size determinations (bsc#1012628).
  - i2c: nomadik: Remove unnecessary goto label (bsc#1012628).
  - i2c: nomadik: Use devm_clk_get_enabled() (bsc#1012628).
  - i2c: nomadik: Remove a useless call in the remove function
    (bsc#1012628).
  - PCI/ASPM: Return 0 or -ETIMEDOUT from pcie_retrain_link()
    (bsc#1012628).
  - PCI/ASPM: Factor out pcie_wait_for_retrain() (bsc#1012628).
  - PCI/ASPM: Avoid link retraining race (bsc#1012628).
  - PCI: rockchip: Remove writes to unused registers (bsc#1012628).
  - PCI: rockchip: Fix window mapping and address translation for
    endpoint (bsc#1012628).
  - PCI: rockchip: Don't advertise MSI-X in PCIe capabilities
    (bsc#1012628).
  - drm/amd/display: Convert Delaying Aux-I Disable To Monitor Patch
    (bsc#1012628).
  - drm/amd/display: Keep disable aux-i delay as 0 (bsc#1012628).
  - drm/amd/display: add pixel rate based CRB allocation support
    (bsc#1012628).
  - drm/amd/display: fix dcn315 single stream crb allocation
    (bsc#1012628).
  - drm/amd/display: Update correct DCN314 register header
    (bsc#1012628).
  - drm/amd/display: Set minimum requirement for using PSR-SU on
    Rembrandt (bsc#1012628).
  - drm/amd/display: Set minimum requirement for using PSR-SU on
    Phoenix (bsc#1012628).
  - drm/ttm: never consider pinned BOs for eviction&swap
    (bsc#1012628).
  - maple_tree: add __init and __exit to test module (bsc#1012628).
  - maple_tree: fix 32 bit mas_next testing (bsc#1012628).
  - drm/amd/display: Add FAMS validation before trying to use it
    (bsc#1012628).
  - drm/amd/display: update extended blank for dcn314 onwards
    (bsc#1012628).
  - drm/amd/display: Fix possible underflow for displays with
    large vblank (bsc#1012628).
  - drm/amd/display: Prevent vtotal from being set to 0
    (bsc#1012628).
  - ext4: add EXT4_MB_HINT_GOAL_ONLY test in
    ext4_mb_use_preallocated (bsc#1012628).
  - ext4: mballoc: Remove useless setting of ac_criteria
    (bsc#1012628).
  - ext4: fix rbtree traversal bug in ext4_mb_use_preallocated
    (bsc#1012628).
  - phy: phy-mtk-dp: Fix an error code in probe() (bsc#1012628).
  - phy: mediatek: hdmi: mt8195: fix prediv bad upper limit test
    (bsc#1012628).
  - phy: qcom-snps-femto-v2: keep cfg_ahb_clk enabled during
    runtime suspend (bsc#1012628).
  - phy: qcom-snps-femto-v2: properly enable ref clock
    (bsc#1012628).
  - soundwire: qcom: update status correctly with mask
    (bsc#1012628).
  - soundwire: amd: Fix a check for errors in probe() (bsc#1012628).
  - media: tc358746: Address compiler warnings (bsc#1012628).
  - media: staging: atomisp: select V4L2_FWNODE (bsc#1012628).
  - media: amphion: Fix firmware path to match linux-firmware
    (bsc#1012628).
  - media: mtk-jpeg: move data/code inside CONFIG_OF blocks
    (bsc#1012628).
  - media: mtk_jpeg_core: avoid unused-variable warning
    (bsc#1012628).
  - i40e: Fix an NULL vs IS_ERR() bug for debugfs_create_dir()
    (bsc#1012628).
  - iavf: fix potential deadlock on allocation failure
    (bsc#1012628).
  - iavf: check for removal state before IAVF_FLAG_PF_COMMS_FAILED
    (bsc#1012628).
  - net: phy: marvell10g: fix 88x3310 power up (bsc#1012628).
  - net: hns3: fix the imp capability bit cannot exceed 32 bits
    issue (bsc#1012628).
  - net: hns3: fix wrong tc bandwidth weight data issue
    (bsc#1012628).
  - net: hns3: fix wrong bw weight of disabled tc issue
    (bsc#1012628).
  - vxlan: calculate correct header length for GPE (bsc#1012628).
  - vxlan: generalize vxlan_parse_gpe_hdr and remove unused args
    (bsc#1012628).
  - vxlan: fix GRO with VXLAN-GPE (bsc#1012628).
  - phy: hisilicon: Fix an out of bounds check in
    hisi_inno_phy_probe() (bsc#1012628).
  - atheros: fix return value check in atl1_tso() (bsc#1012628).
  - ethernet: atheros: fix return value check in atl1e_tso_csum()
    (bsc#1012628).
  - ipv6 addrconf: fix bug where deleting a mngtmpaddr can create
    a new temporary address (bsc#1012628).
  - net: fec: avoid tx queue timeout when XDP is enabled
    (bsc#1012628).
  - tcp: Reduce chance of collisions in inet6_hashfn()
    (bsc#1012628).
  - ice: Fix memory management in ice_ethtool_fdir.c (bsc#1012628).
  - bonding: reset bond's flags when down link is P2P device
    (bsc#1012628).
  - team: reset team's flags when down link is P2P device
    (bsc#1012628).
  - octeontx2-af: Fix hash extraction enable configuration
    (bsc#1012628).
  - net: stmmac: Apply redundant write work around on 4.xx too
    (bsc#1012628).
  - platform/x86: msi-laptop: Fix rfkill out-of-sync on MSI Wind
    U100 (bsc#1012628).
  - x86/traps: Fix load_unaligned_zeropad() handling for shared
    TDX memory (bsc#1012628).
  - macvlan: add forgotten nla_policy for IFLA_MACVLAN_BC_CUTOFF
    (bsc#1012628).
  - igc: Fix Kernel Panic during ndo_tx_timeout callback
    (bsc#1012628).
  - netfilter: nft_set_rbtree: fix overlap expiration walk
    (bsc#1012628).
  - netfilter: nf_tables: skip immediate deactivate in
    _PREPARE_ERROR (bsc#1012628).
  - netfilter: nf_tables: disallow rule addition to bound chain
    via NFTA_RULE_CHAIN_ID (bsc#1012628).
  - mm: suppress mm fault logging if fatal signal already pending
    (bsc#1012628).
  - tools: ynl-gen: fix enum index in
    _decode_enum(..) (bsc#1012628).
  - net: fec: tx processing does not call XDP APIs if budget is 0
    (bsc#1012628).
  - net/sched: mqprio: Add length check for
    TCA_MQPRIO_{MAX/MIN}_RATE64 (bsc#1012628).
  - benet: fix return value check in be_lancer_xmit_workarounds()
    (bsc#1012628).
  - tipc: check return value of pskb_trim() (bsc#1012628).
  - tipc: stop tipc crypto on failure in tipc_node_create
    (bsc#1012628).
  - fs/9p: Fix a datatype used with V9FS_DIRECT_IO (bsc#1012628).
  - RDMA/mlx4: Make check for invalid flags stricter (bsc#1012628).
  - drm/msm/mdss: correct UBWC programming for SM8550 (bsc#1012628).
  - drm/msm/dpu: add missing flush and fetch bits for DMA4/DMA5
    planes (bsc#1012628).
  - drm/msm/dpu: drop enum dpu_core_perf_data_bus_id (bsc#1012628).
  - drm/msm/dsi: Drop unused regulators from QCM2290 14nm DSI PHY
    config (bsc#1012628).
  - drm/msm/adreno: Fix snapshot BINDLESS_DATA size (bsc#1012628).
  - RDMA/irdma: Add missing read barriers (bsc#1012628).
  - RDMA/irdma: Fix data race on CQP completion stats (bsc#1012628).
  - RDMA/irdma: Fix data race on CQP request done (bsc#1012628).
  - RDMA/core: Update CMA destination address on rdma_resolve_addr
    (bsc#1012628).
  - RDMA/mthca: Fix crash when polling CQ for shared QPs
    (bsc#1012628).
  - RDMA/bnxt_re: Prevent handling any completions after qp destroy
    (bsc#1012628).
  - RDMA/bnxt_re: Enhance the existing functions that wait for FW
    responses (bsc#1012628).
  - RDMA/bnxt_re: Avoid the command wait if firmware is inactive
    (bsc#1012628).
  - RDMA/bnxt_re: use shadow qd while posting non blocking rcfw
    command (bsc#1012628).
  - RDMA/bnxt_re: Simplify the function that sends the FW commands
    (bsc#1012628).
  - RDMA/bnxt_re: add helper function __poll_for_resp (bsc#1012628).
  - RDMA/bnxt_re: Fix hang during driver unload (bsc#1012628).
  - drm/msm: Fix IS_ERR_OR_NULL() vs NULL check in
    a5xx_submit_in_rb() (bsc#1012628).
  - drm/msm: Fix hw_fence error path cleanup (bsc#1012628).
  - cxl/acpi: Fix a use-after-free in cxl_parse_cfmws()
    (bsc#1012628).
  - cxl/acpi: Return 'rc' instead of '0' in cxl_parse_cfmws()
    (bsc#1012628).
  - ASoC: fsl_spdif: Silence output on stop (bsc#1012628).
  - block: Fix a source code comment in
    include/uapi/linux/blkzoned.h (bsc#1012628).
  - smb3: do not set NTLMSSP_VERSION flag for negotiate not auth
    request (bsc#1012628).
  - drm/i915: Fix an error handling path in igt_write_huge()
    (bsc#1012628).
  - xenbus: check xen_domain in xenbus_probe_initcall (bsc#1012628).
  - dm raid: fix missing reconfig_mutex unlock in raid_ctr()
    error paths (bsc#1012628).
  - dm raid: clean up four equivalent goto tags in raid_ctr()
    (bsc#1012628).
  - dm raid: protect md_stop() with 'reconfig_mutex' (bsc#1012628).
  - drm/amd: Fix an error handling mistake in psp_sw_init()
    (bsc#1012628).
  - drm/amd/display: Unlock on error path in
    dm_handle_mst_sideband_msg_ready_event() (bsc#1012628).
  - RDMA/irdma: Fix op_type reporting in CQEs (bsc#1012628).
  - RDMA/irdma: Report correct WC error (bsc#1012628).
  - drm/msm: Disallow submit with fence id 0 (bsc#1012628).
  - ublk: fail to start device if queue setup is interrupted
    (bsc#1012628).
  - ublk: fail to recover device if queue setup is interrupted
    (bsc#1012628).
  - ublk: return -EINTR if breaking from waiting for existed users
    in DEL_DEV (bsc#1012628).
  - iommufd: IOMMUFD_DESTROY should not increase the refcount
    (bsc#1012628).
  - tmpfs: fix Documentation of noswap and huge mount options
    (bsc#1012628).
  - ata: pata_ns87415: mark ns87560_tf_read static (bsc#1012628).
  - ring-buffer: Fix wrong stat of cpu_buffer->read (bsc#1012628).
  - tracing: Fix warning in trace_buffered_event_disable()
    (bsc#1012628).
  - Revert "usb: gadget: tegra-xudc: Fix error check in
    tegra_xudc_powerdomain_init()" (bsc#1012628).
  - usb: gadget: call usb_gadget_check_config() to verify UDC
    capability (bsc#1012628).
  - USB: gadget: Fix the memory leak in raw_gadget driver
    (bsc#1012628).
  - usb: gadget: core: remove unbalanced mutex_unlock in
    usb_gadget_activate (bsc#1012628).
  - KVM: Grab a reference to KVM for VM and vCPU stats file
    descriptors (bsc#1012628).
  - KVM: VMX: Don't fudge CR0 and CR4 for restricted L2 guest
    (bsc#1012628).
  - KVM: x86: Disallow KVM_SET_SREGS{2} if incoming CR0 is invalid
    (bsc#1012628).
  - serial: qcom-geni: drop bogus runtime pm state update
    (bsc#1012628).
  - tty: serial: sh-sci: Fix sleeping in atomic context
    (bsc#1012628).
  - serial: 8250_dw: Preserve original value of DLF register
    (bsc#1012628).
  - serial: sifive: Fix sifive_serial_console_setup() section
    (bsc#1012628).
  - USB: serial: option: support Quectel EM060K_128 (bsc#1012628).
  - USB: serial: option: add Quectel EC200A module support
    (bsc#1012628).
  - USB: serial: simple: add Kaufmann RKS+CAN VCP (bsc#1012628).
  - USB: serial: simple: sort driver entries (bsc#1012628).
  - can: gs_usb: gs_can_close(): add missing set of CAN state to
    CAN_STATE_STOPPED (bsc#1012628).
  - TIOCSTI: always enable for CAP_SYS_ADMIN (bsc#1012628).
  - usb: typec: Set port->pd before adding device for typec_port
    (bsc#1012628).
  - usb: typec: Iterate pds array when showing the pd list
    (bsc#1012628).
  - usb: typec: Use sysfs_emit_at when concatenating the string
    (bsc#1012628).
  - Revert "usb: dwc3: core: Enable AutoRetry feature in the
    controller" (bsc#1012628).
  - usb: dwc3: pci: skip BYT GPIO lookup table for hardwired phy
    (bsc#1012628).
  - usb: dwc3: don't reset device side if dwc3 was configured as
    host-only (bsc#1012628).
  - usb: misc: ehset: fix wrong if condition (bsc#1012628).
  - usb: ohci-at91: Fix the unhandle interrupt when resume
    (bsc#1012628).
  - USB: quirks: add quirk for Focusrite Scarlett (bsc#1012628).
  - usb: cdns3: fix incorrect calculation of ep_buf_size when more
    than one config (bsc#1012628).
  - usb: xhci-mtk: set the dma max_seg_size (bsc#1012628).
  - Revert "usb: xhci: tegra: Fix error check" (bsc#1012628).
  - Documentation: security-bugs.rst: update preferences when
    dealing with the linux-distros group (bsc#1012628).
  - Documentation: security-bugs.rst: clarify CVE handling
    (bsc#1012628).
  - staging: r8712: Fix memory leak in _r8712_init_xmit_priv()
    (bsc#1012628).
  - staging: ks7010: potential buffer overflow in
    ks_wlan_set_encode_ext() (bsc#1012628).
  - tty: n_gsm: fix UAF in gsm_cleanup_mux (bsc#1012628).
  - Revert "xhci: add quirk for host controllers that don't update
    endpoint DCS" (bsc#1012628).
  - ALSA: hda/realtek: Support ASUS G713PV laptop (bsc#1012628).
  - ALSA: hda/relatek: Enable Mute LED on HP 250 G8 (bsc#1012628).
  - hwmon: (k10temp) Enable AMD3255 Proc to show negative
    temperature (bsc#1012628).
  - hwmon: (nct7802) Fix for temp6 (PECI1) processed even if PECI1
    disabled (bsc#1012628).
  - hwmon: (aquacomputer_d5next) Fix incorrect PWM value readout
    (bsc#1012628).
  - hwmon: (pmbus_core) Fix pmbus_is_enabled() (bsc#1012628).
  - hwmon: (pmbus_core) Fix NULL pointer dereference (bsc#1012628).
  - hwmon: (pmbus_core) Fix Deadlock in pmbus_regulator_get_status
    (bsc#1012628).
  - btrfs: zoned: do not enable async discard (bsc#1012628).
  - btrfs: account block group tree when calculating global reserve
    size (bsc#1012628).
  - btrfs: check if the transaction was aborted at
    btrfs_wait_for_commit() (bsc#1012628).
  - btrfs: check for commit error at
    btrfs_attach_transaction_barrier() (bsc#1012628).
  - x86/MCE/AMD: Decrement threshold_bank refcount when removing
    threshold blocks (bsc#1012628).
  - x86/cpu: Enable STIBP on AMD if Automatic IBRS is enabled
    (bsc#1012628).
  - file: always lock position for FMODE_ATOMIC_POS (bsc#1012628).
  - nfsd: Remove incorrect check in nfsd4_validate_stateid
    (bsc#1012628).
  - ksmbd: check if a mount point is crossed during path lookup
    (bsc#1012628).
  - ACPI/IORT: Remove erroneous id_count check in
    iort_node_get_rmr_info() (bsc#1012628).
  - tpm_tis: Explicitly check for error code (bsc#1012628).
  - irq-bcm6345-l1: Do not assume a fixed block to cpu mapping
    (bsc#1012628).
  - irqchip/gic-v4.1: Properly lock VPEs when doing a directLPI
    invalidation (bsc#1012628).
  - locking/rtmutex: Fix task->pi_waiters integrity (bsc#1012628).
  - proc/vmcore: fix signedness bug in read_from_oldmem()
    (bsc#1012628).
  - xen: speed up grant-table reclaim (bsc#1012628).
  - virtio-net: fix race between set queues and probe (bsc#1012628).
  - net: ipa: only reset hashed tables when supported (bsc#1012628).
  - net: dsa: qca8k: enable use_single_write for qca8xxx
    (bsc#1012628).
  - net: dsa: qca8k: fix search_and_insert wrong handling of new
    rule (bsc#1012628).
  - net: dsa: qca8k: fix broken search_and_del (bsc#1012628).
  - net: dsa: qca8k: fix mdb add/del case with 0 VID (bsc#1012628).
  - io_uring: gate iowait schedule on having pending requests
    (bsc#1012628).
  - iommufd: Set end correctly when doing batch carry (bsc#1012628).
  - selftests: mptcp: join: only check for ip6tables if needed
    (bsc#1012628).
  - soundwire: fix enumeration completion (bsc#1012628).
  - Revert "um: Use swap() to make code cleaner" (bsc#1012628).
  - LoongArch: Fix return value underflow in exception path
    (bsc#1012628).
  - LoongArch: BPF: Fix check condition to call lu32id in move_imm()
    (bsc#1012628).
  - LoongArch: BPF: Enable bpf_probe_read{, str}() on LoongArch
    (bsc#1012628).
  - 9p: fix ignored return value in v9fs_dir_release (bsc#1012628).
  - fs/9p: remove unnecessary and overrestrictive check
    (bsc#1012628).
  - fs/9p: fix typo in comparison logic for cache mode
    (bsc#1012628).
  - fs/9p: fix type mismatch in file cache mode helper
    (bsc#1012628).
  - fs/9p: remove unnecessary invalidate_inode_pages2 (bsc#1012628).
  - s390/dasd: fix hanging device after quiesce/resume
    (bsc#1012628).
  - s390/dasd: print copy pair message only for the correct error
    (bsc#1012628).
  - mptcp: more accurate NL event generation (bsc#1012628).
  - ASoC: wm8904: Fill the cache for WM8904_ADC_TEST_0 register
    (bsc#1012628).
  - arm64/sme: Set new vector length before reallocating
    (bsc#1012628).
  - PM: sleep: wakeirq: fix wake irq arming (bsc#1012628).
  - thermal: of: fix double-free on unregistration (bsc#1012628).
  - ceph: never send metrics if disable_send_metrics is set
    (bsc#1012628).
  - drm/i915/dpt: Use shmem for dpt objects (bsc#1012628).
  - dm cache policy smq: ensure IO doesn't prevent cleaner policy
    progress (bsc#1012628).
  - rbd: make get_lock_owner_info() return a single locker or NULL
    (bsc#1012628).
  - rbd: harden get_lock_owner_info() a bit (bsc#1012628).
  - rbd: retrieve and check lock owner twice before blocklisting
    (bsc#1012628).
  - mm: lock VMA in dup_anon_vma() before setting ->anon_vma
    (bsc#1012628).
  - mm: fix memory ordering for mm_lock_seq and vm_lock_seq
    (bsc#1012628).
  - mm/memory-failure: fix hardware poison check in
    unpoison_memory() (bsc#1012628).
  - mm/mempolicy: Take VMA lock before replacing policy
    (bsc#1012628).
  - dma-buf: keep the signaling time of merged fences v3
    (bsc#1012628).
  - dma-buf: fix an error pointer vs NULL bug (bsc#1012628).
  - commit c24ac79
* Tue Aug 01 2023 jslaby@suse.cz
  - Refresh
    patches.suse/ALSA-usb-audio-Add-quirk-for-Microsoft-Modern-Wirele.patch.
    Update upstream status.
  - commit cba3fa8
* Sun Jul 30 2023 mkubecek@suse.cz
  - Update to 6.5-rc4
  - refresh configs
  - commit 2390421
* Sun Jul 30 2023 tiwai@suse.de
  - Revert "drm/edid: Fix csync detailed mode parsing"
    (bsc#1213693).
  - commit 8de9301
* Fri Jul 28 2023 tiwai@suse.de
  - Update MHI and ath11k hibernation fix patches (bsc#1207948)
    Upstream updated / cleaned up the patch set slightly
  - commit 5da2543
* Fri Jul 28 2023 msuchanek@suse.de
  - kernel-binary.spec.in: Remove superfluous %% in Supplements
    Fixes: 02b7735e0caf ("rpm/kernel-binary.spec.in: Add Enhances and Supplements tags to in-tree KMPs")
  - commit 264db74
* Thu Jul 27 2023 tiwai@suse.de
  - wifi: rtw89: Fix loading of compressed firmware (bsc#1212808).
  - commit 6cc40be
* Thu Jul 27 2023 tiwai@suse.de
  - ASoC: SOF: intel: hda: Clean up link DMA for IPC3 during stop
    (bsc#1213583).
  - commit e67f54f
* Thu Jul 27 2023 jslaby@suse.cz
  - Linux 6.4.7 (bsc#1012628).
  - io_uring: treat -EAGAIN for REQ_F_NOWAIT as final for io-wq
    (bsc#1012628).
  - ALSA: hda/realtek - remove 3k pull low procedure (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Clevo NS70AU (bsc#1012628).
  - ALSA: hda/realtek: Enable Mute LED on HP Laptop 15s-eq2xxx
    (bsc#1012628).
  - maple_tree: set the node limit when creating a new root node
    (bsc#1012628).
  - mm/mlock: fix vma iterator conversion of apply_vma_lock_flags()
    (bsc#1012628).
  - maple_tree: fix node allocation testing on 32 bit (bsc#1012628).
  - selftests/mm: mkdirty: fix incorrect position of #endif
    (bsc#1012628).
  - keys: Fix linking a duplicate key to a keyring's assoc_array
    (bsc#1012628).
  - prctl: move PR_GET_AUXV out of PR_MCE_KILL (bsc#1012628).
  - perf probe: Add test for regression introduced by switch to
    die_get_decl_file() (bsc#1012628).
  - perf probe: Read DWARF files from the correct CU (bsc#1012628).
  - btrfs: fix iput() on error pointer after error during orphan
    cleanup (bsc#1012628).
  - btrfs: fix warning when putting transaction with qgroups
    enabled after abort (bsc#1012628).
  - fuse: revalidate: don't invalidate if interrupted (bsc#1012628).
  - fuse: add feature flag for expire-only (bsc#1012628).
  - fuse: Apply flags2 only when userspace set the FUSE_INIT_EXT
    (bsc#1012628).
  - btrfs: raid56: always verify the P/Q contents for scrub
    (bsc#1012628).
  - btrfs: set_page_extent_mapped after read_folio in
    btrfs_cont_expand (bsc#1012628).
  - btrfs: fix double iput() on inode after an error during orphan
    cleanup (bsc#1012628).
  - btrfs: zoned: fix memory leak after finding block group with
    super blocks (bsc#1012628).
  - fuse: ioctl: translate ENOSYS in outarg (bsc#1012628).
  - btrfs: fix race between balance and cancel/pause (bsc#1012628).
  - selftests: tc: set timeout to 15 minutes (bsc#1012628).
  - accel/qaic: Fix a leak in map_user_pages() (bsc#1012628).
  - selftests: tc: add 'ct' action kconfig dep (bsc#1012628).
  - regmap: Drop initial version of maximum transfer length fixes
    (bsc#1012628).
  - s390/zcrypt: fix reply buffer calculations for CCA replies
    (bsc#1012628).
  - regmap: Account for register length in SMBus I/O limits
    (bsc#1012628).
  - ia64: mmap: Consider pgoff when searching for free mapping
    (bsc#1012628).
  - arm64/fpsimd: Ensure SME storage is allocated after SVE VL
    changes (bsc#1012628).
  - can: mcp251xfd: __mcp251xfd_chip_set_mode(): increase poll
    timeout (bsc#1012628).
  - can: bcm: Fix UAF in bcm_proc_show() (bsc#1012628).
  - can: gs_usb: gs_can_open(): improve error handling
    (bsc#1012628).
  - can: gs_usb: fix time stamp counter initialization
    (bsc#1012628).
  - selftests: tc: add ConnTrack procfs kconfig (bsc#1012628).
  - accel/qaic: tighten bounds checking in encode_message()
    (bsc#1012628).
  - accel/qaic: tighten bounds checking in decode_message()
    (bsc#1012628).
  - accel/qaic: Add consistent integer overflow checks
    (bsc#1012628).
  - dma-buf/dma-resv: Stop leaking on krealloc() failure
    (bsc#1012628).
  - drm/amdgpu/vkms: relax timer deactivation by
    hrtimer_try_to_cancel (bsc#1012628).
  - drm/amdgpu/pm: make gfxclock consistent for sienna cichlid
    (bsc#1012628).
  - drm/amdgpu/pm: make mclk consistent for smu 13.0.7
    (bsc#1012628).
  - drm/nouveau/disp: PIOR DP uses GPIO for HPD, not PMGR AUX
    interrupts (bsc#1012628).
  - drm/nouveau/kms/nv50-: init hpd_irq_lock for PIOR DP
    (bsc#1012628).
  - drm/nouveau/i2c: fix number of aux event slots (bsc#1012628).
  - drm/client: Fix memory leak in drm_client_target_cloned
    (bsc#1012628).
  - drm/client: Fix memory leak in drm_client_modeset_probe
    (bsc#1012628).
  - drm/amd/display: only accept async flips for fast updates
    (bsc#1012628).
  - drm/amd/display: Disable MPC split by default on special asic
    (bsc#1012628).
  - drm/amd/display: check TG is non-null before checking if enabled
    (bsc#1012628).
  - drm/amd/display: Keep PHY active for DP displays on DCN31
    (bsc#1012628).
  - ASoC: fsl_sai: Disable bit clock with transmitter (bsc#1012628).
  - ASoC: fsl_sai: Revert "ASoC: fsl_sai: Enable MCTL_MCLK_EN bit
    for master mode" (bsc#1012628).
  - ASoC: tegra: Fix ADX byte map (bsc#1012628).
  - ASoC: rt5640: Fix sleep in atomic context (bsc#1012628).
  - ASoC: cs42l51: fix driver to properly autoload with automatic
    module loading (bsc#1012628).
  - ASoC: codecs: wcd938x: fix missing clsh ctrl error handling
    (bsc#1012628).
  - ASoC: cs35l45: Select REGMAP_IRQ (bsc#1012628).
  - ASoC: codecs: wcd-mbhc-v2: fix resource leaks on component
    remove (bsc#1012628).
  - ASoC: qdsp6: audioreach: fix topology probe deferral
    (bsc#1012628).
  - ASoC: tegra: Fix AMX byte map (bsc#1012628).
  - ASoC: codecs: wcd938x: fix resource leaks on component remove
    (bsc#1012628).
  - ASoC: codecs: wcd938x: fix missing mbhc init error handling
    (bsc#1012628).
  - ASoC: codecs: wcd934x: fix resource leaks on component remove
    (bsc#1012628).
  - ASoC: codecs: wcd938x: fix codec initialisation race
    (bsc#1012628).
  - ASoC: codecs: wcd938x: fix soundwire initialisation race
    (bsc#1012628).
  - KVM: arm64: timers: Use CNTHCTL_EL2 when setting non-CNTKCTL_EL1
    bits (bsc#1012628).
  - KVM: arm64: Correctly handle page aging notifiers for unaligned
    memslot (bsc#1012628).
  - KVM: arm64: Disable preemption in kvm_arch_hardware_enable()
    (bsc#1012628).
  - KVM: arm64: vgic-v4: Make the doorbell request robust w.r.t
    preemption (bsc#1012628).
  - ext4: correct inline offset when handling xattrs in inode body
    (bsc#1012628).
  - drm/radeon: Fix integer overflow in radeon_cs_parser_init
    (bsc#1012628).
  - ALSA: emu10k1: roll up loops in DSP setup code for Audigy
    (bsc#1012628).
  - quota: Properly disable quotas when add_dquot_ref() fails
    (bsc#1012628).
  - quota: fix warning in dqgrab() (bsc#1012628).
  - HID: add quirk for 03f0:464a HP Elite Presenter Mouse
    (bsc#1012628).
  - ovl: check type and offset of struct vfsmount in ovl_entry
    (bsc#1012628).
  - udf: Fix uninitialized array access for some pathnames
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirks for ROG ALLY CS35l41 audio
    (bsc#1012628).
  - fs: jfs: Fix UBSAN: array-index-out-of-bounds in dbAllocDmapLev
    (bsc#1012628).
  - MIPS: dec: prom: Address -Warray-bounds warning (bsc#1012628).
  - FS: JFS: Fix null-ptr-deref Read in txBegin (bsc#1012628).
  - FS: JFS: Check for read-only mounted filesystem in txBegin
    (bsc#1012628).
  - md: fix data corruption for raid456 when reshape restart while
    grow up (bsc#1012628).
  - md/raid10: prevent soft lockup while flush writes (bsc#1012628).
  - scsi: sg: fix blktrace debugfs entries leakage (bsc#1012628).
  - blk-mq: fix NULL dereference on q->elevator in
    blk_mq_elv_switch_none (bsc#1012628).
  - posix-timers: Ensure timer ID search-loop limit is valid
    (bsc#1012628).
  - btrfs: add xxhash to fast checksum implementations
    (bsc#1012628).
  - btrfs: don't check PageError in __extent_writepage
    (bsc#1012628).
  - btrfs: abort transaction at update_ref_for_cow() when ref
    count is zero (bsc#1012628).
  - erofs: Fix detection of atomic context (bsc#1012628).
  - ACPI: x86: Add skip i2c clients quirk for Nextbook Ares 8A
    (bsc#1012628).
  - ACPI: button: Add lid disable DMI quirk for Nextbook Ares 8A
    (bsc#1012628).
  - ACPI: x86: Add ACPI_QUIRK_UART1_SKIP for Lenovo Yoga Book
    yb1-x90f/l (bsc#1012628).
  - ACPI: video: Add backlight=native DMI quirk for Apple iMac11,3
    (bsc#1012628).
  - ACPI: video: Add backlight=native DMI quirk for Lenovo ThinkPad
    X131e (3371 AMD version) (bsc#1012628).
  - ACPI: resource: Remove "Zen" specific match and quirks
    (bsc#1012628).
  - arm64: set __exception_irq_entry with __irq_entry as a default
    (bsc#1012628).
  - arm64: mm: fix VA-range sanity check (bsc#1012628).
  - ACPI: video: Add backlight=native DMI quirk for Dell Studio 1569
    (bsc#1012628).
  - rcu-tasks: Avoid pr_info() with spin lock in
    cblist_init_generic() (bsc#1012628).
  - rcu: Mark additional concurrent load from ->cpu_no_qs.b.exp
    (bsc#1012628).
  - tools/nolibc: ensure stack protector guard is never zero
    (bsc#1012628).
  - sched/fair: Don't balance task to its current running CPU
    (bsc#1012628).
  - wifi: ath11k: fix registration of 6Ghz-only phy without the
    full channel range (bsc#1012628).
  - bpf: Print a warning only if writing to
    unprivileged_bpf_disabled (bsc#1012628).
  - bpf: Address KCSAN report on bpf_lru_list (bsc#1012628).
  - spi: cadence-quadspi: Add compatible for AMD Pensando Elba SoC
    (bsc#1012628).
  - bpf: drop unnecessary user-triggerable WARN_ONCE in verifierl
    log (bsc#1012628).
  - bpf: tcp: Avoid taking fast sock lock in iterator (bsc#1012628).
  - wifi: rtw88: sdio: Check the HISR RX_REQUEST bit in
    rtw_sdio_rx_isr() (bsc#1012628).
  - bpf: Silence a warning in btf_type_id_size() (bsc#1012628).
  - devlink: make health report on unregistered instance warn just
    once (bsc#1012628).
  - wifi: ath11k: add support default regdb while searching
    board-2.bin for WCN6855 (bsc#1012628).
  - wifi: mac80211_hwsim: Fix possible NULL dereference
    (bsc#1012628).
  - spi: dw: Add compatible for Intel Mount Evans SoC (bsc#1012628).
  - wifi: ath12k: Avoid NULL pointer access during management
    transmit cleanup (bsc#1012628).
  - wifi: ath11k: fix memory leak in WMI firmware stats
    (bsc#1012628).
  - wifi: iwlwifi: mvm: fix potential array out of bounds access
    (bsc#1012628).
  - net: ethernet: litex: add support for 64 bit stats
    (bsc#1012628).
  - devlink: report devlink_port_type_warn source device
    (bsc#1012628).
  - wifi: iwlwifi: mvm: Add NULL check before dereferencing the
    pointer (bsc#1012628).
  - wifi: wext-core: Fix -Wstringop-overflow warning in
    ioctl_standard_iw_point() (bsc#1012628).
  - wifi: iwlwifi: Add support for new PCI Id (bsc#1012628).
  - wifi: iwlwifi: mvm: avoid baid size integer overflow
    (bsc#1012628).
  - wifi: iwlwifi: pcie: add device id 51F1 for killer 1675
    (bsc#1012628).
  - igb: Fix igb_down hung on surprise removal (bsc#1012628).
  - net: hns3: fix strncpy() not using dest-buf length as length
    issue (bsc#1012628).
  - ASoC: amd: acp: fix for invalid dai id handling in
    acp_get_byte_count() (bsc#1012628).
  - ASoC: codecs: wcd938x: fix mbhc impedance loglevel
    (bsc#1012628).
  - ASoC: codecs: wcd938x: fix dB range for HPHL and HPHR
    (bsc#1012628).
  - ASoC: qcom: q6apm: do not close GPR port before closing graph
    (bsc#1012628).
  - iov_iter: Mark copy_iovec_from_user() noclone (bsc#1012628).
  - sched/fair: Use recent_used_cpu to test p->cpus_ptr
    (bsc#1012628).
  - sched/psi: use kernfs polling functions for PSI trigger polling
    (bsc#1012628).
  - pinctrl: renesas: rzv2m: Handle non-unique subnode names
    (bsc#1012628).
  - pinctrl: renesas: rzg2l: Handle non-unique subnode names
    (bsc#1012628).
  - spi: bcm63xx: fix max prepend length (bsc#1012628).
  - fbdev: imxfb: warn about invalid left/right margin
    (bsc#1012628).
  - fbdev: imxfb: Removed unneeded release_mem_region (bsc#1012628).
  - perf build: Fix library not found error when using CSLIBS
    (bsc#1012628).
  - btrfs: be a bit more careful when setting mirror_num_ret in
    btrfs_map_block (bsc#1012628).
  - spi: s3c64xx: clear loopback bit after loopback test
    (bsc#1012628).
  - kallsyms: strip LTO-only suffixes from promoted global functions
    (bsc#1012628).
  - smb: client: fix missed ses refcounting (bsc#1012628).
  - arm64: Fix HFGxTR_EL2 field naming (bsc#1012628).
  - dsa: mv88e6xxx: Do a final check before timing out
    (bsc#1012628).
  - net: ethernet: ti: cpsw_ale: Fix
    cpsw_ale_get_field()/cpsw_ale_set_field() (bsc#1012628).
  - bridge: Add extack warning when enabling STP in netns
    (bsc#1012628).
  - net: ethernet: mtk_eth_soc: handle probe deferral (bsc#1012628).
  - gso: fix dodgy bit handling for GSO_UDP_L4 (bsc#1012628).
  - iommu/sva: Fix signedness bug in iommu_sva_alloc_pasid()
    (bsc#1012628).
  - cifs: fix mid leak during reconnection after timeout threshold
    (bsc#1012628).
  - ice: Unregister netdev and devlink_port only once (bsc#1012628).
  - ice: prevent NULL pointer deref during reload (bsc#1012628).
  - ASoC: SOF: ipc3-dtrace: uninitialized data in
    dfsentry_trace_filter_write() (bsc#1012628).
  - regulator: da9063: fix null pointer deref with partial DT config
    (bsc#1012628).
  - net: sched: cls_matchall: Undo tcf_bind_filter in case of
    failure after mall_set_parms (bsc#1012628).
  - net: sched: cls_u32: Undo tcf_bind_filter if
    u32_replace_hw_knode (bsc#1012628).
  - net: sched: cls_u32: Undo refcount decrement in case update
    failed (bsc#1012628).
  - net: sched: cls_bpf: Undo tcf_bind_filter in case of an error
    (bsc#1012628).
  - net: dsa: microchip: correct KSZ8795 static MAC table access
    (bsc#1012628).
  - drm/i915/perf: add sentinel to xehp_oa_b_counters (bsc#1012628).
  - iavf: Fix use-after-free in free_netdev (bsc#1012628).
  - iavf: Fix out-of-bounds when setting channels on remove
    (bsc#1012628).
  - iavf: use internal state to free traffic IRQs (bsc#1012628).
  - iavf: make functions static where possible (bsc#1012628).
  - iavf: Wait for reset in callbacks which trigger it
    (bsc#1012628).
  - iavf: fix a deadlock caused by rtnl and driver's lock circular
    dependencies (bsc#1012628).
  - iavf: fix reset task race with iavf_remove() (bsc#1012628).
  - security: keys: Modify mismatched function name (bsc#1012628).
  - vrf: Fix lockdep splat in output path (bsc#1012628).
  - octeontx2-pf: Dont allocate BPIDs for LBK interfaces
    (bsc#1012628).
  - bpf: Fix subprog idx logic in check_max_stack_depth
    (bsc#1012628).
  - bpf: Repeat check_max_stack_depth for async callbacks
    (bsc#1012628).
  - bpf, arm64: Fix BTI type used for freplace attached functions
    (bsc#1012628).
  - igc: Avoid transmit queue timeout for XDP (bsc#1012628).
  - igc: Prevent garbled TX queue with XDP ZEROCOPY (bsc#1012628).
  - net: ipv4: use consistent txhash in TIME_WAIT and SYN_RECV
    (bsc#1012628).
  - tcp: annotate data-races around tcp_rsk(req)->txhash
    (bsc#1012628).
  - tcp: annotate data-races around tcp_rsk(req)->ts_recent
    (bsc#1012628).
  - net: ipv4: Use kfree_sensitive instead of kfree (bsc#1012628).
  - net:ipv6: check return value of pskb_trim() (bsc#1012628).
  - Revert "tcp: avoid the lookup process failing to get sk in
    ehash table" (bsc#1012628).
  - net: ethernet: mtk_eth_soc: always mtk_get_ib1_pkt_type
    (bsc#1012628).
  - fbdev: au1200fb: Fix missing IRQ check in au1200fb_drv_probe
    (bsc#1012628).
  - llc: Don't drop packet from non-root netns (bsc#1012628).
  - ALSA: hda/realtek: Fix generic fixup definition for cs35l41 amp
    (bsc#1012628).
  - netfilter: nf_tables: fix spurious set element insertion failure
    (bsc#1012628).
  - netfilter: nf_tables: can't schedule in nft_chain_validate
    (bsc#1012628).
  - netfilter: nft_set_pipapo: fix improper element removal
    (bsc#1012628).
  - netfilter: nf_tables: skip bound chain in netns release path
    (bsc#1012628).
  - netfilter: nf_tables: skip bound chain on rule flush
    (bsc#1012628).
  - Bluetooth: use RCU for hci_conn_params and iterate safely in
    hci_sync (bsc#1012628).
  - Bluetooth: hci_event: call disconnect callback before deleting
    conn (bsc#1012628).
  - Bluetooth: ISO: fix iso_conn related locking and validity issues
    (bsc#1012628).
  - Bluetooth: hci_sync: Avoid use-after-free in dbg for
    hci_remove_adv_monitor() (bsc#1012628).
  - Bluetooth: hci_conn: return ERR_PTR instead of NULL when there
    is no link (bsc#1012628).
  - Bluetooth: SCO: fix sco_conn related locking and validity issues
    (bsc#1012628).
  - Bluetooth: btusb: Fix bluetooth on Intel Macbook 2014
    (bsc#1012628).
  - tcp: annotate data-races around tp->tcp_tx_delay (bsc#1012628).
  - tcp: annotate data-races around tp->tsoffset (bsc#1012628).
  - tcp: annotate data-races around tp->keepalive_time
    (bsc#1012628).
  - tcp: annotate data-races around tp->keepalive_intvl
    (bsc#1012628).
  - tcp: annotate data-races around tp->keepalive_probes
    (bsc#1012628).
  - tcp: annotate data-races around icsk->icsk_syn_retries
    (bsc#1012628).
  - tcp: annotate data-races around tp->linger2 (bsc#1012628).
  - tcp: annotate data-races around rskq_defer_accept (bsc#1012628).
  - tcp: annotate data-races around tp->notsent_lowat (bsc#1012628).
  - tcp: annotate data-races around icsk->icsk_user_timeout
    (bsc#1012628).
  - tcp: annotate data-races around fastopenq.max_qlen
    (bsc#1012628).
  - net: phy: prevent stale pointer dereference in phy_init()
    (bsc#1012628).
  - jbd2: recheck chechpointing non-dirty buffer (bsc#1012628).
  - kbuild: rust: avoid creating temporary files (bsc#1012628).
  - tracing/histograms: Return an error if we fail to add histogram
    to hist_vars list (bsc#1012628).
  - drm/ttm: fix bulk_move corruption when adding a entry
    (bsc#1012628).
  - spi: dw: Remove misleading comment for Mount Evans SoC
    (bsc#1012628).
  - scsi/sg: don't grab scsi host module reference (bsc#1012628).
  - scsi: sg: Fix checking return value of blk_get_queue()
    (bsc#1012628).
  - drm/amd/display: Clean up errors & warnings in amdgpu_dm.c
    (bsc#1012628).
  - drm/amd/display: Add polling method to handle MST reply packet
    (bsc#1012628).
  - Revert "drm/amd/display: edp do not add non-edid timings"
    (bsc#1012628).
  - commit 90999e4
* Wed Jul 26 2023 tiwai@suse.de
  - tpm/tpm_tis: Disable interrupts for TUXEDO InfinityBook S
    15/17 Gen7 (bsc#1213645).
  - commit 74a8144
* Wed Jul 26 2023 tiwai@suse.de
  - bus: mhi: host: add destroy_device argument to mhi_power_down()
    (bsc#1207948).
  - commit fad4ac5
* Wed Jul 26 2023 tiwai@suse.de
  - wifi: ath11k: remove MHI LOOPBACK channels (bsc#1207948).
  - wifi: ath11k: handle thermal device registeration together
    with MAC (bsc#1207948).
  - wifi: ath11k: handle irq enable/disable in several code path
    (bsc#1207948).
  - wifi: ath11k: add support for suspend in power down state
    (bsc#1207948).
  - bus: mhi: add new interfaces to handle MHI channels directly
    (bsc#1207948).
  - wifi: ath11k: remove MHI LOOPBACK channels (bsc#1207948).
  - wifi: ath11k: handle thermal device registeration together
    with MAC (bsc#1207948).
  - wifi: ath11k: handle irq enable/disable in several code path
    (bsc#1207948).
  - wifi: ath11k: add support for suspend in power down state
    (bsc#1207948).
  - bus: mhi: add new interfaces to handle MHI channels directly
    (bsc#1207948).
  - commit 5408d73
* Tue Jul 25 2023 tiwai@suse.de
  - ALSA: usb-audio: Add quirk for Microsoft Modern Wireless Headset
    (bsc#1207129).
  - commit 24fe873
* Tue Jul 25 2023 jslaby@suse.cz
  - Update
    patches.kernel.org/6.4.6-002-x86-cpu-amd-Add-a-Zenbleed-fix.patch
    (bsc#1012628 bsc#1213286 CVE-2023-20593).
    Add references.
  - commit 55520bc
* Tue Jul 25 2023 jslaby@suse.cz
  - Linux 6.4.6 (bsc#1012628).
  - x86/cpu/amd: Add a Zenbleed fix (bsc#1012628).
  - x86/cpu/amd: Move the errata checking functionality up
    (bsc#1012628).
  - commit cd14b53
* Mon Jul 24 2023 mkubecek@suse.cz
  - Delete patches.suse/Revert-io_uring-Adjust-mapping-wrt-architecture-alia.patch.
    As confirmed by Jiří Slabý, the issue should be fixed by mainline commit
    32832a407a71 ("io_uring: Fix io_uring mmap() by using architecture-provided
    get_unmapped_area()") present in 6.5-rc3 so that the revert is no longer
    needed.
  - commit c2a47b2
* Mon Jul 24 2023 jslaby@suse.cz
  - Update config files. (bsc#1213592)
    Disable old unmaintained serial drivers
  - commit 6254189
* Mon Jul 24 2023 jslaby@suse.cz
  - io_uring: Fix io_uring mmap() by using architecture-provided
    get_unmapped_area() (bsc#1212773).
  - Delete
    patches.suse/Revert-io_uring-Adjust-mapping-wrt-architecture-alia.patch.
    Replace the temporary fix by an upstream fix.
  - commit 2f220f8
* Mon Jul 24 2023 jslaby@suse.cz
  - Refresh
    patches.suse/of-Preserve-of-display-device-name-for-compatibility.patch.
    Update upstream status.
  - commit 8817ac3
* Mon Jul 24 2023 jslaby@suse.cz
  - Linux 6.4.5 (bsc#1012628).
  - security/integrity: fix pointer to ESL data and its size on
    pseries (bsc#1012628).
  - HID: input: fix mapping for camera access keys (bsc#1012628).
  - HID: amd_sfh: Rename the float32 variable (bsc#1012628).
  - HID: amd_sfh: Fix for shift-out-of-bounds (bsc#1012628).
  - net: lan743x: Don't sleep in atomic context (bsc#1012628).
  - net: lan743x: select FIXED_PHY (bsc#1012628).
  - ksmbd: add missing compound request handing in some commands
    (bsc#1012628).
  - ksmbd: fix out of bounds read in smb2_sess_setup (bsc#1012628).
  - drm/panel: simple: Add connector_type for innolux_at043tn24
    (bsc#1012628).
  - drm: bridge: dw_hdmi: fix connector access for scdc
    (bsc#1012628).
  - drm/bridge: ti-sn65dsi86: Fix auxiliary bus lifetime
    (bsc#1012628).
  - swiotlb: always set the number of areas before allocating the
    pool (bsc#1012628).
  - swiotlb: reduce the number of areas to match actual memory
    pool size (bsc#1012628).
  - drm/panel: simple: Add Powertip PH800480T013 drm_display_mode
    flags (bsc#1012628).
  - xen/virtio: Fix NULL deref when a bridge of PCI root bus has
    no parent (bsc#1012628).
  - netfilter: nf_tables: report use refcount overflow
    (bsc#1012628).
  - netfilter: conntrack: don't fold port numbers into addresses
    before hashing (bsc#1012628).
  - ice: Fix max_rate check while configuring TX rate limits
    (bsc#1012628).
  - ice: Fix tx queue rate limit when TCs are configured
    (bsc#1012628).
  - igc: Add condition for qbv_config_change_errors counter
    (bsc#1012628).
  - igc: Remove delay during TX ring configuration (bsc#1012628).
  - igc: Add igc_xdp_buff wrapper for xdp_buff in driver
    (bsc#1012628).
  - igc: Add XDP hints kfuncs for RX hash (bsc#1012628).
  - igc: Fix TX Hang issue when QBV Gate is closed (bsc#1012628).
  - net/mlx5e: fix double free in mlx5e_destroy_flow_table
    (bsc#1012628).
  - net/mlx5e: fix memory leak in mlx5e_fs_tt_redirect_any_create
    (bsc#1012628).
  - net/mlx5e: fix memory leak in mlx5e_ptp_open (bsc#1012628).
  - net/mlx5e: RX, Fix flush and close release flow of regular rq
    for legacy rq (bsc#1012628).
  - net/mlx5: Register a unique thermal zone per device
    (bsc#1012628).
  - net/mlx5e: Check for NOT_READY flag state after locking
    (bsc#1012628).
  - net/mlx5e: TC, CT: Offload ct clear only once (bsc#1012628).
  - net/mlx5: Query hca_cap_2 only when supported (bsc#1012628).
  - net/mlx5e: RX, Fix page_pool page fragment tracking for XDP
    (bsc#1012628).
  - igc: set TP bit in 'supported' and 'advertising' fields of
    ethtool_link_ksettings (bsc#1012628).
  - igc: Include the length/type field and VLAN tag in queueMaxSDU
    (bsc#1012628).
  - igc: Handle PPS start time programming for past time values
    (bsc#1012628).
  - blk-crypto: use dynamic lock class for blk_crypto_profile::lock
    (bsc#1012628).
  - scsi: qla2xxx: Fix error code in qla2x00_start_sp()
    (bsc#1012628).
  - scsi: ufs: ufs-mediatek: Add dependency for RESET_CONTROLLER
    (bsc#1012628).
  - bpf: Fix max stack depth check for async callbacks
    (bsc#1012628).
  - net: mvneta: fix txq_map in case of txq_number==1 (bsc#1012628).
  - net: dsa: felix: make vsc9959_tas_guard_bands_update() visible
    to ocelot->ops (bsc#1012628).
  - net: mscc: ocelot: fix oversize frame dropping for preemptible
    TCs (bsc#1012628).
  - net/sched: cls_fw: Fix improper refcount update leads to
    use-after-free (bsc#1012628).
  - gve: Set default duplex configuration to full (bsc#1012628).
  - drm/fbdev-dma: Fix documented default preferred_bpp value
    (bsc#1012628).
  - octeontx2-af: Promisc enable/disable through mbox (bsc#1012628).
  - octeontx2-af: Move validation of ptp pointer before its usage
    (bsc#1012628).
  - ionic: remove WARN_ON to prevent panic_on_warn (bsc#1012628).
  - udp6: add a missing call into udp_fail_queue_rcv_skb tracepoint
    (bsc#1012628).
  - net: bgmac: postpone turning IRQs off to avoid SoC hangs
    (bsc#1012628).
  - net: prevent skb corruption on frag list segmentation
    (bsc#1012628).
  - s390/ism: Fix locking for forwarding of IRQs and events to
    clients (bsc#1012628).
  - s390/ism: Fix and simplify add()/remove() callback handling
    (bsc#1012628).
  - s390/ism: Do not unregister clients with registered DMBs
    (bsc#1012628).
  - icmp6: Fix null-ptr-deref of ip6_null_entry->rt6i_idev in
    icmp6_dev() (bsc#1012628).
  - udp6: fix udp6_ehashfn() typo (bsc#1012628).
  - ntb: idt: Fix error handling in idt_pci_driver_init()
    (bsc#1012628).
  - NTB: amd: Fix error handling in amd_ntb_pci_driver_init()
    (bsc#1012628).
  - ntb: intel: Fix error handling in intel_ntb_pci_driver_init()
    (bsc#1012628).
  - NTB: ntb_transport: fix possible memory leak while
    device_register() fails (bsc#1012628).
  - NTB: ntb_tool: Add check for devm_kcalloc (bsc#1012628).
  - ipv6/addrconf: fix a potential refcount underflow for idev
    (bsc#1012628).
  - HID: hyperv: avoid struct memcpy overrun warning (bsc#1012628).
  - net: dsa: qca8k: Add check for skb_copy (bsc#1012628).
  - platform/x86: wmi: Break possible infinite loop when parsing
    GUID (bsc#1012628).
  - net/sched: taprio: replace tc_taprio_qopt_offload :: enable
    with a "cmd" enum (bsc#1012628).
  - igc: Rename qbv_enable to taprio_offload_enable (bsc#1012628).
  - igc: Do not enable taprio offload for invalid arguments
    (bsc#1012628).
  - igc: Handle already enabled taprio offload for basetime 0
    (bsc#1012628).
  - kernel/trace: Fix cleanup logic of enable_trace_eprobe
    (bsc#1012628).
  - fprobe: add unlock to match a succeeded
    ftrace_test_recursion_trylock (bsc#1012628).
  - igc: No strict mode in pure launchtime/CBS offload
    (bsc#1012628).
  - igc: Fix launchtime before start of cycle (bsc#1012628).
  - igc: Fix inserting of empty frame for launchtime (bsc#1012628).
  - nvme: fix the NVME_ID_NS_NVM_STS_MASK definition (bsc#1012628).
  - openrisc: Union fpcsr and oldmask in sigcontext to unbreak
    userspace ABI (bsc#1012628).
  - riscv, bpf: Fix inconsistent JIT image generation (bsc#1012628).
  - net: fec: remove useless fec_enet_reset_skb() (bsc#1012628).
  - net: fec: remove last_bdp from fec_enet_txq_xmit_frame()
    (bsc#1012628).
  - net: fec: recycle pages for transmitted XDP frames
    (bsc#1012628).
  - net: fec: increase the size of tx ring and update
    tx_wake_threshold (bsc#1012628).
  - drm/i915: Don't preserve dpll_hw_state for slave crtc in
    Bigjoiner (bsc#1012628).
  - drm/i915: Fix one wrong caching mode enum usage (bsc#1012628).
  - net: dsa: Removed unneeded of_node_put in felix_parse_ports_node
    (bsc#1012628).
  - octeontx2-pf: Add additional check for MCAM rules (bsc#1012628).
  - erofs: avoid useless loops in z_erofs_pcluster_readmore()
    when reading beyond EOF (bsc#1012628).
  - erofs: avoid infinite loop in z_erofs_do_read_page() when
    reading beyond EOF (bsc#1012628).
  - erofs: fix fsdax unavailability for chunk-based regular files
    (bsc#1012628).
  - wifi: airo: avoid uninitialized warning in airo_get_rate()
    (bsc#1012628).
  - bpf: cpumap: Fix memory leak in cpu_map_update_elem
    (bsc#1012628).
  - xdp: use trusted arguments in XDP hints kfuncs (bsc#1012628).
  - net/sched: flower: Ensure both minimum and maximum ports are
    specified (bsc#1012628).
  - riscv: mm: fix truncation warning on RV32 (bsc#1012628).
  - drm/nouveau/disp: fix HDMI on gt215+ (bsc#1012628).
  - drm/nouveau/disp/g94: enable HDMI (bsc#1012628).
  - netdevsim: fix uninitialized data in
    nsim_dev_trap_fa_cookie_write() (bsc#1012628).
  - drm/nouveau/acr: Abort loading ACR if no firmware was found
    (bsc#1012628).
  - drm/nouveau: bring back blit subchannel for pre nv50 GPUs
    (bsc#1012628).
  - net/sched: make psched_mtu() RTNL-less safe (bsc#1012628).
  - net: txgbe: fix eeprom calculation error (bsc#1012628).
  - wifi: rtw89: debug: fix error code in
    rtw89_debug_priv_send_h2c_set() (bsc#1012628).
  - net/sched: sch_qfq: reintroduce lmax bound check for MTU
    (bsc#1012628).
  - net/sched: sch_qfq: account for stab overhead in qfq_enqueue
    (bsc#1012628).
  - nvme-pci: fix DMA direction of unmapping integrity data
    (bsc#1012628).
  - smb: client: improve DFS mount check (bsc#1012628).
  - cifs: fix session state check in smb2_find_smb_ses
    (bsc#1012628).
  - smb: client: fix parsing of source mount option (bsc#1012628).
  - drm/client: Send hotplug event after registering a client
    (bsc#1012628).
  - f2fs: don't reset unchangable mount option in f2fs_remount()
    (bsc#1012628).
  - f2fs: fix deadlock in i_xattr_sem and inode page lock
    (bsc#1012628).
  - kbuild: make modules_install copy modules.builtin(.modinfo)
    (bsc#1012628).
  - tpm: Do not remap from ACPI resources again for Pluton TPM
    (bsc#1012628).
  - tpm: tpm_vtpm_proxy: fix a race condition in /dev/vtpmx creation
    (bsc#1012628).
  - tpm: tpm_tis: Disable interrupts *only* for AEON UPX-i11
    (bsc#1012628).
  - tpm: tis_i2c: Limit read bursts to I2C_SMBUS_BLOCK_MAX (32)
    bytes (bsc#1012628).
  - tpm/tpm_tis: Disable interrupts for Framework Laptop Intel
    12th gen (bsc#1012628).
  - tpm: tis_i2c: Limit write bursts to I2C_SMBUS_BLOCK_MAX (32)
    bytes (bsc#1012628).
  - tpm: return false from tpm_amd_is_rng_defective on non-x86
    platforms (bsc#1012628).
  - tpm/tpm_tis: Disable interrupts for Framework Laptop Intel
    13th gen (bsc#1012628).
  - tpm,tpm_tis: Disable interrupts after 1000 unhandled IRQs
    (bsc#1012628).
  - tpm/tpm_tis: Disable interrupts for Lenovo L590 devices
    (bsc#1012628).
  - mtd: rawnand: meson: fix unaligned DMA buffers handling
    (bsc#1012628).
  - net: bcmgenet: Ensure MDIO unregistration has clocks enabled
    (bsc#1012628).
  - net: phy: dp83td510: fix kernel stall during netboot in
    DP83TD510E PHY driver (bsc#1012628).
  - kasan: add kasan_tag_mismatch prototype (bsc#1012628).
  - kasan: use internal prototypes matching gcc-13 builtins
    (bsc#1012628).
  - kasan, slub: fix HW_TAGS zeroing with slub_debug (bsc#1012628).
  - kasan: fix type cast in memory_is_poisoned_n (bsc#1012628).
  - tracing/user_events: Fix incorrect return value for writing
    operation when events are disabled (bsc#1012628).
  - powerpc: Fail build if using recordmcount with binutils v2.37
    (bsc#1012628).
  - misc: fastrpc: Create fastrpc scalar with correct buffer count
    (bsc#1012628).
  - powerpc/security: Fix Speculation_Store_Bypass reporting on
    Power10 (bsc#1012628).
  - powerpc/64s: Fix native_hpte_remove() to be irq-safe
    (bsc#1012628).
  - MIPS: cpu-features: Use boot_cpu_type for CPU type based
    features (bsc#1012628).
  - MIPS: Loongson: Fix cpu_probe_loongson() again (bsc#1012628).
  - MIPS: Loongson: Fix build error when make modules_install
    (bsc#1012628).
  - MIPS: KVM: Fix NULL pointer dereference (bsc#1012628).
  - ext4: Fix reusing stale buffer heads from last failed mounting
    (bsc#1012628).
  - ext4: fix wrong unit use in ext4_mb_clear_bb (bsc#1012628).
  - ext4: get block from bh in ext4_free_blocks for fast commit
    replay (bsc#1012628).
  - ext4: fix wrong unit use in ext4_mb_new_blocks (bsc#1012628).
  - ext4: avoid updating the superblock on a r/o mount if not needed
    (bsc#1012628).
  - ext4: fix to check return value of freeze_bdev() in
    ext4_shutdown() (bsc#1012628).
  - ext4: turn quotas off if mount failed after enabling quotas
    (bsc#1012628).
  - ext4: only update i_reserved_data_blocks on successful block
    allocation (bsc#1012628).
  - fs: dlm: revert check required context while close
    (bsc#1012628).
  - mm/mmap: Fix error return in do_vmi_align_munmap()
    (bsc#1012628).
  - soc: qcom: mdt_loader: Fix unconditional call to
    scm_pas_mem_setup (bsc#1012628).
  - ext2/dax: Fix ext2_setsize when len is page aligned
    (bsc#1012628).
  - jfs: jfs_dmap: Validate db_l2nbperpage while mounting
    (bsc#1012628).
  - arm64: dts: mt7986: use size of reserved partition for bl2
    (bsc#1012628).
  - arm64: dts: ti: k3-j721s2: Fix wkup pinmux range (bsc#1012628).
  - hwrng: imx-rngc - fix the timeout for init and self check
    (bsc#1012628).
  - dm integrity: reduce vmalloc space footprint on 32-bit
    architectures (bsc#1012628).
  - scsi: mpi3mr: Propagate sense data for admin queue SCSI I/O
    (bsc#1012628).
  - s390/zcrypt: do not retry administrative requests (bsc#1012628).
  - PCI/PM: Avoid putting EloPOS E2/S2/H2 PCIe Ports in D3cold
    (bsc#1012628).
  - PCI: Release resource invalidated by coalescing (bsc#1012628).
  - PCI: Add function 1 DMA alias quirk for Marvell 88SE9235
    (bsc#1012628).
  - PCI: qcom: Disable write access to read only registers for IP
    v2.3.3 (bsc#1012628).
  - PCI: epf-test: Fix DMA transfer completion initialization
    (bsc#1012628).
  - PCI: epf-test: Fix DMA transfer completion detection
    (bsc#1012628).
  - PCI: rockchip: Assert PCI Configuration Enable bit after probe
    (bsc#1012628).
  - PCI: rockchip: Write PCI Device ID to correct register
    (bsc#1012628).
  - PCI: rockchip: Add poll and timeout to wait for PHY PLLs to
    be locked (bsc#1012628).
  - PCI: rockchip: Fix legacy IRQ generation for RK3399 PCIe
    endpoint core (bsc#1012628).
  - PCI: rockchip: Use u32 variable to access 32-bit registers
    (bsc#1012628).
  - PCI: rockchip: Set address alignment for endpoint mode
    (bsc#1012628).
  - misc: pci_endpoint_test: Free IRQs before removing the device
    (bsc#1012628).
  - misc: pci_endpoint_test: Re-init completion for every test
    (bsc#1012628).
  - mfd: pm8008: Fix module autoloading (bsc#1012628).
  - md/raid0: add discard support for the 'original' layout
    (bsc#1012628).
  - fs: dlm: return positive pid value for F_GETLK (bsc#1012628).
  - fs: dlm: fix cleanup pending ops when interrupted (bsc#1012628).
  - fs: dlm: interrupt posix locks only when process is killed
    (bsc#1012628).
  - fs: dlm: make F_SETLK use unkillable wait_event (bsc#1012628).
  - fs: dlm: fix mismatch of plock results from userspace
    (bsc#1012628).
  - fs: dlm: clear pending bit when queue was empty (bsc#1012628).
  - fs: dlm: fix missing pending to false (bsc#1012628).
  - scsi: lpfc: Fix double free in lpfc_cmpl_els_logo_acc() caused
    by lpfc_nlp_not_used() (bsc#1012628).
  - drm/atomic: Allow vblank-enabled + self-refresh "disable"
    (bsc#1012628).
  - drm/rockchip: vop: Leave vblank enabled in self-refresh
    (bsc#1012628).
  - drm/dp_mst: Clear MSG_RDY flag before sending new message
    (bsc#1012628).
  - drm/amd/display: Limit DCN32 8 channel or less parts to DPM1
    for FPO (bsc#1012628).
  - drm/amd/display: Fix in secure display context creation
    (bsc#1012628).
  - drm/amd/display: fix seamless odm transitions (bsc#1012628).
  - drm/amd/display: edp do not add non-edid timings (bsc#1012628).
  - drm/amd/display: Remove Phantom Pipe Check When Calculating
    K1 and K2 (bsc#1012628).
  - drm/amd/display: Correct `DMUB_FW_VERSION` macro (bsc#1012628).
  - drm/amd/display: Add monitor specific edid quirk (bsc#1012628).
  - drm/amdgpu: avoid restore process run into dead loop
    (bsc#1012628).
  - drm/amd/pm: fix smu i2c data read risk (bsc#1012628).
  - drm/ttm: Don't leak a resource on eviction error (bsc#1012628).
  - drm/ttm: Don't leak a resource on swapout move error
    (bsc#1012628).
  - serial: atmel: don't enable IRQs prematurely (bsc#1012628).
  - tty: serial: samsung_tty: Fix a memory leak in
    s3c24xx_serial_getclk() in case of error (bsc#1012628).
  - tty: serial: samsung_tty: Fix a memory leak in
    s3c24xx_serial_getclk() when iterating clk (bsc#1012628).
  - tty: serial: imx: fix rs485 rx after tx (bsc#1012628).
  - tty: fix hang on tty device with no_room set (bsc#1012628).
  - firmware: stratix10-svc: Fix a potential resource leak in
    svc_create_memory_pool() (bsc#1012628).
  - libceph: harden msgr2.1 frame segment length checks
    (bsc#1012628).
  - ceph: add a dedicated private data for netfs rreq (bsc#1012628).
  - ceph: fix blindly expanding the readahead windows (bsc#1012628).
  - ceph: don't let check_caps skip sending responses for revoke
    msgs (bsc#1012628).
  - nfp: clean mc addresses in application firmware when closing
    port (bsc#1012628).
  - arm64: errata: Mitigate Ampere1 erratum AC03_CPU_38 at stage-2
    (bsc#1012628).
  - xhci: Fix resume issue of some ZHAOXIN hosts (bsc#1012628).
  - xhci: Fix TRB prefetch issue of ZHAOXIN hosts (bsc#1012628).
  - xhci: Show ZHAOXIN xHCI root hub speed correctly (bsc#1012628).
  - meson saradc: fix clock divider mask length (bsc#1012628).
  - opp: Fix use-after-free in lazy_opp_tables after probe deferral
    (bsc#1012628).
  - soundwire: qcom: fix storing port config out-of-bounds
    (bsc#1012628).
  - media: uapi: Fix [GS]_ROUTING ACTIVE flag value (bsc#1012628).
  - Revert "8250: add support for ASIX devices with a FIFO bug"
    (bsc#1012628).
  - bus: ixp4xx: fix IXP4XX_EXP_T1_MASK (bsc#1012628).
  - s390/decompressor: fix misaligned symbol build error
    (bsc#1012628).
  - dm: verity-loadpin: Add NULL pointer check for 'bdev' parameter
    (bsc#1012628).
  - tracing/histograms: Add histograms to hist_vars if they have
    referenced variables (bsc#1012628).
  - tracing: Fix memory leak of iter->temp when reading trace_pipe
    (bsc#1012628).
  - nvme: don't reject probe due to duplicate IDs for single-ported
    PCIe devices (bsc#1012628).
  - samples: ftrace: Save required argument registers in sample
    trampolines (bsc#1012628).
  - perf: RISC-V: Remove PERF_HES_STOPPED flag checking in
    riscv_pmu_start() (bsc#1012628).
  - regmap-irq: Fix out-of-bounds access when allocating config
    buffers (bsc#1012628).
  - net: ena: fix shift-out-of-bounds in exponential backoff
    (bsc#1012628).
  - ring-buffer: Fix deadloop issue on reading trace_pipe
    (bsc#1012628).
  - ftrace: Fix possible warning on checking all pages used in
    ftrace_process_locs() (bsc#1012628).
  - drm/amd/pm: share the code around SMU13 pcie parameters update
    (bsc#1012628).
  - drm/amd/pm: conditionally disable pcie lane/speed switching
    for SMU13 (bsc#1012628).
  - cifs: if deferred close is disabled then close files immediately
    (bsc#1012628).
  - xtensa: ISS: fix call to split_if_spec (bsc#1012628).
  - perf/x86: Fix lockdep warning in for_each_sibling_event()
    on SPR (bsc#1012628).
  - PM: QoS: Restore support for default value on frequency QoS
    (bsc#1012628).
  - pwm: meson: modify and simplify calculation in
    meson_pwm_get_state (bsc#1012628).
  - pwm: meson: fix handling of period/duty if greater than UINT_MAX
    (bsc#1012628).
  - accel/ivpu: Fix VPU register access in irq disable
    (bsc#1012628).
  - accel/ivpu: Clear specific interrupt status bits on C0
    (bsc#1012628).
  - fprobe: Release rethook after the ftrace_ops is unregistered
    (bsc#1012628).
  - fprobe: Ensure running fprobe_exit_handler() finished before
    calling rethook_free() (bsc#1012628).
  - tracing: Fix null pointer dereference in tracing_err_log_open()
    (bsc#1012628).
  - mptcp: do not rely on implicit state check in mptcp_listen()
    (bsc#1012628).
  - mptcp: ensure subflow is unhashed before cleaning the backlog
    (bsc#1012628).
  - selftests: mptcp: sockopt: use 'iptables-legacy' if available
    (bsc#1012628).
  - selftests: mptcp: connect: fail if nft supposed to work
    (bsc#1012628).
  - selftests: mptcp: sockopt: return error if wrong mark
    (bsc#1012628).
  - selftests: mptcp: userspace_pm: use correct server port
    (bsc#1012628).
  - selftests: mptcp: userspace_pm: report errors with 'remove'
    tests (bsc#1012628).
  - selftests: mptcp: depend on SYN_COOKIES (bsc#1012628).
  - selftests: mptcp: pm_nl_ctl: fix 32-bit support (bsc#1012628).
  - smb: client: Fix -Wstringop-overflow issues (bsc#1012628).
  - tracing/probes: Fix to avoid double count of the string length
    on the array (bsc#1012628).
  - tracing/probes: Fix not to count error code to total length
    (bsc#1012628).
  - tracing/probes: Fix to update dynamic data counter if fetcharg
    uses it (bsc#1012628).
  - Revert "tracing: Add "(fault)" name injection to kernel probes"
    (bsc#1012628).
  - tracing/probes: Fix to record 0-length data_loc in
    fetch_store_string*() if fails (bsc#1012628).
  - tracing/user_events: Fix struct arg size match check
    (bsc#1012628).
  - scsi: qla2xxx: Multi-que support for TMF (bsc#1012628).
  - scsi: qla2xxx: Fix task management cmd failure (bsc#1012628).
  - scsi: qla2xxx: Fix task management cmd fail due to unavailable
    resource (bsc#1012628).
  - scsi: qla2xxx: Fix hang in task management (bsc#1012628).
  - scsi: qla2xxx: Wait for io return on terminate rport
    (bsc#1012628).
  - scsi: qla2xxx: Fix mem access after free (bsc#1012628).
  - scsi: qla2xxx: Array index may go out of bound (bsc#1012628).
  - scsi: qla2xxx: Avoid fcport pointer dereference (bsc#1012628).
  - scsi: qla2xxx: Fix buffer overrun (bsc#1012628).
  - scsi: qla2xxx: Fix potential NULL pointer dereference
    (bsc#1012628).
  - scsi: qla2xxx: Check valid rport returned by fc_bsg_to_rport()
    (bsc#1012628).
  - scsi: qla2xxx: Correct the index of array (bsc#1012628).
  - scsi: qla2xxx: Pointer may be dereferenced (bsc#1012628).
  - scsi: qla2xxx: Remove unused nvme_ls_waitq wait queue
    (bsc#1012628).
  - scsi: qla2xxx: Fix end of loop test (bsc#1012628).
  - net: dsa: ocelot: unlock on error in vsc9959_qos_port_tas_set()
    (bsc#1012628).
  - MIPS: kvm: Fix build error with KVM_MIPS_DEBUG_COP0_COUNTERS
    enabled (bsc#1012628).
  - Revert "drm/amd: Disable PSR-SU on Parade 0803 TCON"
    (bsc#1012628).
  - drm/atomic: Fix potential use-after-free in nonblocking commits
    (bsc#1012628).
  - net/ncsi: make one oem_gma function for all mfr id
    (bsc#1012628).
  - net/ncsi: change from ndo_set_mac_address to dev_set_mac_address
    (bsc#1012628).
  - Update config files. Set CONFIG_AMPERE_ERRATUM_AC03_CPU_38=y (the
    default)
  - commit 6282d80
* Mon Jul 24 2023 mkubecek@suse.cz
  - Update to 6.5-rc2
  - disable
    patches.suse/Revert-io_uring-Adjust-mapping-wrt-architecture-alia.patch
  - commit de7235b
* Sun Jul 23 2023 schwab@suse.de
  - rpm/mkspec-dtb: add riscv64 dtb-thead subpackage
  - commit 5f4d0a7
* Sun Jul 23 2023 schwab@suse.de
  - rpm/mkspec-dtb: add riscv64 dtb-allwinner subpackage
  - commit ec82ffc
* Sun Jul 23 2023 tiwai@suse.de
  - Revert "r8169: disable ASPM during NAPI poll" (bsc#1213491).
  - r8169: revert 2ab19de62d67 ("r8169: remove ASPM restrictions
    now that ASPM is disabled during NAPI poll") (bsc#1213491).
  - r8169: fix ASPM-related problem for chip version 42 and 43
    (bsc#1213491).
  - commit 8d5ae5f
* Thu Jul 20 2023 jslaby@suse.cz
  - Linux 6.4.4 (bsc#1012628).
  - start_kernel: Add __no_stack_protector function attribute
    (bsc#1012628).
  - USB: serial: option: add LARA-R6 01B PIDs (bsc#1012628).
  - usb: dwc3: gadget: Propagate core init errors to UDC during
    pullup (bsc#1012628).
  - phy: tegra: xusb: Clear the driver reference in usb-phy dev
    (bsc#1012628).
  - extcon: usbc-tusb320: Unregister typec port on driver removal
    (bsc#1012628).
  - dt-bindings: iio: ad7192: Add mandatory reference voltage source
    (bsc#1012628).
  - iio: addac: ad74413: don't set DIN_SINK for functions other
    than digital input (bsc#1012628).
  - iio: adc: ad7192: Fix null ad7192_state pointer access
    (bsc#1012628).
  - iio: adc: ad7192: Fix internal/external clock selection
    (bsc#1012628).
  - iio: accel: fxls8962af: errata bug only applicable for
    FXLS8962AF (bsc#1012628).
  - iio: accel: fxls8962af: fixup buffer scan element type
    (bsc#1012628).
  - Revert "drm/amd/display: edp do not add non-edid timings"
    (bsc#1012628).
  - fs: pipe: reveal missing function protoypes (bsc#1012628).
  - s390/kasan: fix insecure W+X mapping warning (bsc#1012628).
  - blk-mq: don't queue plugged passthrough requests into scheduler
    (bsc#1012628).
  - block: Fix the type of the second bdev_op_is_zoned_write()
    argument (bsc#1012628).
  - block/rq_qos: protect rq_qos apis with a new lock (bsc#1012628).
  - splice: Fix filemap_splice_read() to use the correct inode
    (bsc#1012628).
  - erofs: kill hooked chains to avoid loops on deduplicated
    compressed images (bsc#1012628).
  - x86/resctrl: Only show tasks' pid in current pid namespace
    (bsc#1012628).
  - fsverity: use shash API instead of ahash API (bsc#1012628).
  - fsverity: don't use bio_first_page_all() in
    fsverity_verify_bio() (bsc#1012628).
  - blk-iocost: use spin_lock_irqsave in adjust_inuse_and_calc_cost
    (bsc#1012628).
  - x86/sev: Fix calculation of end address based on number of pages
    (bsc#1012628).
  - blk-cgroup: Reinit blkg_iostat_set after clearing in
    blkcg_reset_stats() (bsc#1012628).
  - virt: sevguest: Add CONFIG_CRYPTO dependency (bsc#1012628).
  - blk-mq: fix potential io hang by wrong 'wake_batch'
    (bsc#1012628).
  - lockd: drop inappropriate svc_get() from locked_get()
    (bsc#1012628).
  - nvme-core: fix memory leak in dhchap_secret_store (bsc#1012628).
  - nvme-core: fix memory leak in dhchap_ctrl_secret (bsc#1012628).
  - nvme-core: add missing fault-injection cleanup (bsc#1012628).
  - nvme-core: fix dev_pm_qos memleak (bsc#1012628).
  - md/raid10: check slab-out-of-bounds in md_bitmap_get_counter
    (bsc#1012628).
  - md/raid10: fix overflow of md/safe_mode_delay (bsc#1012628).
  - md/raid10: fix wrong setting of max_corr_read_errors
    (bsc#1012628).
  - md/raid10: fix null-ptr-deref of mreplace in raid10_sync_request
    (bsc#1012628).
  - md/raid10: fix io loss while replacement replace rdev
    (bsc#1012628).
  - md/raid1-10: factor out a helper to add bio to plug
    (bsc#1012628).
  - md/raid1-10: factor out a helper to submit normal write
    (bsc#1012628).
  - md/raid1-10: submit write io directly if bitmap is not enabled
    (bsc#1012628).
  - block: fix blktrace debugfs entries leakage (bsc#1012628).
  - irqchip/loongson-eiointc: Fix irq affinity setting during resume
    (bsc#1012628).
  - splice: don't call file_accessed in copy_splice_read
    (bsc#1012628).
  - irqchip/stm32-exti: Fix warning on initialized field overwritten
    (bsc#1012628).
  - irqchip/jcore-aic: Fix missing allocation of IRQ descriptors
    (bsc#1012628).
  - svcrdma: Prevent page release when nothing was received
    (bsc#1012628).
  - erofs: fix compact 4B support for 16k block size (bsc#1012628).
  - posix-timers: Prevent RT livelock in itimer_delete()
    (bsc#1012628).
  - tick/rcu: Fix bogus ratelimit condition (bsc#1012628).
  - tracing/timer: Add missing hrtimer modes to
    decode_hrtimer_mode() (bsc#1012628).
  - btrfs: always read the entire extent_buffer (bsc#1012628).
  - btrfs: don't use btrfs_bio_ctrl for extent buffer reading
    (bsc#1012628).
  - btrfs: return bool from lock_extent_buffer_for_io (bsc#1012628).
  - btrfs: submit a writeback bio per extent_buffer (bsc#1012628).
  - btrfs: fix range_end calculation in extent_write_locked_range
    (bsc#1012628).
  - btrfs: don't fail writeback when allocating the compression
    context fails (bsc#1012628).
  - btrfs: only call __extent_writepage_io from
    extent_write_locked_range (bsc#1012628).
  - btrfs: don't treat zoned writeback as being from an async
    helper thread (bsc#1012628).
  - btrfs: fix file_offset for REQ_BTRFS_ONE_ORDERED bios that
    get split (bsc#1012628).
  - blk-mq: don't insert passthrough request into sw queue
    (bsc#1012628).
  - clocksource/drivers/cadence-ttc: Fix memory leak in
    ttc_timer_probe (bsc#1012628).
  - PM: domains: fix integer overflow issues in genpd_parse_state()
    (bsc#1012628).
  - perf/arm-cmn: Fix DTC reset (bsc#1012628).
  - drivers/perf: apple_m1: Force 63bit counters for M2 CPUs
    (bsc#1012628).
  - x86/mm: Allow guest.enc_status_change_prepare() to fail
    (bsc#1012628).
  - x86/tdx: Fix race between set_memory_encrypted() and
    load_unaligned_zeropad() (bsc#1012628).
  - drivers/perf: hisi: Don't migrate perf to the CPU going to
    teardown (bsc#1012628).
  - perf: arm_cspmu: Set irq affinitiy only if overflow interrupt
    is used (bsc#1012628).
  - perf/arm_cspmu: Fix event attribute type (bsc#1012628).
  - APEI: GHES: correctly return NULL for ghes_get_devices()
    (bsc#1012628).
  - powercap: RAPL: fix invalid initialization for pl4_supported
    field (bsc#1012628).
  - powercap: RAPL: Fix CONFIG_IOSF_MBI dependency (bsc#1012628).
  - PM: domains: Move the verification of in-params from
    genpd_add_device() (bsc#1012628).
  - ARM: 9303/1: kprobes: avoid missing-declaration warnings
    (bsc#1012628).
  - cpufreq: intel_pstate: Fix energy_performance_preference for
    passive (bsc#1012628).
  - thermal/drivers/qcom/tsens-v0_1: Add support for MSM8226
    (bsc#1012628).
  - thermal/drivers/qcom/tsens-v0_1: Fix mdm9607 slope values
    (bsc#1012628).
  - thermal/drivers/qcom/tsens-v0_1: Add mdm9607 correction offsets
    (bsc#1012628).
  - thermal/drivers/sun8i: Fix some error handling paths in
    sun8i_ths_probe() (bsc#1012628).
  - thermal/drivers/qoriq: Only enable supported sensors
    (bsc#1012628).
  - kunit: tool: undo type subscripts for subprocess.Popen
    (bsc#1012628).
  - rcu: Make rcu_cpu_starting() rely on interrupts being disabled
    (bsc#1012628).
  - rcu-tasks: Stop rcu_tasks_invoke_cbs() from using never-onlined
    CPUs (bsc#1012628).
  - rcutorture: Correct name of use_softirq module parameter
    (bsc#1012628).
  - rcu/rcuscale: Move rcu_scale_*() after kfree_scale_cleanup()
    (bsc#1012628).
  - rcu/rcuscale: Stop kfree_scale_thread thread(s) after unloading
    rcuscale (bsc#1012628).
  - x86/mtrr: Remove physical address size calculation
    (bsc#1012628).
  - x86/mtrr: Support setting MTRR state for software defined MTRRs
    (bsc#1012628).
  - x86/hyperv: Set MTRR state when running as SEV-SNP Hyper-V guest
    (bsc#1012628).
  - x86/mtrr: Replace size_or_mask and size_and_mask with a much
    easier concept (bsc#1012628).
  - x86/xen: Set MTRR state when running as Xen PV initial domain
    (bsc#1012628).
  - tools/nolibc: ensure fast64 integer types have 64 bits
    (bsc#1012628).
  - kselftest: vDSO: Fix accumulation of uninitialized ret when
    CLOCK_REALTIME is undefined (bsc#1012628).
  - selftests/ftace: Fix KTAP output ordering (bsc#1012628).
  - perf/ibs: Fix interface via core pmu events (bsc#1012628).
  - x86/mm: Fix __swp_entry_to_pte() for Xen PV guests
    (bsc#1012628).
  - reiserfs: Initialize sec->length in reiserfs_security_init()
    (bsc#1012628).
  - locking/atomic: arm: fix sync ops (bsc#1012628).
  - evm: Complete description of evm_inode_setattr() (bsc#1012628).
  - evm: Fix build warnings (bsc#1012628).
  - ima: Fix build warnings (bsc#1012628).
  - pstore/ram: Add check for kstrdup (bsc#1012628).
  - sched/core: Avoid multiple calling update_rq_clock() in
    __cfsb_csd_unthrottle() (bsc#1012628).
  - igc: Enable and fix RX hash usage by netstack (bsc#1012628).
  - wifi: ath9k: fix AR9003 mac hardware hang check register offset
    calculation (bsc#1012628).
  - wifi: ath9k: avoid referencing uninit memory in
    ath9k_wmi_ctrl_rx (bsc#1012628).
  - libbpf: btf_dump_type_data_check_overflow needs to consider
    BTF_MEMBER_BITFIELD_SIZE (bsc#1012628).
  - bpf: encapsulate precision backtracking bookkeeping
    (bsc#1012628).
  - bpf: improve precision backtrack logging (bsc#1012628).
  - bpf: maintain bitmasks across all active frames in
    __mark_chain_precision (bsc#1012628).
  - bpf: fix propagate_precision() logic for inner frames
    (bsc#1012628).
  - wifi: rtw89: fix rtw89_read_chip_ver() for RTL8852B and RTL8851B
    (bsc#1012628).
  - samples/bpf: Fix buffer overflow in tcp_basertt (bsc#1012628).
  - spi: spi-geni-qcom: Correct CS_TOGGLE bit in SPI_TRANS_CFG
    (bsc#1012628).
  - wifi: wilc1000: fix for absent RSN capabilities WFA testcase
    (bsc#1012628).
  - wifi: rtw88: unlock on error path in rtw_ops_add_interface()
    (bsc#1012628).
  - wifi: mwifiex: Fix the size of a memory allocation in
    mwifiex_ret_802_11_scan() (bsc#1012628).
  - sctp: add bpf_bypass_getsockopt proto callback (bsc#1012628).
  - sfc: release encap match in efx_tc_flow_free() (bsc#1012628).
  - libbpf: fix offsetof() and container_of() to work with CO-RE
    (bsc#1012628).
  - bpf: Don't EFAULT for {g,s}setsockopt with wrong optlen
    (bsc#1012628).
  - spi: dw: Round of n_bytes to power of 2 (bsc#1012628).
  - nfc: llcp: fix possible use of uninitialized variable in
    nfc_llcp_send_connect() (bsc#1012628).
  - bpftool: JIT limited misreported as negative value on aarch64
    (bsc#1012628).
  - bpf: Remove bpf trampoline selector (bsc#1012628).
  - bpf: Fix memleak due to fentry attach failure (bsc#1012628).
  - selftests/bpf: Do not use sign-file as testcase (bsc#1012628).
  - regulator: rk808: fix asynchronous probing (bsc#1012628).
  - regulator: core: Fix more error checking for
    debugfs_create_dir() (bsc#1012628).
  - regulator: core: Streamline debugfs operations (bsc#1012628).
  - wifi: orinoco: Fix an error handling path in spectrum_cs_probe()
    (bsc#1012628).
  - wifi: orinoco: Fix an error handling path in orinoco_cs_probe()
    (bsc#1012628).
  - wifi: atmel: Fix an error handling path in atmel_probe()
    (bsc#1012628).
  - wifi: wl3501_cs: Fix an error handling path in wl3501_probe()
    (bsc#1012628).
  - wifi: ray_cs: Fix an error handling path in ray_probe()
    (bsc#1012628).
  - wifi: ath9k: don't allow to overwrite ENDPOINT0 attributes
    (bsc#1012628).
  - wifi: rtw88: usb: silence log flooding error message
    (bsc#1012628).
  - samples/bpf: xdp1 and xdp2 reduce XDPBUFSIZE to 60
    (bsc#1012628).
  - wifi: ath10k: Trigger STA disconnect after reconfig complete
    on hardware restart (bsc#1012628).
  - bpf: Remove anonymous union in bpf_kfunc_call_arg_meta
    (bsc#1012628).
  - bpf: Set kptr_struct_meta for node param to list and rbtree
    insert funcs (bsc#1012628).
  - bpf: Fix __bpf_{list,rbtree}_add's beginning-of-node calculation
    (bsc#1012628).
  - bpf: Make bpf_refcount_acquire fallible for non-owning refs
    (bsc#1012628).
  - tools/resolve_btfids: Fix setting HOSTCFLAGS (bsc#1012628).
  - wifi: iwlwifi: mvm: send time sync only if needed (bsc#1012628).
  - wifi: mac80211: recalc min chandef for new STA links
    (bsc#1012628).
  - selftests/bpf: Fix check_mtu using wrong variable type
    (bsc#1012628).
  - soc: qcom: geni-se: Add interfaces geni_se_tx_init_dma()
    and geni_se_rx_init_dma() (bsc#1012628).
  - spi: spi-geni-qcom: Do not do DMA map/unmap inside driver,
    use framework instead (bsc#1012628).
  - wifi: rsi: Do not configure WoWlan in shutdown hook if not
    enabled (bsc#1012628).
  - wifi: rsi: Do not set MMC_PM_KEEP_POWER in shutdown
    (bsc#1012628).
  - ice: handle extts in the miscellaneous interrupt thread
    (bsc#1012628).
  - selftests: cgroup: fix unexpected failure on test_memcg_low
    (bsc#1012628).
  - watchdog/perf: define dummy watchdog_update_hrtimer_threshold()
    on correct config (bsc#1012628).
  - watchdog/perf: more properly prevent false positives with
    turbo modes (bsc#1012628).
  - kexec: fix a memory leak in crash_shrink_memory() (bsc#1012628).
  - mmc: mediatek: Avoid ugly error message when SDIO wakeup IRQ
    isn't used (bsc#1012628).
  - memstick r592: make memstick_debug_get_tpc_name() static
    (bsc#1012628).
  - selftests/bpf: Fix invalid pointer check in get_xlated_program()
    (bsc#1012628).
  - wifi: ath9k: Fix possible stall on ath9k_txq_list_has_key()
    (bsc#1012628).
  - bpf: Use scalar ids in mark_chain_precision() (bsc#1012628).
  - bpf: Verify scalar ids mapping in regsafe() using check_ids()
    (bsc#1012628).
  - wifi: mac80211: Fix permissions for valid_links debugfs entry
    (bsc#1012628).
  - wifi: iwlwifi: mvm: Handle return value for iwl_mvm_sta_init
    (bsc#1012628).
  - wifi: iwlwifi: fw: print PC register value instead of address
    (bsc#1012628).
  - rtnetlink: extend RTEXT_FILTER_SKIP_STATS to IFLA_VF_INFO
    (bsc#1012628).
  - wifi: ath11k: Add missing check for ioremap (bsc#1012628).
  - wifi: ath11k: Add missing ops config for IPQ5018 in
    ath11k_ahb_probe() (bsc#1012628).
  - wifi: ath11k: Restart firmware after cold boot calibration
    for IPQ5018 (bsc#1012628).
  - wifi: ath11k: Add missing hw_ops->get_ring_selector() for
    IPQ5018 (bsc#1012628).
  - wifi: mac80211: add helpers to access sband iftype data
    (bsc#1012628).
  - wifi: iwlwifi: mvm: add support for Extra EHT LTF (bsc#1012628).
  - wifi: iwlwifi: mvm: correctly access HE/EHT sband capa
    (bsc#1012628).
  - wifi: iwlwifi: pull from TXQs with softirqs disabled
    (bsc#1012628).
  - wifi: iwlwifi: pcie: fix NULL pointer dereference in
    iwl_pcie_irq_rx_msix_handler() (bsc#1012628).
  - wifi: mac80211: Remove "Missing iftype sband data/EHT cap"
    spam (bsc#1012628).
  - wifi: cfg80211: rewrite merging of inherited elements
    (bsc#1012628).
  - wifi: cfg80211: drop incorrect nontransmitted BSS update code
    (bsc#1012628).
  - wifi: cfg80211: fix regulatory disconnect with OCB/NAN
    (bsc#1012628).
  - wifi: ieee80211: Fix the common size calculation for
    reconfiguration ML (bsc#1012628).
  - mm: move mm_count into its own cache line (bsc#1012628).
  - watchdog: remove WATCHDOG_DEFAULT (bsc#1012628).
  - watchdog/hardlockup: change watchdog_nmi_enable() to void
    (bsc#1012628).
  - watchdog/hardlockup: move perf hardlockup checking/panic to
    common watchdog.c (bsc#1012628).
  - watchdog/hardlockup: rename some "NMI watchdog"
    constants/function (bsc#1012628).
  - watchdog/perf: adapt the watchdog_perf interface for async model
    (bsc#1012628).
  - watchdog/hardlockup: keep kernel.nmi_watchdog sysctl as 0444
    if probe fails (bsc#1012628).
  - mmc: Add MMC_QUIRK_BROKEN_SD_CACHE for Kingston Canvas Go Plus
    from 11/2019 (bsc#1012628).
  - wifi: iwlwifi: mvm: indicate HW decrypt for beacon protection
    (bsc#1012628).
  - wifi: iwlwifi: mvm: check only affected links (bsc#1012628).
  - wifi: ath9k: convert msecs to jiffies where needed
    (bsc#1012628).
  - bpf: Factor out socket lookup functions for the TC hookpoint
    (bsc#1012628).
  - bpf: Call __bpf_sk_lookup()/__bpf_skc_lookup() directly via
    TC hookpoint (bsc#1012628).
  - bpf: Fix bpf socket lookup from tc/xdp to respect socket VRF
    bindings (bsc#1012628).
  - can: length: fix bitstuffing count (bsc#1012628).
  - can: kvaser_pciefd: Add function to set skb hwtstamps
    (bsc#1012628).
  - can: kvaser_pciefd: Set hardware timestamp on transmitted
    packets (bsc#1012628).
  - igc: Fix race condition in PTP tx code (bsc#1012628).
  - igc: Check if hardware TX timestamping is enabled earlier
    (bsc#1012628).
  - igc: Retrieve TX timestamp during interrupt handling
    (bsc#1012628).
  - igc: Work around HW bug causing missing timestamps
    (bsc#1012628).
  - net: stmmac: fix double serdes powerdown (bsc#1012628).
  - netlink: fix potential deadlock in netlink_set_err()
    (bsc#1012628).
  - netlink: do not hard code device address lenth in fdb dumps
    (bsc#1012628).
  - bonding: do not assume skb mac_header is set (bsc#1012628).
  - sch_netem: fix issues in netem_change() vs get_dist_table()
    (bsc#1012628).
  - selftests: rtnetlink: remove netdevsim device after ipsec
    offload test (bsc#1012628).
  - gtp: Fix use-after-free in __gtp_encap_destroy() (bsc#1012628).
  - net: axienet: Move reset before 64-bit DMA detection
    (bsc#1012628).
  - ocfs2: Fix use of slab data with sendpage (bsc#1012628).
  - sfc: fix crash when reading stats while NIC is resetting
    (bsc#1012628).
  - net: nfc: Fix use-after-free caused by nfc_llcp_find_local
    (bsc#1012628).
  - lib/ts_bm: reset initial match offset for every block of text
    (bsc#1012628).
  - netfilter: conntrack: dccp: copy entire header to stack buffer,
    not just basic one (bsc#1012628).
  - netfilter: nf_conntrack_sip: fix the
    ct_sip_parse_numerical_param() return value (bsc#1012628).
  - netfilter: nf_tables: unbind non-anonymous set if rule
    construction fails (bsc#1012628).
  - netfilter: nf_tables: fix underflow in chain reference counter
    (bsc#1012628).
  - ipvlan: Fix return value of ipvlan_queue_xmit() (bsc#1012628).
  - net: dsa: avoid suspicious RCU usage for synced VLAN-aware
    MAC addresses (bsc#1012628).
  - netlink: Add __sock_i_ino() for __netlink_diag_dump()
    (bsc#1012628).
  - drm/imx/lcdc: fix a NULL vs IS_ERR() bug in probe (bsc#1012628).
  - drm/amd/display: Unconditionally print when DP sink power
    state fails (bsc#1012628).
  - drm/amd/display: Add logging for display MALL refresh setting
    (bsc#1012628).
  - drm/amd/display: fix is_timing_changed() prototype
    (bsc#1012628).
  - radeon: avoid double free in ci_dpm_init() (bsc#1012628).
  - drm/amd/display: Explicitly specify update type per plane info
    change (bsc#1012628).
  - drm/i915/guc/slpc: Provide sysfs for efficient freq
    (bsc#1012628).
  - drm/bridge: it6505: Move a variable assignment behind a null
    pointer check in receive_timing_debugfs_show() (bsc#1012628).
  - Input: drv260x - sleep between polling GO bit (bsc#1012628).
  - Input: cyttsp4_core - change del_timer_sync() to
    timer_shutdown_sync() (bsc#1012628).
  - Input: tests - fix use-after-free and refcount underflow in
    input_test_exit() (bsc#1012628).
  - Input: tests - modular KUnit tests should not depend on KUNIT=y
    (bsc#1012628).
  - drm/bridge: ti-sn65dsi83: Fix enable error path (bsc#1012628).
  - drm/bridge: tc358768: always enable HS video mode (bsc#1012628).
  - drm/bridge: tc358768: fix PLL parameters computation
    (bsc#1012628).
  - drm/bridge: tc358768: fix PLL target frequency (bsc#1012628).
  - drm/bridge: tc358768: fix TCLK_ZEROCNT computation
    (bsc#1012628).
  - drm/bridge: tc358768: Add atomic_get_input_bus_fmts()
    implementation (bsc#1012628).
  - drm/bridge: tc358768: fix TCLK_TRAILCNT computation
    (bsc#1012628).
  - drm/bridge: tc358768: fix THS_ZEROCNT computation (bsc#1012628).
  - drm/bridge: tc358768: fix TXTAGOCNT computation (bsc#1012628).
  - drm/bridge: tc358768: fix THS_TRAILCNT computation
    (bsc#1012628).
  - Input: tests - fix input_test_match_device_id test
    (bsc#1012628).
  - drm/vram-helper: fix function names in vram helper doc
    (bsc#1012628).
  - ARM: dts: BCM5301X: Drop "clock-names" from the SPI node
    (bsc#1012628).
  - ARM: dts: meson8b: correct uart_B and uart_C clock references
    (bsc#1012628).
  - clk: vc5: Fix .driver_data content in i2c_device_id
    (bsc#1012628).
  - clk: vc7: Fix .driver_data content in i2c_device_id
    (bsc#1012628).
  - clk: rs9: Fix .driver_data content in i2c_device_id
    (bsc#1012628).
  - Input: adxl34x - do not hardcode interrupt trigger type
    (bsc#1012628).
  - drm: sun4i_tcon: use devm_clk_get_enabled in
    `sun4i_tcon_init_clocks` (bsc#1012628).
  - drm/panel: sharp-ls043t1le01: adjust mode settings
    (bsc#1012628).
  - driver: soc: xilinx: use _safe loop iterator to avoid a use
    after free (bsc#1012628).
  - ASoC: dt-bindings: mediatek,mt8188-afe: correct clock name
    (bsc#1012628).
  - ASoC: Intel: sof_sdw: remove SOF_SDW_TGL_HDMI for MeteorLake
    devices (bsc#1012628).
  - ASoC: Intel: sof_sdw: start set codec init function with an
    adr index (bsc#1012628).
  - drm/vkms: isolate pixel conversion functionality (bsc#1012628).
  - drm: Add fixed-point helper to get rounded integer values
    (bsc#1012628).
  - drm/vkms: Fix RGB565 pixel conversion (bsc#1012628).
  - ARM: dts: stm32: Move ethernet MAC EEPROM from SoM to carrier
    boards (bsc#1012628).
  - bus: ti-sysc: Fix dispc quirk masking bool variables
    (bsc#1012628).
  - arm64: dts: microchip: sparx5: do not use PSCI on reference
    boards (bsc#1012628).
  - drm/bridge: tc358767: Switch to devm MIPI-DSI helpers
    (bsc#1012628).
  - arm64: dts: qcom: ipq9574: Update the size of GICC & GICV
    regions (bsc#1012628).
  - clk: imx: scu: use _safe list iterator to avoid a use after free
    (bsc#1012628).
  - hwmon: (f71882fg) prevent possible division by zero
    (bsc#1012628).
  - RDMA/bnxt_re: Disable/kill tasklet only if it is enabled
    (bsc#1012628).
  - RDMA/bnxt_re: Fix to remove unnecessary return labels
    (bsc#1012628).
  - RDMA/bnxt_re: Use unique names while registering interrupts
    (bsc#1012628).
  - RDMA/bnxt_re: Remove a redundant check inside bnxt_re_update_gid
    (bsc#1012628).
  - RDMA/bnxt_re: Fix to remove an unnecessary log (bsc#1012628).
  - drm/msm/dpu: enable DSPP_2/3 for LM_2/3 on sm8450 (bsc#1012628).
  - drm/msm/dsi: don't allow enabling 14nm VCO with unprogrammed
    rate (bsc#1012628).
  - drm/msm/dpu: fix cursor block register bit offset in msm8998
    hw catalog (bsc#1012628).
  - drm/msm/dpu: Use V4.0 PCC DSPP sub-block in SC7[12]80
    (bsc#1012628).
  - drm/msm/dpu: Set DPU_DATA_HCTL_EN for in INTF_SC7180_MASK
    (bsc#1012628).
  - drm/nouveau: dispnv50: fix missing-prototypes warning
    (bsc#1012628).
  - iommu/virtio: Detach domain on endpoint release (bsc#1012628).
  - iommu/virtio: Return size mapped for a detached domain
    (bsc#1012628).
  - clk: renesas: rzg2l: Fix CPG_SIPLL5_CLK1 register write
    (bsc#1012628).
  - ARM: dts: gta04: Move model property out of pinctrl node
    (bsc#1012628).
  - arm64: dts: qcom: qrb4210-rb2: Fix CD gpio for SDHC2
    (bsc#1012628).
  - drm/bridge: anx7625: Prevent endless probe loop (bsc#1012628).
  - ARM/mfd/gpio: Fixup TPS65010 regression on OMAP1 OSK1
    (bsc#1012628).
  - ARM: omap1: Drop header on AMS Delta (bsc#1012628).
  - ARM: omap1: Remove reliance on GPIO numbers from PalmTE
    (bsc#1012628).
  - ARM: omap1: Remove reliance on GPIO numbers from SX1
    (bsc#1012628).
  - Input: ads7846 - Convert to use software nodes (bsc#1012628).
  - ARM/mmc: Convert old mmci-omap to GPIO descriptors
    (bsc#1012628).
  - ARM: omap1: Fix up the Nokia 770 board device IRQs
    (bsc#1012628).
  - ARM: omap1: Make serial wakeup GPIOs use descriptors
    (bsc#1012628).
  - ARM: omap1: Exorcise the legacy GPIO header (bsc#1012628).
  - ARM/gpio: Push OMAP2 quirk down into TWL4030 driver
    (bsc#1012628).
  - ARM: omap2: Get USB hub reset GPIO from descriptor
    (bsc#1012628).
  - ARM: omap2: Rewrite WLAN quirk to use GPIO descriptors
    (bsc#1012628).
  - ARM/musb: omap2: Remove global GPIO numbers from TUSB6010
    (bsc#1012628).
  - ARM: dts: qcom: msm8974: do not use underscore in node name
    (again) (bsc#1012628).
  - arm64: dts: qcom: pm8998: don't use GIC_SPI for SPMI interrupts
    (bsc#1012628).
  - arm64: dts: qcom: ipq6018: correct qrng unit address
    (bsc#1012628).
  - arm64: dts: qcom: msm8916: correct camss unit address
    (bsc#1012628).
  - arm64: dts: qcom: msm8916: correct MMC unit address
    (bsc#1012628).
  - arm64: dts: qcom: msm8916: correct WCNSS unit address
    (bsc#1012628).
  - arm64: dts: qcom: msm8953: correct IOMMU unit address
    (bsc#1012628).
  - arm64: dts: qcom: msm8953: correct WCNSS unit address
    (bsc#1012628).
  - arm64: dts: qcom: msm8976: correct MMC unit address
    (bsc#1012628).
  - arm64: dts: qcom: msm8994: correct SPMI unit address
    (bsc#1012628).
  - arm64: dts: qcom: msm8996: correct camss unit address
    (bsc#1012628).
  - arm64: dts: qcom: sdm630: correct camss unit address
    (bsc#1012628).
  - arm64: dts: qcom: sdm845: correct camss unit address
    (bsc#1012628).
  - arm64: dts: qcom: sm6115: correct thermal-sensor unit address
    (bsc#1012628).
  - arm64: dts: qcom: sm8350: correct DMA controller unit address
    (bsc#1012628).
  - arm64: dts: qcom: sm8350: correct PCI phy unit address
    (bsc#1012628).
  - arm64: dts: qcom: sm8350: correct USB phy unit address
    (bsc#1012628).
  - arm64: dts: qcom: sm8550: correct crypto unit address
    (bsc#1012628).
  - arm64: dts: qcom: sm8550: correct pinctrl unit address
    (bsc#1012628).
  - arm64: dts: qcom: sdm845-polaris: add missing touchscreen
    child node reg (bsc#1012628).
  - arm64: dts: qcom: apq8016-sbc: Fix regulator constraints
    (bsc#1012628).
  - arm64: dts: qcom: apq8016-sbc: Fix 1.8V power rail on LS
    expansion (bsc#1012628).
  - drm/bridge: ti-sn65dsi83: Fix enable/disable flow to meet spec
    (bsc#1012628).
  - drm: bridge: samsung-dsim: Fix PMS Calculator on imx8m[mnp]
    (bsc#1012628).
  - drm/panel: simple: fix active size for Ampire
    AM-480272H3TMQW-T01H (bsc#1012628).
  - ARM: ep93xx: fix missing-prototype warnings (bsc#1012628).
  - ARM: omap2: fix missing tick_broadcast() prototype
    (bsc#1012628).
  - arm64: dts: qcom: pm7250b: add missing spmi-vadc include
    (bsc#1012628).
  - arm64: dts: qcom: apq8096: fix fixed regulator name property
    (bsc#1012628).
  - arm64: dts: mediatek: mt8183: Add
    mediatek,broken-save-restore-fw to kukui (bsc#1012628).
  - arm64: dts: mediatek: mt8192: Add
    mediatek,broken-save-restore-fw to asurada (bsc#1012628).
  - arm64: dts: mediatek: mt8195: Add
    mediatek,broken-save-restore-fw to cherry (bsc#1012628).
  - ARM: dts: stm32: Shorten the AV96 HDMI sound card name
    (bsc#1012628).
  - memory: brcmstb_dpfe: fix testing array offset after use
    (bsc#1012628).
  - ARM: dts: qcom: apq8074-dragonboard: Set DMA as remotely
    controlled (bsc#1012628).
  - ASoC: es8316: Increment max value for ALC Capture Target Volume
    control (bsc#1012628).
  - ASoC: es8316: Do not set rate constraints for unsupported MCLKs
    (bsc#1012628).
  - ARM: dts: meson8: correct uart_B and uart_C clock references
    (bsc#1012628).
  - soc/fsl/qe: fix usb.c build errors (bsc#1012628).
  - RDMA/irdma: avoid fortify-string warning in irdma_clr_wqes
    (bsc#1012628).
  - IB/hfi1: Fix wrong mmu_node used for user SDMA packet after
    invalidate (bsc#1012628).
  - RDMA/hns: Fix hns_roce_table_get return value (bsc#1012628).
  - ARM: dts: iwg20d-q7-common: Fix backlight pwm specifier
    (bsc#1012628).
  - arm64: dts: renesas: ulcb-kf: Remove flow control for SCIF1
    (bsc#1012628).
  - drm/msm/dpu: set DSC flush bit correctly at MDP CTL flush
    register (bsc#1012628).
  - drm/msm/dpu: always clear every individual pending flush mask
    (bsc#1012628).
  - fbdev: omapfb: lcd_mipid: Fix an error handling path in
    mipid_spi_probe() (bsc#1012628).
  - dt-bindings: arm-smmu: Fix SC8280XP Adreno binding
    (bsc#1012628).
  - drm/i915: Fix limited range csc matrix (bsc#1012628).
  - drm/i915: hide mkwrite_device_info() better (bsc#1012628).
  - drm/i915/display: Move display device info to header under
    display/ (bsc#1012628).
  - drm/i915: Convert INTEL_INFO()->display to a pointer
    (bsc#1012628).
  - drm/i915/display: Move display runtime info to display structure
    (bsc#1012628).
  - drm/i915/display: Make display responsible for probing its
    own IP (bsc#1012628).
  - drm/i915: No 10bit gamma on desktop gen3 parts (bsc#1012628).
  - arm64: dts: rockchip: Assign ES8316 MCLK rate on rk3588-rock-5b
    (bsc#1012628).
  - arm64: dts: ti: k3-j7200: Fix physical address of pin
    (bsc#1012628).
  - Input: pm8941-powerkey - fix debounce on gen2+ PMICs
    (bsc#1012628).
  - arm64: dts: rockchip: Fix compatible for Bluetooth on
    rk3566-anbernic (bsc#1012628).
  - ARM: dts: stm32: Fix audio routing on STM32MP15xx DHCOM PDK2
    (bsc#1012628).
  - accel/habanalabs: fix gaudi2_get_tpc_idle_status() return
    (bsc#1012628).
  - ARM: dts: stm32: fix i2s endpoint format property for
    stm32mp15xx-dkx (bsc#1012628).
  - hwmon: (gsc-hwmon) fix fan pwm temperature scaling
    (bsc#1012628).
  - hwmon: (pmbus/adm1275) Fix problems with temperature monitoring
    on ADM1272 (bsc#1012628).
  - ARM: dts: BCM5301X: fix duplex-full => full-duplex
    (bsc#1012628).
  - clk: Export clk_hw_forward_rate_request() (bsc#1012628).
  - drm/amd/display: Fix a test CalculatePrefetchSchedule()
    (bsc#1012628).
  - drm/amd/display: Fix a test dml32_rq_dlg_get_rq_reg()
    (bsc#1012628).
  - drm/amdkfd: Fix potential deallocation of previously deallocated
    memory (bsc#1012628).
  - soc: mediatek: SVS: Fix MT8192 GPU node name (bsc#1012628).
  - drm/amd/display: Fix artifacting on eDP panels when engaging
    freesync video mode (bsc#1012628).
  - drm/radeon: fix possible division-by-zero errors (bsc#1012628).
  - HID: uclogic: Modular KUnit tests should not depend on KUNIT=y
    (bsc#1012628).
  - RDMA/rxe: Fix access checks in rxe_check_bind_mw (bsc#1012628).
  - amdgpu: validate offset_in_bo of drm_amdgpu_gem_va
    (bsc#1012628).
  - drm/msm/a6xx: don't set IO_PGTABLE_QUIRK_ARM_OUTER_WBWA with
    coherent SMMU (bsc#1012628).
  - drm/msm/a5xx: really check for A510 in a5xx_gpu_init
    (bsc#1012628).
  - RDMA/bnxt_re: wraparound mbox producer index (bsc#1012628).
  - RDMA/bnxt_re: Avoid calling wake_up threads from spin_lock
    context (bsc#1012628).
  - clk: imx: composite-8m: Add imx8m_divider_determine_rate
    (bsc#1012628).
  - clk: imx: clk-imxrt1050: fix memory leak in
    imxrt1050_clocks_probe (bsc#1012628).
  - clk: imx: clk-imx8mn: fix memory leak in imx8mn_clocks_probe
    (bsc#1012628).
  - clk: imx93: fix memory leak and missing unwind goto in
    imx93_clocks_probe (bsc#1012628).
  - clk: imx: clk-imx8mp: improve error handling in
    imx8mp_clocks_probe() (bsc#1012628).
  - clk: mediatek: fix of_iomap memory leak (bsc#1012628).
  - arm64: dts: qcom: qdu1000: Flush RSC sleep & wake votes
    (bsc#1012628).
  - arm64: dts: qcom: sdm670: Flush RSC sleep & wake votes
    (bsc#1012628).
  - arm64: dts: qcom: sdm845: Flush RSC sleep & wake votes
    (bsc#1012628).
  - arm64: dts: qcom: sm8550: Flush RSC sleep & wake votes
    (bsc#1012628).
  - arm64: dts: qcom: sm8250-edo: Panel framebuffer is 2.5k instead
    of 4k (bsc#1012628).
  - arm64: dts: qcom: sm8550: Add missing interconnect path to
    USB HC (bsc#1012628).
  - clk: bcm: rpi: Fix off by one in raspberrypi_discover_clocks()
    (bsc#1012628).
  - clk: clocking-wizard: Fix Oops in clk_wzrd_register_divider()
    (bsc#1012628).
  - clk: tegra: tegra124-emc: Fix potential memory leak
    (bsc#1012628).
  - arm64: dts: ti: k3-j721e-beagleboneai64: Fix mailbox node status
    (bsc#1012628).
  - arm64: dts: ti: k3-j784s4-evm: Fix main_i2c0 alias
    (bsc#1012628).
  - arm64: dts: ti: k3-j784s4: Fix wakeup pinmux range and pinctrl
    node offsets (bsc#1012628).
  - arm64: dts: ti: k3-am69-sk: Fix main_i2c0 alias (bsc#1012628).
  - ALSA: ac97: Fix possible NULL dereference in snd_ac97_mixer
    (bsc#1012628).
  - drm/msm/dpu: do not enable color-management if DSPPs are not
    available (bsc#1012628).
  - drm/msm/dpu: Fix slice_last_group_size calculation
    (bsc#1012628).
  - drm/msm/dsi: Remove incorrect references to slice_count
    (bsc#1012628).
  - drm/msm/dp: Drop aux devices together with DP controller
    (bsc#1012628).
  - drm/msm/dp: Free resources after unregistering them
    (bsc#1012628).
  - arm64: dts: mediatek: Add cpufreq nodes for MT8192
    (bsc#1012628).
  - arm64: dts: mediatek: mt8192: Fix CPUs capacity-dmips-mhz
    (bsc#1012628).
  - arm64: dts: mt7986: increase bl2 partition on NAND of Bananapi
    R3 (bsc#1012628).
  - drm/amdgpu: Fix memcpy() in
    sienna_cichlid_append_powerplay_table function (bsc#1012628).
  - drm/amdgpu: Fix usage of UMC fill record in RAS (bsc#1012628).
  - drm/msm/dpu: Drop unused poll_timeout_wr_ptr PINGPONG callback
    (bsc#1012628).
  - drm/msm/dpu: Move autorefresh disable from CMD encoder to
    pingpong (bsc#1012628).
  - drm/msm/dpu: Disable pingpong TE on DPU 5.0.0 and above
    (bsc#1012628).
  - drm/msm/dpu: fix sc7280 and sc7180 PINGPONG done interrupts
    (bsc#1012628).
  - drm/msm/dpu: correct MERGE_3D length (bsc#1012628).
  - clk: mediatek: clk-mtk: Grab iomem pointer for divider clocks
    (bsc#1012628).
  - clk: mediatek: clk-mt8173-apmixedsys: Fix return value for
    of_iomap() error (bsc#1012628).
  - clk: mediatek: clk-mt8173-apmixedsys: Fix iomap not released
    issue (bsc#1012628).
  - clk: vc5: check memory returned by kasprintf() (bsc#1012628).
  - clk: cdce925: check return value of kasprintf() (bsc#1012628).
  - clk: si5341: return error if one synth clock registration fails
    (bsc#1012628).
  - clk: si5341: check return value of {devm_}kasprintf()
    (bsc#1012628).
  - clk: si5341: free unused memory on probe failure (bsc#1012628).
  - clk: keystone: sci-clk: check return value of kasprintf()
    (bsc#1012628).
  - clk: ti: clkctrl: check return value of kasprintf()
    (bsc#1012628).
  - clk: clocking-wizard: check return value of devm_kasprintf()
    (bsc#1012628).
  - drivers: meson: secure-pwrc: always enable DMA domain
    (bsc#1012628).
  - ovl: update of dentry revalidate flags after copy up
    (bsc#1012628).
  - ASoC: imx-audmix: check return value of devm_kasprintf()
    (bsc#1012628).
  - clk: Fix memory leak in devm_clk_notifier_register()
    (bsc#1012628).
  - ARM: dts: lan966x: kontron-d10: fix board reset (bsc#1012628).
  - ARM: dts: lan966x: kontron-d10: fix SPI CS (bsc#1012628).
  - ASoC: amd: acp: clear pdm dma interrupt mask (bsc#1012628).
  - iommufd: Do not access the area pointer after unlocking
    (bsc#1012628).
  - iommufd: Call iopt_area_contig_done() under the lock
    (bsc#1012628).
  - PCI: cadence: Fix Gen2 Link Retraining process (bsc#1012628).
  - PCI: vmd: Reset VMD config register between soft reboots
    (bsc#1012628).
  - scsi: qedf: Fix NULL dereference in error handling
    (bsc#1012628).
  - pinctrl: bcm2835: Handle gpiochip_add_pin_range() errors
    (bsc#1012628).
  - platform/x86: lenovo-yogabook: Fix work race on remove()
    (bsc#1012628).
  - platform/x86: lenovo-yogabook: Reprobe devices on remove()
    (bsc#1012628).
  - platform/x86: lenovo-yogabook: Set default keyboard backligh
    brightness on probe() (bsc#1012628).
  - PCI/ASPM: Disable ASPM on MFD function removal to avoid
    use-after-free (bsc#1012628).
  - scsi: 3w-xxxx: Add error handling for initialization failure
    in tw_probe() (bsc#1012628).
  - pinctrl: at91: fix a couple NULL vs IS_ERR() checks
    (bsc#1012628).
  - PCI: pciehp: Cancel bringup sequence if card is not present
    (bsc#1012628).
  - perf evsel: Don't let for_each_group() treat the head of the
    list as one of its nodes (bsc#1012628).
  - PCI: ftpci100: Release the clock resources (bsc#1012628).
  - pinctrl: sunplus: Add check for kmalloc (bsc#1012628).
  - scsi: ufs: Declare ufshcd_{hold,release}() once (bsc#1012628).
  - PCI: Add pci_clear_master() stub for non-CONFIG_PCI
    (bsc#1012628).
  - scsi: lpfc: Revise NPIV ELS unsol rcv cmpl logic to drop ndlp
    based on nlp_state (bsc#1012628).
  - scsi: ufs: core: Increase the START STOP UNIT timeout from
    one to ten seconds (bsc#1012628).
  - scsi: ufs: core: Fix handling of lrbp->cmd (bsc#1012628).
  - pinctrl: tegra: Duplicate pinmux functions table (bsc#1012628).
  - perf bench: Add missing setlocale() call to allow usage of
    %'d style formatting (bsc#1012628).
  - pinctrl: cherryview: Return correct value if pin in push-pull
    mode (bsc#1012628).
  - platform/x86:intel/pmc: Remove Meteor Lake S platform support
    (bsc#1012628).
  - platform/x86: think-lmi: mutex protection around multiple WMI
    calls (bsc#1012628).
  - platform/x86: think-lmi: Correct System password interface
    (bsc#1012628).
  - platform/x86: think-lmi: Correct NVME password handling
    (bsc#1012628).
  - pinctrl:sunplus: Add check for kmalloc (bsc#1012628).
  - pinctrl: npcm7xx: Add missing check for ioremap (bsc#1012628).
  - kcsan: Don't expect 64 bits atomic builtins from 32 bits
    architectures (bsc#1012628).
  - powerpc/interrupt: Don't read MSR from
    interrupt_exit_kernel_prepare() (bsc#1012628).
  - powerpc/signal32: Force inlining of __unsafe_save_user_regs()
    and save_tm_user_regs_unsafe() (bsc#1012628).
  - perf script: Fix allocation of evsel->priv related to per-event
    dump files (bsc#1012628).
  - platform/x86: thinkpad_acpi: Fix lkp-tests warnings for platform
    profiles (bsc#1012628).
  - platform/x86/intel/pmc: Add resume callback (bsc#1012628).
  - platform/x86/intel/pmc/mtl: Put devices in D3 during resume
    (bsc#1012628).
  - perf dwarf-aux: Fix off-by-one in die_get_varname()
    (bsc#1012628).
  - perf metric: Fix no group check (bsc#1012628).
  - perf tests task_analyzer: Fix bad substitution ${$1}
    (bsc#1012628).
  - perf tests task_analyzer: Skip tests if no libtraceevent support
    (bsc#1012628).
  - platform/x86/dell/dell-rbtn: Fix resources leaking on error path
    (bsc#1012628).
  - perf tool x86: Consolidate is_amd check into single function
    (bsc#1012628).
  - perf tool x86: Fix perf_env memory leak (bsc#1012628).
  - powerpc/64s: Fix VAS mm use after free (bsc#1012628).
  - pinctrl: freescale: Fix a memory out of bounds when num_configs
    is 1 (bsc#1012628).
  - pinctrl: microchip-sgpio: check return value of devm_kasprintf()
    (bsc#1012628).
  - pinctrl: at91-pio4: check return value of devm_kasprintf()
    (bsc#1012628).
  - perf stat: Reset aggr stats for each run (bsc#1012628).
  - scsi: ufs: core: Remove a ufshcd_add_command_trace() call
    (bsc#1012628).
  - scsi: ufs: core: mcq: Fix the incorrect OCS value for the
    device command (bsc#1012628).
  - powerpc/powernv/sriov: perform null check on iov before
    dereferencing iov (bsc#1012628).
  - powerpc: update ppc_save_regs to save current r1 in pt_regs
    (bsc#1012628).
  - riscv: hibernation: Remove duplicate call of
    suspend_restore_csrs (bsc#1012628).
  - PCI: qcom: Use DWC helpers for modifying the read-only DBI
    registers (bsc#1012628).
  - PCI: qcom: Disable write access to read only registers for IP
    v2.9.0 (bsc#1012628).
  - platform/x86:intel/pmc: Update maps for Meteor Lake P/M
    platforms (bsc#1012628).
  - riscv: uprobes: Restore thread.bad_cause (bsc#1012628).
  - powerpc/book3s64/mm: Fix DirectMap stats in /proc/meminfo
    (bsc#1012628).
  - powerpc/mm/dax: Fix the condition when checking if altmap
    vmemap can cross-boundary (bsc#1012628).
  - perf test: Set PERF_EXEC_PATH for script execution
    (bsc#1012628).
  - riscv: hibernate: remove WARN_ON in save_processor_state
    (bsc#1012628).
  - PCI: endpoint: Fix a Kconfig prompt of vNTB driver
    (bsc#1012628).
  - PCI: endpoint: functions/pci-epf-test: Fix dma_chan direction
    (bsc#1012628).
  - PCI: vmd: Fix uninitialized variable usage in
    vmd_enable_domain() (bsc#1012628).
  - vfio/mdev: Move the compat_class initialization to module init
    (bsc#1012628).
  - hwrng: virtio - Fix race on data_avail and actual data
    (bsc#1012628).
  - modpost: remove broken calculation of exception_table_entry size
    (bsc#1012628).
  - crypto: nx - fix build warnings when DEBUG_FS is not enabled
    (bsc#1012628).
  - modpost: fix section mismatch message for R_ARM_ABS32
    (bsc#1012628).
  - modpost: fix section mismatch message for
    R_ARM_{PC24,CALL,JUMP24} (bsc#1012628).
  - crypto: marvell/cesa - Fix type mismatch warning (bsc#1012628).
  - crypto: jitter - correct health test during initialization
    (bsc#1012628).
  - dt-bindings: qcom-qce: Fix compatible combinations for SM8150
    and IPQ4019 SoCs (bsc#1012628).
  - scripts/mksysmap: Fix badly escaped '$' (bsc#1012628).
  - modpost: fix off by one in is_executable_section()
    (bsc#1012628).
  - ARC: define ASM_NL and __ALIGN(_STR) outside #ifdef __ASSEMBLY__
    guard (bsc#1012628).
  - crypto: qat - unmap buffer before free for DH (bsc#1012628).
  - crypto: qat - unmap buffers before free for RSA (bsc#1012628).
  - NFSv4.2: fix wrong shrinker_id (bsc#1012628).
  - NFSv4.1: freeze the session table upon receiving
    NFS4ERR_BADSESSION (bsc#1012628).
  - SMB3: Do not send lease break acknowledgment if all file
    handles have been closed (bsc#1012628).
  - dax: Fix dax_mapping_release() use after free (bsc#1012628).
  - dax: Introduce alloc_dev_dax_id() (bsc#1012628).
  - dax/kmem: Pass valid argument to memory_group_register_static
    (bsc#1012628).
  - hwrng: st - keep clock enabled while hwrng is registered
    (bsc#1012628).
  - ALSA: hda/realtek: Enable mute/micmute LEDs and limit mic
    boost on EliteBook (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Clevo NPx0SNx (bsc#1012628).
  - ALSA: jack: Fix mutex call in snd_jack_report() (bsc#1012628).
  - ALSA: pcm: Fix potential data race at PCM memory allocation
    helpers (bsc#1012628).
  - apparmor: fix: kzalloc perms tables for shared dfas
    (bsc#1012628).
  - block: fix signed int overflow in Amiga partition support
    (bsc#1012628).
  - block: add overflow checks for Amiga partition support
    (bsc#1012628).
  - block: change all __u32 annotations to __be32 in
    affs_hardblocks.h (bsc#1012628).
  - block: increment diskseq on all media change events
    (bsc#1012628).
  - mmc: block: ioctl: do write error check for spi (bsc#1012628).
  - btrfs: fix race when deleting free space root from the dirty
    cow roots list (bsc#1012628).
  - btrfs: do not BUG_ON() on tree mod log failure at
    balance_level() (bsc#1012628).
  - SUNRPC: Fix UAF in svc_tcp_listen_data_ready() (bsc#1012628).
  - kbuild: Fix CFI failures with GCOV (bsc#1012628).
  - kbuild: Disable GCOV for *.mod.o (bsc#1012628).
  - cxl/region: Move cache invalidation before region teardown,
    and before setup (bsc#1012628).
  - cxl/region: Flag partially torn down regions as unusable
    (bsc#1012628).
  - cxl/region: Fix state transitions after reset failure
    (bsc#1012628).
  - tools/testing/cxl: Fix command effects for inject/clear poison
    (bsc#1012628).
  - kbuild: builddeb: always make modules_install, to install
    modules.builtin* (bsc#1012628).
  - kbuild: deb-pkg: remove the CONFIG_MODULES check in buildeb
    (bsc#1012628).
  - efi/libstub: Disable PCI DMA before grabbing the EFI memory map
    (bsc#1012628).
  - cifs: prevent use-after-free by freeing the cfile later
    (bsc#1012628).
  - cifs: do all necessary checks for credits within or before
    locking (bsc#1012628).
  - smb: client: fix broken file attrs with nodfs mounts
    (bsc#1012628).
  - smb: client: fix shared DFS root mounts with different prefixes
    (bsc#1012628).
  - ksmbd: avoid field overflow warning (bsc#1012628).
  - arm64: sme: Use STR P to clear FFR context field in streaming
    SVE mode (bsc#1012628).
  - x86/efi: Make efi_set_virtual_address_map IBT safe
    (bsc#1012628).
  - w1: w1_therm: fix locking behavior in convert_t (bsc#1012628).
  - w1: fix loop in w1_fini() (bsc#1012628).
  - dt-bindings: power: reset: qcom-pon: Only allow reboot-mode
    pre-pmk8350 (bsc#1012628).
  - f2fs: do not allow to defragment files have FI_COMPRESS_RELEASED
    (bsc#1012628).
  - sh: j2: Use ioremap() to translate device tree address into
    kernel memory (bsc#1012628).
  - cpufreq: tegra194: Fix an error handling path in
    tegra194_cpufreq_probe() (bsc#1012628).
  - USB: Extend pci resume function to handle PM events
    (bsc#1012628).
  - xhci: Improve the XHCI system resume time (bsc#1012628).
  - usb: dwc2: Fix some error handling paths (bsc#1012628).
  - serial: 8250: omap: Fix freeing of resources on failed register
    (bsc#1012628).
  - interconnect: qcom: rpm: Rename icc provider num_clocks to
    num_bus_clocks (bsc#1012628).
  - interconnect: qcom: rpm: Don't use clk_get_optional for bus
    clocks anymore (bsc#1012628).
  - clk: qcom: gcc: ipq5332: Use floor ops for SDCC clocks
    (bsc#1012628).
  - clk: qcom: mmcc-msm8974: remove oxili_ocmemgx_clk (bsc#1012628).
  - clk: qcom: camcc-sc7180: Add parent dependency to all camera
    GDSCs (bsc#1012628).
  - clk: qcom: gcc-ipq6018: Use floor ops for sdcc clocks
    (bsc#1012628).
  - clk: qcom: gcc-qcm2290: Mark RCGs shared where applicable
    (bsc#1012628).
  - media: usb: Check az6007_read() return value (bsc#1012628).
  - media: amphion: drop repeated codec data for vc1l format
    (bsc#1012628).
  - media: amphion: drop repeated codec data for vc1g format
    (bsc#1012628).
  - media: common: saa7146: Avoid a leak in vmalloc_to_sg()
    (bsc#1012628).
  - media: videodev2.h: Fix p_s32 and p_s64 pointer types
    (bsc#1012628).
  - media: amphion: initiate a drain of the capture queue in
    dynamic resolution change (bsc#1012628).
  - media: videodev2.h: Fix struct v4l2_input tuner index comment
    (bsc#1012628).
  - media: usb: siano: Fix warning due to null work_func_t function
    pointer (bsc#1012628).
  - media: i2c: imx296: fix error checking in
    imx296_read_temperature() (bsc#1012628).
  - media: i2c: Correct format propagation for st-mipid02
    (bsc#1012628).
  - media: renesas: fdp1: Identify R-Car Gen2 versions
    (bsc#1012628).
  - media: hi846: fix usage of pm_runtime_get_if_in_use()
    (bsc#1012628).
  - media: mediatek: vcodec: using decoder status instead of core
    work count (bsc#1012628).
  - clk: qcom: ipq6018: fix networking resets (bsc#1012628).
  - clk: qcom: dispcc-qcm2290: Fix BI_TCXO_AO handling
    (bsc#1012628).
  - clk: qcom: dispcc-qcm2290: Fix GPLL0_OUT_DIV handling
    (bsc#1012628).
  - clk: qcom: ipq5332: fix the src parameter in
    ftbl_gcc_apss_axi_clk_src (bsc#1012628).
  - clk: qcom: ipq5332: fix the order of SLEEP_CLK and XO clock
    (bsc#1012628).
  - clk: qcom: mmcc-msm8974: use clk_rcg2_shared_ops for mdp_clk_src
    clock (bsc#1012628).
  - staging: vchiq_arm: mark vchiq_platform_init() static
    (bsc#1012628).
  - soundwire: qcom: use consistently 'ctrl' as state variable name
    (bsc#1012628).
  - soundwire: qcom: fix unbalanced pm_runtime_put() (bsc#1012628).
  - soundwire: debugfs: fix unbalanced pm_runtime_put()
    (bsc#1012628).
  - usb: dwc3: qcom: Fix potential memory leak (bsc#1012628).
  - usb: gadget: u_serial: Add null pointer check in gserial_suspend
    (bsc#1012628).
  - extcon: Fix kernel doc of property fields to avoid warnings
    (bsc#1012628).
  - extcon: Fix kernel doc of property capability fields to avoid
    warnings (bsc#1012628).
  - usb: phy: phy-tahvo: fix memory leak in tahvo_usb_probe()
    (bsc#1012628).
  - usb: hide unused usbfs_notify_suspend/resume functions
    (bsc#1012628).
  - usb: misc: eud: Fix eud sysfs path (use 'qcom_eud')
    (bsc#1012628).
  - serial: core: lock port for stop_rx() in uart_suspend_port()
    (bsc#1012628).
  - serial: 8250: lock port for stop_rx() in omap8250_irq()
    (bsc#1012628).
  - serial: core: lock port for start_rx() in uart_resume_port()
    (bsc#1012628).
  - serial: 8250: lock port for UART_IER access in omap8250_irq()
    (bsc#1012628).
  - kernfs: fix missing kernfs_idr_lock to remove an ID from the
    IDR (bsc#1012628).
  - lkdtm: replace ll_rw_block with submit_bh (bsc#1012628).
  - i3c: master: svc: fix cpu schedule in spin lock (bsc#1012628).
  - coresight: Fix loss of connection info when a module is unloaded
    (bsc#1012628).
  - coresight: etm4x: Fix missing trctraceidr file in sysfs
    (bsc#1012628).
  - power: supply: rt9467: Make charger-enable control as logic
    level (bsc#1012628).
  - mfd: rt5033: Drop rt5033-battery sub-device (bsc#1012628).
  - media: venus: helpers: Fix ALIGN() of non power of two
    (bsc#1012628).
  - media: atomisp: gc0310: Fix double free in gc0310_remove()
    (bsc#1012628).
  - media: atomisp: gmin_platform: fix out_len in
    gmin_get_config_dsm_var() (bsc#1012628).
  - media: atomisp: ov2680: Stop using half pixelclock for binned
    modes (bsc#1012628).
  - sh: Avoid using IRQ0 on SH3 and SH4 (bsc#1012628).
  - gfs2: Fix duplicate should_fault_in_pages() call (bsc#1012628).
  - f2fs: fix potential deadlock due to unpaired node_write lock
    use (bsc#1012628).
  - f2fs: fix to avoid NULL pointer dereference f2fs_write_end_io()
    (bsc#1012628).
  - f2fs: support errors=remount-ro|continue|panic mountoption
    (bsc#1012628).
  - f2fs: fix the wrong condition to determine atomic context
    (bsc#1012628).
  - f2fs: flush error flags in workqueue (bsc#1012628).
  - KVM: s390: fix KVM_S390_GET_CMMA_BITS for GFNs in memslot holes
    (bsc#1012628).
  - usb: dwc3: qcom: Release the correct resources in
    dwc3_qcom_remove() (bsc#1012628).
  - usb: dwc3: qcom: Fix an error handling path in dwc3_qcom_probe()
    (bsc#1012628).
  - usb: common: usb-conn-gpio: Set last role to unknown before
    initial detection (bsc#1012628).
  - usb: dwc3-meson-g12a: Fix an error handling path in
    dwc3_meson_g12a_probe() (bsc#1012628).
  - rtla/hwnoise: Reduce runtime to 75% (bsc#1012628).
  - mfd: wcd934x: Fix an error handling path in wcd934x_slim_probe()
    (bsc#1012628).
  - mfd: intel-lpss: Add missing check for platform_get_resource
    (bsc#1012628).
  - Revert "usb: common: usb-conn-gpio: Set last role to unknown
    before initial detection" (bsc#1012628).
  - serial: 8250_omap: Use force_suspend and resume for system
    suspend (bsc#1012628).
  - drivers: fwnode: fix fwnode_irq_get[_byname]() (bsc#1012628).
  - cdx: fix driver managed dma support (bsc#1012628).
  - nvmem: sunplus-ocotp: release otp->clk before return
    (bsc#1012628).
  - nvmem: imx-ocotp: Reverse MAC addresses on all i.MX derivates
    (bsc#1012628).
  - test_firmware: return ENOMEM instead of ENOSPC on failed memory
    allocation (bsc#1012628).
  - nvmem: rmem: Use NVMEM_DEVID_AUTO (bsc#1012628).
  - bus: fsl-mc: don't assume child devices are all fsl-mc devices
    (bsc#1012628).
  - mfd: stmfx: Fix error path in stmfx_chip_init (bsc#1012628).
  - mfd: stmfx: Nullify stmfx->vdd in case of error (bsc#1012628).
  - KVM: s390: vsie: fix the length of APCB bitmap (bsc#1012628).
  - KVM: s390/diag: fix racy access of physical cpu number in diag
    9c handler (bsc#1012628).
  - cpufreq: mediatek: correct voltages for MT7622 and MT7623
    (bsc#1012628).
  - misc: fastrpc: check return value of devm_kasprintf()
    (bsc#1012628).
  - clk: qcom: mmcc-msm8974: fix MDSS_GDSC power flags
    (bsc#1012628).
  - hwtracing: hisi_ptt: Fix potential sleep in atomic context
    (bsc#1012628).
  - phy: qcom: qmp-combo: fix Display Port PHY configuration for
    SM8550 (bsc#1012628).
  - mfd: stmpe: Only disable the regulators if they are enabled
    (bsc#1012628).
  - phy: tegra: xusb: check return value of devm_kzalloc()
    (bsc#1012628).
  - lib/bitmap: drop optimization of bitmap_{from,to}_arr64
    (bsc#1012628).
  - pwm: imx-tpm: force 'real_period' to be zero in suspend
    (bsc#1012628).
  - pwm: sysfs: Do not apply state to already disabled PWMs
    (bsc#1012628).
  - pwm: ab8500: Fix error code in probe() (bsc#1012628).
  - pwm: mtk_disp: Fix the disable flow of disp_pwm (bsc#1012628).
  - md/raid10: fix the condition to call bio_end_io_acct()
    (bsc#1012628).
  - perf bpf: Move the declaration of struct rq (bsc#1012628).
  - blk-throttle: Fix io statistics for cgroup v1 (bsc#1012628).
  - rtc: st-lpc: Release some resources in st_rtc_probe() in case
    of error (bsc#1012628).
  - drm/i915/psr: Use hw.adjusted mode when calculating io/fast
    wake times (bsc#1012628).
  - drm/i915/guc/slpc: Apply min softlimit correctly (bsc#1012628).
  - f2fs: check return value of freeze_super() (bsc#1012628).
  - virtio-vdpa: Fix unchecked call to NULL set_vq_affinity
    (bsc#1012628).
  - tools/virtio: fix build break for aarch64 (bsc#1012628).
  - media: tc358746: select CONFIG_GENERIC_PHY (bsc#1012628).
  - media: cec: i2c: ch7322: also select REGMAP (bsc#1012628).
  - sctp: fix potential deadlock on &net->sctp.addr_wq_lock
    (bsc#1012628).
  - net/sched: act_ipt: add sanity checks on table name and hook
    locations (bsc#1012628).
  - net/sched: act_ipt: add sanity checks on skb before calling
    target (bsc#1012628).
  - net/sched: act_ipt: zero skb->cb before calling target
    (bsc#1012628).
  - spi: spi-geni-qcom: enable SPI_CONTROLLER_MUST_TX for GPI DMA
    mode (bsc#1012628).
  - net: mscc: ocelot: don't report that RX timestamping is enabled
    by default (bsc#1012628).
  - net: mscc: ocelot: don't keep PTP configuration of all ports
    in single structure (bsc#1012628).
  - net: dsa: felix: don't drop PTP frames with tag_8021q when RX
    timestamping is disabled (bsc#1012628).
  - net: dsa: sja1105: always enable the INCL_SRCPT option
    (bsc#1012628).
  - net: dsa: tag_sja1105: always prefer source port information
    from INCL_SRCPT (bsc#1012628).
  - Add MODULE_FIRMWARE() for FIRMWARE_TG357766 (bsc#1012628).
  - Bluetooth: fix invalid-bdaddr quirk for non-persistent setup
    (bsc#1012628).
  - Bluetooth: ISO: use hci_sync for setting CIG parameters
    (bsc#1012628).
  - Bluetooth: MGMT: Fix marking SCAN_RSP as not connectable
    (bsc#1012628).
  - sfc: support for devlink port requires MAE access (bsc#1012628).
  - ibmvnic: Do not reset dql stats on NON_FATAL err (bsc#1012628).
  - net: dsa: vsc73xx: fix MTU configuration (bsc#1012628).
  - mlxsw: minimal: fix potential memory leak in
    mlxsw_m_linecards_init (bsc#1012628).
  - spi: bcm-qspi: return error if neither hif_mspi nor mspi is
    available (bsc#1012628).
  - mailbox: ti-msgmgr: Fill non-message tx data fields with 0x0
    (bsc#1012628).
  - f2fs: fix error path handling in truncate_dnode() (bsc#1012628).
  - octeontx2-af: cn10kb: fix interrupt csr addresses (bsc#1012628).
  - octeontx2-af: Fix mapping for NIX block from CGX connection
    (bsc#1012628).
  - octeontx2-af: Add validation before accessing cgx and lmac
    (bsc#1012628).
  - octeontx2-af: Reset MAC features in FLR (bsc#1012628).
  - ntfs: Fix panic about slab-out-of-bounds caused by
    ntfs_listxattr() (bsc#1012628).
  - powerpc: allow PPC_EARLY_DEBUG_CPM only when SERIAL_CPM=y
    (bsc#1012628).
  - powerpc: dts: turris1x.dts: Fix PCIe MEM size for pci2 node
    (bsc#1012628).
  - net: bridge: keep ports without IFF_UNICAST_FLT in BR_PROMISC
    mode (bsc#1012628).
  - net: dsa: tag_sja1105: fix source port decoding in
    vlan_filtering=0 bridge mode (bsc#1012628).
  - net: fix net_dev_start_xmit trace event vs
    skb_transport_offset() (bsc#1012628).
  - tcp: annotate data races in __tcp_oow_rate_limited()
    (bsc#1012628).
  - vduse: fix NULL pointer dereference (bsc#1012628).
  - bpf, btf: Warn but return no error for NULL btf from
    __register_btf_kfunc_id_set() (bsc#1012628).
  - xsk: Honor SO_BINDTODEVICE on bind (bsc#1012628).
  - net/sched: act_pedit: Add size check for TCA_PEDIT_PARMS_EX
    (bsc#1012628).
  - drm/i915/psr: Fix BDW PSR AUX CH data register offsets
    (bsc#1012628).
  - fanotify: disallow mount/sb marks on kernel internal pseudo fs
    (bsc#1012628).
  - riscv: move memblock_allow_resize() after linear mapping is
    ready (bsc#1012628).
  - pptp: Fix fib lookup calls (bsc#1012628).
  - net: dsa: tag_sja1105: fix MAC DA patching from meta frames
    (bsc#1012628).
  - net: dsa: sja1105: always enable the send_meta options
    (bsc#1012628).
  - octeontx-af: fix hardware timestamp configuration (bsc#1012628).
  - afs: Fix accidental truncation when storing data (bsc#1012628).
  - s390/qeth: Fix vipa deletion (bsc#1012628).
  - risc-v: Fix order of IPI enablement vs RCU startup
    (bsc#1012628).
  - sh: dma: Fix DMA channel offset calculation (bsc#1012628).
  - apparmor: fix missing error check for rhashtable_insert_fast
    (bsc#1012628).
  - apparmor: add missing failure check in compute_xmatch_perms
    (bsc#1012628).
  - apparmor: fix policy_compat permission remap with extended
    permissions (bsc#1012628).
  - apparmor: fix profile verification and enable it (bsc#1012628).
  - i2c: xiic: Don't try to handle more interrupt events after error
    (bsc#1012628).
  - writeback: account the number of pages written back
    (bsc#1012628).
  - lib: dhry: fix sleeping allocations inside non-preemptable
    section (bsc#1012628).
  - Revert "drm/amd/display: Move DCN314 DOMAIN power control to
    DMCUB" (bsc#1012628).
  - arm64/signal: Restore TPIDR2 register rather than memory state
    (bsc#1012628).
  - irqchip/loongson-liointc: Fix IRQ trigger polarity
    (bsc#1012628).
  - nfsd: move init of percpu reply_cache_stats counters back to
    nfsd_init_net (bsc#1012628).
  - irqchip/loongson-pch-pic: Fix potential incorrect hwirq
    assignment (bsc#1012628).
  - NFSD: add encoding of op_recall flag for write delegation
    (bsc#1012628).
  - irqchip/loongson-pch-pic: Fix initialization of HT vector
    register (bsc#1012628).
  - io_uring: wait interruptibly for request completions on exit
    (bsc#1012628).
  - mm/mglru: make memcg_lru->lock irq safe (bsc#1012628).
  - mmc: core: disable TRIM on Kingston EMMC04G-M627 (bsc#1012628).
  - mmc: core: disable TRIM on Micron MTFC4GACAJCN-1M (bsc#1012628).
  - mmc: mmci: Set PROBE_PREFER_ASYNCHRONOUS (bsc#1012628).
  - mmc: sdhci: fix DMA configure compatibility issue when 64bit
    DMA mode is used (bsc#1012628).
  - wifi: cfg80211: fix regulatory disconnect for non-MLO
    (bsc#1012628).
  - wifi: ath10k: Serialize wake_tx_queue ops (bsc#1012628).
  - wifi: cfg80211: fix receiving mesh packets without RFC1042
    header (bsc#1012628).
  - wifi: mt76: mt7921e: fix init command fail with enabled device
    (bsc#1012628).
  - bcache: fixup btree_cache_wait list damage (bsc#1012628).
  - bcache: Remove unnecessary NULL point check in node allocations
    (bsc#1012628).
  - bcache: Fix __bch_btree_node_alloc to make the failure behavior
    consistent (bsc#1012628).
  - watch_queue: prevent dangling pipe pointer (bsc#1012628).
  - um: Use HOST_DIR for mrproper (bsc#1012628).
  - integrity: Fix possible multiple allocation in
    integrity_inode_get() (bsc#1012628).
  - autofs: use flexible array in ioctl structure (bsc#1012628).
  - mm/damon/ops-common: atomically test and clear young on ptes
    and pmds (bsc#1012628).
  - shmem: use ramfs_kill_sb() for kill_sb method of ramfs-based
    tmpfs (bsc#1012628).
  - nfsd: use vfs setgid helper (bsc#1012628).
  - jffs2: reduce stack usage in jffs2_build_xattr_subsystem()
    (bsc#1012628).
  - fs: avoid empty option when generating legacy mount string
    (bsc#1012628).
  - ext4: Remove ext4 locking of moved directory (bsc#1012628).
  - Revert "f2fs: fix potential corruption when moving a directory"
    (bsc#1012628).
  - Revert "udf: Protect rename against modification of moved
    directory" (bsc#1012628).
  - fs: Establish locking order for unrelated directories
    (bsc#1012628).
  - fs: Lock moved directories (bsc#1012628).
  - usb: typec: ucsi: Mark dGPUs as DEVICE scope (bsc#1012628).
  - ipvs: increase ip_vs_conn_tab_bits range for 64BIT
    (bsc#1012628).
  - btrfs: add handling for RAID1C23/DUP to
    btrfs_reduce_alloc_profile (bsc#1012628).
  - btrfs: fix dirty_metadata_bytes for redirtied buffers
    (bsc#1012628).
  - btrfs: insert tree mod log move in push_node_left (bsc#1012628).
  - btrfs: warn on invalid slot in tree mod log rewind
    (bsc#1012628).
  - btrfs: delete unused BGs while reclaiming BGs (bsc#1012628).
  - btrfs: bail out reclaim process if filesystem is read-only
    (bsc#1012628).
  - btrfs: add block-group tree to lockdep classes (bsc#1012628).
  - btrfs: reinsert BGs failed to reclaim (bsc#1012628).
  - btrfs: fix race when deleting quota root from the dirty cow
    roots list (bsc#1012628).
  - btrfs: add missing error handling when logging operation while
    COWing extent buffer (bsc#1012628).
  - btrfs: fix extent buffer leak after tree mod log failure at
    split_node() (bsc#1012628).
  - btrfs: do not BUG_ON() on tree mod log failure at
    __btrfs_cow_block() (bsc#1012628).
  - ASoC: mediatek: mt8173: Fix irq error path (bsc#1012628).
  - ASoC: mediatek: mt8173: Fix snd_soc_component_initialize error
    path (bsc#1012628).
  - regulator: tps65219: Fix matching interrupts for their
    regulators (bsc#1012628).
  - ARM: dts: qcom: msm8660: Fix regulator node names (bsc#1012628).
  - ARM: dts: qcom: ipq4019: fix broken NAND controller properties
    override (bsc#1012628).
  - ARM: orion5x: fix d2net gpio initialization (bsc#1012628).
  - leds: trigger: netdev: Recheck NETDEV_LED_MODE_LINKUP on dev
    rename (bsc#1012628).
  - blktrace: use inline function for blk_trace_remove() while
    blktrace is disabled (bsc#1012628).
  - Input: ads7846 - Fix usage of match data (bsc#1012628).
  - md/raid1-10: fix casting from randomized structure in
    raid1_submit_write() (bsc#1012628).
  - fs: no need to check source (bsc#1012628).
  - Input: ads7846 - fix pointer cast warning (bsc#1012628).
  - mips: Include KBUILD_CPPFLAGS in CHECKFLAGS invocation
    (bsc#1012628).
  - powerpc/vdso: Include CLANG_FLAGS explicitly in ldflags-y
    (bsc#1012628).
  - kbuild: Add CLANG_FLAGS to as-instr (bsc#1012628).
  - kbuild: Add KBUILD_CPPFLAGS to as-option invocation
    (bsc#1012628).
  - kbuild: add $(CLANG_FLAGS) to KBUILD_CPPFLAGS (bsc#1012628).
  - ovl: fix null pointer dereference in ovl_permission()
    (bsc#1012628).
  - ovl: let helper ovl_i_path_real() return the realinode
    (bsc#1012628).
  - ovl: fix null pointer dereference in ovl_get_acl_rcu()
    (bsc#1012628).
  - LoongArch: Include KBUILD_CPPFLAGS in CHECKFLAGS invocation
    (bsc#1012628).
  - netfilter: conntrack: Avoid nf_ct_helper_hash uses after free
    (bsc#1012628).
  - netfilter: nf_tables: do not ignore genmask when looking up
    chain by id (bsc#1012628).
  - netfilter: nf_tables: prevent OOB access in nft_byteorder_eval
    (bsc#1012628).
  - wireguard: queueing: use saner cpu selection wrapping
    (bsc#1012628).
  - wireguard: netlink: send staged packets when setting initial
    private key (bsc#1012628).
  - tty: serial: fsl_lpuart: add earlycon for imx8ulp platform
    (bsc#1012628).
  - io_uring: Use io_schedule* in cqring wait (bsc#1012628).
  - block/partition: fix signedness issue for Amiga partitions
    (bsc#1012628).
  - sh: mach-r2d: Handle virq offset in cascaded IRL demux
    (bsc#1012628).
  - sh: mach-highlander: Handle virq offset in cascaded IRL demux
    (bsc#1012628).
  - sh: mach-dreamcast: Handle virq offset in cascaded IRQ demux
    (bsc#1012628).
  - sh: hd64461: Handle virq offset for offchip IRQ base and
    HD64461 IRQ (bsc#1012628).
  - commit 919c802
* Tue Jul 18 2023 msuchanek@suse.de
  - Revert "kbuild: Hack for depmod not handling X.Y versions" (bsc#1212835).
  - Refresh patches.rpmify/usrmerge-Adjust-module-path-in-the-kernel-sources.patch.
  - commit 8a9c423
* Tue Jul 18 2023 jslaby@suse.cz
  - ACPI: video: Add backlight=native DMI quirk for Lenovo Ideapad
    Z470 (bsc#1208724).
  - commit 54e3bad
* Tue Jul 18 2023 jslaby@suse.cz
  - pinctrl: amd: Unify debounce handling into amd_pinconf_set()
    (bko#217336).
  - pinctrl: amd: Drop pull up select configuration (bko#217336).
  - pinctrl: amd: Use amd_pinconf_set() for all config options
    (bko#217336).
  - pinctrl: amd: Only use special debounce behavior for GPIO 0
    (bko#217336).
  - pinctrl: amd: Revert "pinctrl: amd: disable and mask interrupts
    on probe" (bko#217336).
  - pinctrl: amd: Detect and mask spurious interrupts (bko#217336).
  - pinctrl: amd: Fix mistake in handling clearing pins at startup
    (bko#217336).
  - pinctrl: amd: Detect internal GPIO0 debounce handling
    (bko#217336).
  - commit a3dbbc0
* Mon Jul 17 2023 msuchanek@suse.de
  - rpm: Update dependency to match current kmod.
  - Refresh patches.rpmify/usrmerge-Adjust-module-path-in-the-kernel-sources.patch.
    Update to match current kmod (bsc#1212835).
  - commit d687dc3
* Mon Jul 17 2023 jslaby@suse.cz
  - Refresh
    patches.suse/drm-amdgpu-sdma4-set-align-mask-to-255.patch.
    Update to upstream version. 6.4 already contains 4_4_2.
  - commit 48f7169
* Mon Jul 17 2023 mkubecek@suse.cz
  - Update to 6.5-rc2
  - eliminate 1 patch
    - patches.rpmify/objtool-initialize-all-of-struct-elf.patch (9f71fbcde282)
  - commit c159bc5
* Thu Jul 13 2023 msuchanek@suse.de
  - of: Preserve "of-display" device name for compatibility
    (bsc#1212405).
  - commit 58e8dc0
* Thu Jul 13 2023 msuchanek@suse.de
  - depmod: Handle installing modules under a prefix (bsc#1212835).
  - commit b2abe86
* Wed Jul 12 2023 jgross@suse.com
  - Restore kABI for NVidia vGPU driver (bsc#1210825).
  - commit 01c9bbd
* Wed Jul 12 2023 tiwai@suse.de
  - Move upstreamed AMDGPU patches into sorted section
  - commit f6ca0bc
* Tue Jul 11 2023 jslaby@suse.cz
  - Linux 6.4.3 (bsc#1012628).
  - mm: call arch_swap_restore() from do_swap_page() (bsc#1012628).
  - bootmem: remove the vmemmap pages from kmemleak in
    free_bootmem_page (bsc#1012628).
  - commit 5fb5b21
* Mon Jul 10 2023 schwab@suse.de
  - rpm/check-for-config-changes: ignore also RISCV_ISA_* and DYNAMIC_SIGFRAME
    They depend on CONFIG_TOOLCHAIN_HAS_*.
  - commit 1007103
* Mon Jul 10 2023 jslaby@suse.cz
  - Refresh
    patches.suse/fork-lock-VMAs-of-the-parent-process-when-forking.patch.
    Replace by the correct one. It was merged to upstream twice. And this is
    the right version.
  - commit b97b894
* Mon Jul 10 2023 jslaby@suse.cz
  - Update vanilla config files.
    Just run oldconfig for vanillas too. No actual changes.
  - commit dcdca04
* Mon Jul 10 2023 jslaby@suse.cz
  - Update
    patches.kernel.org/6.4.1-021-mm-always-expand-the-stack-with-the-mmap-write-.patch
    (bsc#1012628 bsc#1212395 CVE-2023-3269).
    Add references to CVE.
  - commit 5a45f18
* Mon Jul 10 2023 mkubecek@suse.cz
  - refresh vanilla configs
  - commit ab4066a
* Mon Jul 10 2023 jslaby@suse.cz
  - Update config files.
    Only run_oldconfig.
  - commit 37ad463
* Mon Jul 10 2023 jslaby@suse.cz
  - fork: lock VMAs of the parent process when forking
    (bsc#1212775).
  - mm: lock a vma before stack expansion (bsc#1212775).
  - mm: lock newly mapped VMA which can be modified after it
    becomes visible (bsc#1212775).
  - mm: lock newly mapped VMA with corrected ordering (bsc#1212775).
  - Update config files.
  - Delete
    patches.suse/Revert-x86-mm-try-VMA-lock-based-page-fault-handling.patch.
    Drop the downstream revert in favor of upstream fixes above and reset
    the configs -- leave STATS off as per default.
  - commit e2dafc9
* Mon Jul 10 2023 jslaby@suse.cz
  - Delete
    patches.suse/Revert-x86-mm-try-VMA-lock-based-page-fault-handling.patch.
  - Update config files.
    It was fixed in 6.5-rc1 by commits:
    fb49c455 fork: lock VMAs of the parent process when forking
    2b4f3b49 fork: lock VMAs of the parent process when forking
    1c7873e3 mm: lock newly mapped VMA with corrected ordering
    33313a74 mm: lock newly mapped VMA which can be modified after it becomes visible
    c137381f mm: lock a vma before stack expansion
    So drop the downstream revert and reset the configs -- leave STATS off
    as per default.
  - commit 50f64ca
* Mon Jul 10 2023 mkubecek@suse.cz
  - Update to 6.5-rc1
  - drop 34 patches (33 stable, 1 mainline)
    - patches.kernel.org/*
    - patches.suse/HID-microsoft-Add-rumble-support-to-latest-xbox-cont.patch
  - refresh
    - patches.suse/0003-efi-Lock-down-the-kernel-if-booted-in-secure-boot-mode.patch
    - patches.suse/0004-efi-Lock-down-the-kernel-at-the-integrity-level-if-b.patch
    - patches.suse/HID-microsoft-Add-rumble-support-to-latest-xbox-cont.patch
    - patches.suse/add-suse-supported-flag.patch
    - patches.suse/iwlwifi-cfg-Add-missing-MODULE_FIRMWARE-for-pnvm.patch
    - patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch
    - patches.suse/vfs-add-super_operations-get_inode_dev
  - add build failure fix
    - patches.rpmify/objtool-initialize-all-of-struct-elf.patch
  - disable ARM architectures (need config update)
  - new config options
    - General setup
    - CACHESTAT_SYSCALL=y
    - Power management and ACPI options
    - X86_AMD_PSTATE_DEFAULT_MODE=3
    - Memory Management options
    - ZSWAP_EXCLUSIVE_LOADS_DEFAULT_ON=n
    - SLAB_DEPRECATED=n
    - Cryptographic API
    - CRYPTO_JITTERENTROPY_TESTINTERFACE=n
    - Kernel hacking
    - HARDLOCKUP_DETECTOR_PREFER_BUDDY=n
    - WQ_CPU_INTENSIVE_REPORT=n
    - FUNCTION_GRAPH_RETVAL=y
    - FPROBE_EVENTS=y
    - PROBE_EVENTS_BTF_ARGS=y
    - PCI support
    - PCI_EPF_MHI=m
    - CXL_PMU=m
    - Misc devices
    - INTEL_MEI_GSC_PROXY=m
    - TPS6594_ESM=m
    - TPS6594_PFSM=m
    - Network device support
    - CAN_F81604=m
    - PPPOE_HASH_BITS_1=n
    - PPPOE_HASH_BITS_2=n
    - PPPOE_HASH_BITS_4=y
    - PPPOE_HASH_BITS_8=n
    - RTW88_8723DS=m
    - RTW89_8851BE=m
    - Hardware Monitoring support
    - MAX31827=m
    - SENSORS_HP_WMI=m
    - Multifunction device drivers
    - MFD_MAX77541=n
    - MFD_TPS6594_I2C=m
    - MFD_TPS6594_SPI=m
    - Sound card support
    - SND_SEQ_UMP=y
    - SND_UMP_LEGACY_RAWMIDI=y
    - SND_PCMTEST=m
    - SND_USB_AUDIO_MIDI_V2=y
    - SND_SOC_CHV3_I2S=m
    - SND_SOC_CHV3_CODEC=m
    - SND_SOC_MAX98388=m
    - SND_SOC_RT722_SDCA_SDW=m
    - SND_SOC_TAS2781_I2C=n
    - SND_SOC_WSA884X=n
    - HID bus support
    - HID_NVIDIA_SHIELD=m
    - NVIDIA_SHIELD_FF=y
    - USB support
    - USB_CDNS2_UDC=m
    - TYPEC_MUX_NB7VPQ904M=m
    - LED Support
    - LEDS_AW200XX=m
    - LEDS_CHT_WCOVE=m
    - LEDS_SIEMENS_SIMATIC_IPC_APOLLOLAKE=m
    - LEDS_SIEMENS_SIMATIC_IPC_F7188X=m
    - X86 Platform Specific Device Drivers
    - YOGABOOK=m
    - AMD_PMF_DEBUG=n
    - Industrial I/O support
    - ROHM_BU27008=m
    - OPT4001=n
    - X9250=m
    - MPRLS0025PA=n
    - Misc devices
    - INTEL_MEI_GSC_PROXY=m
    - TPS6594_ESM=m
    - TPS6594_PFSM=m
    - THERMAL_DEFAULT_GOV_BANG_BANG=n
    - REGULATOR_RAA215300=m
    - VIDEO_OV01A10=m
    - DRM_AMDGPU_WERROR=n
    - PDS_VDPA=m
    - INTEL_RAPL_TPMI=m
    - CXL_PMU=m
    - OF dependent (i386, ppc64/ppc64le, riscv64)
    - MFD_MAX5970=m
    - MFD_RK8XX_I2C=n
    - MFD_RK8XX_SPI=n
    - REGULATOR_TPS6287X=m
    - REGULATOR_TPS6594=m
    - DRM_PANEL_SAMSUNG_S6D7AA0=n
    - i386
    - SND_SOC_SSM3515=n
    - i386/default
    - TOUCHSCREEN_MK712=m
    - s390x
    - RFKILL_GPIO=m
    - TI_ST=m
    - GP_PCI1XXXX=m
    - MDIO_GPIO=m
    - ISDN=n
    - I2C_CBUS_GPIO=m
    - I2C_GPIO=m
    - I2C_GPIO_FAULT_INJECTOR=n
    - GPIOLIB_FASTPATH_LIMIT=512
    - DEBUG_GPIO=n
    - GPIO_SYSFS=y
    - GPIO_CDEV_V1=y
    - GPIO_DWAPB=n
    - GPIO_GENERIC_PLATFORM=m
    - GPIO_MB86S7X=n
    - GPIO_AMD_FCH=m
    - GPIO_FXL6408=m
    - GPIO_MAX7300=m
    - GPIO_MAX732X=m
    - GPIO_PCA953X=m
    - GPIO_PCA953X_IRQ=y
    - GPIO_PCA9570=m
    - GPIO_PCF857X=m
    - GPIO_TPIC2810=m
    - GPIO_BT8XX=n
    - GPIO_PCI_IDIO_16=m
    - GPIO_PCIE_IDIO_24=m
    - GPIO_RDC321X=n
    - GPIO_AGGREGATOR=m
    - GPIO_LATCH=m
    - GPIO_MOCKUP=m
    - GPIO_VIRTIO=m
    - GPIO_SIM=m
    - SENSORS_LTC2992=n
    - SENSORS_SHT15=m
    - MEN_A21_WDT=m
    - SSB_DRIVER_GPIO=y
    - TPS65010=m
    - REGULATOR_GPIO=m
    - REGULATOR_TPS65132=m
    - FB_SSD1307=n
    - HD44780=m
    - PANEL_CHANGE_MESSAGE=n
    - EXTCON_GPIO=m
    - EXTCON_MAX3355=m
    - EXTCON_PTN5150=m
    - EXTCON_USB_GPIO=n
    - MUX_GPIO=n
    - s390x/zfcpdump
    - NVME_TARGET=y
    - NVME_TARGET_LOOP=y
    - NVME_TARGET_FC=y
    - NVME_TARGET_AUTH=n
    - NVME_MULTIPATH=y
    - NVME_VERBOSE_ERRORS=n
    - NVME_AUTH=n
    - NVME_TARGET_PASSTHRU=n
    - MOST=n
    - riscv64
    - LD_DEAD_CODE_DATA_ELIMINATION=n
    - ARCH_THEAD=y
    - IRQ_STACKS=y
    - THREAD_SIZE_ORDER=2
    - SUSPEND=y
    - SUSPEND_SKIP_SYNC=n
    - PM_AUTOSLEEP=n
    - PM_USERSPACE_AUTOSLEEP=n
    - PM_WAKELOCKS=n
    - PM_TEST_SUSPEND=n
    - ACPI=y
    - ACPI_DEBUGGER=n
    - ACPI_SPCR_TABLE=y
    - ACPI_EC_DEBUGFS=m
    - ACPI_AC=m
    - ACPI_BATTERY=m
    - ACPI_BUTTON=m
    - ACPI_TINY_POWER_BUTTON=m
    - ACPI_TINY_POWER_BUTTON_SIGNAL=38
    - ACPI_VIDEO=m
    - ACPI_FAN=m
    - ACPI_TAD=m
    - ACPI_DOCK=y
    - ACPI_IPMI=m
    - ACPI_CUSTOM_DSDT_FILE=""
    - ACPI_DEBUG=y
    - ACPI_PCI_SLOT=y
    - ACPI_CONTAINER=y
    - ACPI_HED=y
    - ACPI_CUSTOM_METHOD=m
    - ACPI_NFIT=m
    - NFIT_SECURITY_DEBUG=n
    - ACPI_CONFIGFS=m
    - ACPI_PFRUT=m
    - ACPI_FFH=y
    - PMIC_OPREGION=y
    - BT_HCIUART_RTL=y
    - PCIE_EDR=y
    - HOTPLUG_PCI_ACPI=y
    - HOTPLUG_PCI_ACPI_IBM=m
    - CXL_ACPI=m
    - FW_CACHE=y
    - ISCSI_IBFT=m
    - EFI_CUSTOM_SSDT_OVERLAYS=y
    - PNP_DEBUG_MESSAGES=n
    - ATA_ACPI=y
    - SATA_ZPODD=y
    - PATA_ACPI=m
    - NET_SB1000=n
    - FUJITSU_ES=m
    - TOUCHSCREEN_CHIPONE_ICN8505=m
    - INPUT_SOC_BUTTON_ARRAY=m
    - SERIAL_8250_PNP=y
    - TCG_INFINEON=m
    - ACPI_I2C_OPREGIOSENSORS_ACPI_POWERN=y
    - I2C_AMD_MP2=m
    - I2C_SCMI=m
    - SPI_RZV2M_CSI=m
    - PINCTRL_AMD=y
    - GPIO_AMDPT=m
    - SENSORS_NCT6775=m
    - SENSORS_ACPI_POWER=m
    - WDAT_WDT=m
    - IR_ENE=m
    - IR_FINTEK=m
    - IR_ITE_CIR=m
    - IR_NUVOTON=m
    - VIDEO_OV2740=m
    - VIDEO_OV9734=m
    - DRM_SHMOBILE=n
    - SND_HDA_SCODEC_CS35L41_I2C=m
    - SND_HDA_SCODEC_CS35L41_SPI=m
    - SND_SOC_AMD_CZ_DA7219MX98357_MACH=m
    - SND_SOC_AMD_ST_ES8336_MACH=m
    - SND_SOC_SOF_ACPI=m
    - SND_SOC_STARFIVE=m
    - SND_SOC_JH7110_TDM=m
    - SND_SOC_SSM3515=n
    - I2C_HID_ACPI=m
    - USB_CDNS3_PCI_WRAP=m
    - USB_CDNS3_STARFIVE=m
    - USB_CDNSP_PCI=m
    - USB_CDNSP_HOST=y
    - UCSI_ACPI=m
    - MMC_SDHCI_ACPI=m
    - VMGENID=m
    - PCC=y
    - ACPI_ALS=m
    - PWM_MICROCHIP_CORE=m
    - INTEL_TH_ACPI=m
    - CRYPTO_DEV_JH7110=m
    - PER_VMA_LOCK_STATS=y
    - HARDLOCKUP_DETECTOR=y
    - BOOTPARAM_HARDLOCKUP_PANIC=y
    - ACPI_PCC=y
    - SENSORS_XGENE=m
  - commit fe612b0
* Sun Jul 09 2023 jslaby@suse.cz
  - Linux 6.4.2 (bsc#1012628).
  - arch/arm64/mm/fault: Fix undeclared variable error in
    do_page_fault() (bsc#1012628).
  - drm/amdgpu: Validate VM ioctl flags (bsc#1012628).
  - dm ioctl: Avoid double-fetch of version (bsc#1012628).
  - docs: Set minimal gtags / GNU GLOBAL version to 6.6.5
    (bsc#1012628).
  - scripts/tags.sh: Resolve gtags empty index generation
    (bsc#1012628).
  - hugetlb: revert use of page_cache_next_miss() (bsc#1012628).
  - nubus: Partially revert proc_create_single_data() conversion
    (bsc#1012628).
  - Revert "cxl/port: Enable the HDM decoder capability for switch
    ports" (bsc#1012628).
  - nfs: don't report STATX_BTIME in ->getattr (bsc#1012628).
  - execve: always mark stack as growing down during early stack
    setup (bsc#1012628).
  - PCI/ACPI: Call _REG when transitioning D-states (bsc#1012628).
  - PCI/ACPI: Validate acpi_pci_set_power_state() parameter
    (bsc#1012628).
  - tools/nolibc: x86_64: disable stack protector for _start
    (bsc#1012628).
  - xtensa: fix lock_mm_and_find_vma in case VMA not found
    (bsc#1012628).
  - commit 648ac3b
* Fri Jul 07 2023 duwe@suse.de
  - regulator: axp20x: Add AXP15060 support.
  - commit db7b000
* Wed Jul 05 2023 jslaby@suse.cz
  - Revert "Refresh patches.rpmify/usrmerge-Adjust-module-path-in-the-kernel-sources.patch."
    This reverts commit b8411965e8341c82ba2e01bb38698945be2390a0. It breaks
    the build:
    + ln -s /usr/src/linux-6.4.1-1 /home/abuild/rpmbuild/BUILDROOT/kernel-default-6.4.1-1.1.x86_64/usr/lib/modules/6.4.1-1-default/source
    ln: failed to create symbolic link '/home/abuild/rpmbuild/BUILDROOT/kernel-default-6.4.1-1.1.x86_64/usr/lib/modules/6.4.1-1-default/source': No such file or directory
  - commit 3561b10
* Wed Jul 05 2023 tiwai@suse.de
  - drm/amd: Don't try to enable secure display TA multiple times
    (bsc#1212848).
  - drm/amdgpu: fix number of fence calculations (bsc#1212848).
  - drm/amd/display: perform a bounds check before filling dirty
    rectangles (bsc#1212848).
  - drm/amdgpu: check RAS irq existence for VCN/JPEG (bsc#1212848).
  - drm/amd/pm: add abnormal fan detection for smu 13.0.0
    (bsc#1212848).
  - drm/amd: Disable PSR-SU on Parade 0803 TCON (bsc#1212848).
  - drm/amd/pm: update the LC_L1_INACTIVITY setting to address
    possible noise issue (bsc#1212848).
  - drm/amd/display: Fix 128b132b link loss handling (bsc#1212848).
  - drm/amd/display: disable seamless boot if force_odm_combine
    is enabled (bsc#1212848).
  - drm/amd/display: add a NULL pointer check (bsc#1212848).
  - drm/amd/pm: revise the ASPM settings for thunderbolt attached
    scenario (bsc#1212848).
  - drm/amdgpu: fix clearing mappings for BOs that are always
    valid in VM (bsc#1212848).
  - drm/amdgpu: Skip mark offset for high priority rings
    (bsc#1212848).
  - drm/amdgpu: make sure that BOs have a backing store
    (bsc#1212848).
  - drm/amdgpu: make sure BOs are locked in amdgpu_vm_get_memory
    (bsc#1212848).
  - commit a695138
* Mon Jul 03 2023 msuchanek@suse.de
  - Remove more packaging cruft for SLE < 12 SP3
  - commit a16781c
* Mon Jul 03 2023 jslaby@suse.cz
  - Linux 6.4.1 (bsc#1012628).
  - x86/microcode/AMD: Load late on both threads too (bsc#1012628).
  - x86/smp: Make stop_other_cpus() more robust (bsc#1012628).
  - x86/smp: Dont access non-existing CPUID leaf (bsc#1012628).
  - x86/smp: Remove pointless wmb()s from native_stop_other_cpus()
    (bsc#1012628).
  - x86/smp: Use dedicated cache-line for mwait_play_dead()
    (bsc#1012628).
  - x86/smp: Cure kexec() vs. mwait_play_dead() breakage
    (bsc#1012628).
  - cpufreq: amd-pstate: Make amd-pstate EPP driver name hyphenated
    (bsc#1012628).
  - can: isotp: isotp_sendmsg(): fix return error fix on TX path
    (bsc#1012628).
  - maple_tree: fix potential out-of-bounds access in
    mas_wr_end_piv() (bsc#1012628).
  - mm: introduce new 'lock_mm_and_find_vma()' page fault helper
    (bsc#1012628).
  - mm: make the page fault mmap locking killable (bsc#1012628).
  - arm64/mm: Convert to using lock_mm_and_find_vma() (bsc#1012628).
  - powerpc/mm: Convert to using lock_mm_and_find_vma()
    (bsc#1012628).
  - mips/mm: Convert to using lock_mm_and_find_vma() (bsc#1012628).
  - riscv/mm: Convert to using lock_mm_and_find_vma() (bsc#1012628).
  - arm/mm: Convert to using lock_mm_and_find_vma() (bsc#1012628).
  - mm/fault: convert remaining simple cases to
    lock_mm_and_find_vma() (bsc#1012628).
  - powerpc/mm: convert coprocessor fault to lock_mm_and_find_vma()
    (bsc#1012628).
  - mm: make find_extend_vma() fail if write lock not held
    (bsc#1012628).
  - execve: expand new process stack manually ahead of time
    (bsc#1012628).
  - mm: always expand the stack with the mmap write lock held
    (bsc#1012628).
  - HID: wacom: Use ktime_t rather than int when dealing with
    timestamps (bsc#1012628).
  - gup: add warning if some caller would seem to want stack
    expansion (bsc#1012628).
  - mm/khugepaged: fix regression in collapse_file() (bsc#1012628).
  - fbdev: fix potential OOB read in fast_imageblit() (bsc#1012628).
  - HID: hidraw: fix data race on device refcount (bsc#1012628).
  - HID: logitech-hidpp: add HIDPP_QUIRK_DELAYED_INIT for the T651
    (bsc#1012628).
  - Revert "thermal/drivers/mediatek: Use devm_of_iomap to avoid
    resource leak in mtk_thermal_probe" (bsc#1012628).
  - sparc32: fix lock_mm_and_find_vma() conversion (bsc#1012628).
  - parisc: fix expand_stack() conversion (bsc#1012628).
  - csky: fix up lock_mm_and_find_vma() conversion (bsc#1012628).
  - xtensa: fix NOMMU build with lock_mm_and_find_vma() conversion
    (bsc#1012628).
  - Refresh
    patches.suse/Revert-x86-mm-try-VMA-lock-based-page-fault-handling.patch.
  - Update config files (CONFIG_LOCK_MM_AND_FIND_VMA=y).
    There is no choice.
  - commit eb53035
* Fri Jun 30 2023 msuchanek@suse.de
  - Refresh patches.rpmify/usrmerge-Adjust-module-path-in-the-kernel-sources.patch.
    Get module prefix from kmod (bsc#1212835).
    Uses jq to parse 'kmod config' output.
  - Get module prefix from kmod (bsc#1212835).
  - Refresh patches.rpmify/usrmerge-Adjust-module-path-in-the-kernel-sources.patch.
    Get module prefix from kmod (bsc#1212835).
  - commit 75e1d32
* Fri Jun 30 2023 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream reference and move into sorted section:
    - patches.suse/HID-microsoft-Add-rumble-support-to-latest-xbox-cont.patch
  - commit ce0878a
* Fri Jun 30 2023 mkubecek@suse.cz
  - rpm/check-for-config-changes: ignore also PAHOLE_HAS_*
    We now also have options like CONFIG_PAHOLE_HAS_LANG_EXCLUDE.
  - commit 86b52c1
* Thu Jun 29 2023 msuchanek@suse.de
  - Update vanilla config files.
  - commit 94a0f63
* Thu Jun 29 2023 msuchanek@suse.de
  - usrmerge: Adjust module path in the kernel sources (bsc#1212835).
    With the module path adjustment applied as source patch only
    ALP/Tumbleweed kernel built on SLE/Leap needs the path changed back to
    non-usrmerged.
  - commit bde5158
* Thu Jun 29 2023 jslaby@suse.cz
  - Revert "x86/mm: try VMA lock-based page fault handling first"
    (bsc#1212775).
  - Update config files.
  - commit 43c9b6b
* Wed Jun 28 2023 jslaby@suse.cz
  - Revert "io_uring: Adjust mapping wrt architecture aliasing
    requirements" (bsc#1212773).
  - commit d2e19af
* Mon Jun 26 2023 msuchanek@suse.de
  - kernel-docs: Use python3 together with python3-Sphinx (bsc#1212741).
  - commit 95a40a6
* Mon Jun 26 2023 jslaby@suse.cz
  - Refresh
    patches.suse/HID-microsoft-Add-rumble-support-to-latest-xbox-cont.patch.
    Update upstream status and move to upstream-soon section.
  - commit 1a327c7
* Mon Jun 26 2023 mkubecek@suse.cz
  - Update to 6.4 final
  - refresh configs (headers only)
  - commit 4b7bbac
* Thu Jun 22 2023 jslaby@suse.cz
  - Linux 6.3.9 (bsc#1012628).
  - x86/head/64: Switch to KERNEL_CS as soon as new GDT is installed
    (bsc#1012628).
  - cgroup: bpf: use cgroup_lock()/cgroup_unlock() wrappers
    (bsc#1012628).
  - cgroup: always put cset in cgroup_css_set_put_fork
    (bsc#1012628).
  - cgroup: fix missing cpus_read_{lock,unlock}() in
    cgroup_transfer_tasks() (bsc#1012628).
  - qcom: llcc/edac: Fix the base address used for accessing LLCC
    banks (bsc#1012628).
  - EDAC/qcom: Get rid of hardcoded register offsets (bsc#1012628).
  - ksmbd: validate smb request protocol id (bsc#1012628).
  - of: overlay: Fix missing of_node_put() in error case of
    init_overlay_changeset() (bsc#1012628).
  - power: supply: ab8500: Fix external_power_changed race
    (bsc#1012628).
  - power: supply: sc27xx: Fix external_power_changed race
    (bsc#1012628).
  - power: supply: bq27xxx: Use mod_delayed_work() instead of
    cancel() + schedule() (bsc#1012628).
  - ARM: dts: vexpress: add missing cache properties (bsc#1012628).
  - arm64: dts: arm: add missing cache properties (bsc#1012628).
  - tools: gpio: fix debounce_period_us output of lsgpio
    (bsc#1012628).
  - selftests: gpio: gpio-sim: Fix BUG: test FAILED due to recent
    change (bsc#1012628).
  - power: supply: Ratelimit no data debug output (bsc#1012628).
  - PCI/DPC: Quirk PIO log size for Intel Ice Lake Root Ports
    (bsc#1012628).
  - platform/x86: asus-wmi: Ignore WMI events with codes 0x7B,
    0xC0 (bsc#1012628).
  - regulator: Fix error checking for debugfs_create_dir
    (bsc#1012628).
  - irqchip/gic-v3: Disable pseudo NMIs on Mediatek devices w/
    firmware issues (bsc#1012628).
  - irqchip/meson-gpio: Mark OF related data as maybe unused
    (bsc#1012628).
  - power: supply: Fix logic checking if system is running from
    battery (bsc#1012628).
  - drm: panel-orientation-quirks: Change Air's quirk to support
    Air Plus (bsc#1012628).
  - btrfs: scrub: try harder to mark RAID56 block groups read-only
    (bsc#1012628).
  - btrfs: handle memory allocation failure in btrfs_csum_one_bio
    (bsc#1012628).
  - ASoC: soc-pcm: test if a BE can be prepared (bsc#1012628).
  - sfc: fix devlink info error handling (bsc#1012628).
  - ASoC: Intel: avs: Account for UID of ACPI device (bsc#1012628).
  - ASoC: Intel: avs: Fix avs_path_module::instance_id size
    (bsc#1012628).
  - ASoC: Intel: avs: Add missing checks on FE startup
    (bsc#1012628).
  - parisc: Improve cache flushing for PCXL in
    arch_sync_dma_for_cpu() (bsc#1012628).
  - parisc: Flush gatt writes and adjust gatt mask in
    parisc_agp_mask_memory() (bsc#1012628).
  - erofs: use HIPRI by default if per-cpu kthreads are enabled
    (bsc#1012628).
  - MIPS: unhide PATA_PLATFORM (bsc#1012628).
  - MIPS: Restore Au1300 support (bsc#1012628).
  - MIPS: Alchemy: fix dbdma2 (bsc#1012628).
  - mips: Move initrd_start check after initrd address sanitisation
    (bsc#1012628).
  - ASoC: cs35l41: Fix default regmap values for some registers
    (bsc#1012628).
  - ASoC: dwc: move DMA init to snd_soc_dai_driver probe()
    (bsc#1012628).
  - xen/blkfront: Only check REQ_FUA for writes (bsc#1012628).
  - drm:amd:amdgpu: Fix missing buffer object unlock in failure path
    (bsc#1012628).
  - io_uring: unlock sqd->lock before sq thread release CPU
    (bsc#1012628).
  - NVMe: Add MAXIO 1602 to bogus nid list (bsc#1012628).
  - irqchip/gic: Correctly validate OF quirk descriptors
    (bsc#1012628).
  - wifi: cfg80211: fix locking in regulatory disconnect
    (bsc#1012628).
  - wifi: cfg80211: fix double lock bug in reg_wdev_chan_valid()
    (bsc#1012628).
  - epoll: ep_autoremove_wake_function should use
    list_del_init_careful (bsc#1012628).
  - ocfs2: fix use-after-free when unmounting read-only filesystem
    (bsc#1012628).
  - ocfs2: check new file size on fallocate call (bsc#1012628).
  - zswap: do not shrink if cgroup may not zswap (bsc#1012628).
  - mm/damon/core: fix divide error in
    damon_nr_accesses_to_accesses_bp() (bsc#1012628).
  - nios2: dts: Fix tse_mac "max-frame-size" property (bsc#1012628).
  - mm/uffd: fix vma operation where start addr cuts part of vma
    (bsc#1012628).
  - nilfs2: fix incomplete buffer cleanup in
    nilfs_btnode_abort_change_key() (bsc#1012628).
  - nilfs2: fix possible out-of-bounds segment allocation in resize
    ioctl (bsc#1012628).
  - nilfs2: reject devices with insufficient block count
    (bsc#1012628).
  - LoongArch: Fix debugfs_create_dir() error checking
    (bsc#1012628).
  - LoongArch: Fix perf event id calculation (bsc#1012628).
  - io_uring/net: save msghdr->msg_control for retries
    (bsc#1012628).
  - Revert "drm/amdgpu: remove TOPDOWN flags when allocating VRAM
    in large bar system" (bsc#1012628).
  - kexec: support purgatories with .text.hot sections
    (bsc#1012628).
  - x86/purgatory: remove PGO flags (bsc#1012628).
  - riscv/purgatory: remove PGO flags (bsc#1012628).
  - powerpc/purgatory: remove PGO flags (bsc#1012628).
  - btrfs: subpage: fix a crash in metadata repair path
    (bsc#1012628).
  - btrfs: properly enable async discard when switching from RO->RW
    (bsc#1012628).
  - btrfs: do not ASSERT() on duplicated global roots (bsc#1012628).
  - btrfs: fix iomap_begin length for nocow writes (bsc#1012628).
  - btrfs: can_nocow_file_extent should pass down args->strict
    from callers (bsc#1012628).
  - ALSA: usb-audio: Fix broken resume due to UAC3 power state
    (bsc#1012628).
  - ALSA: usb-audio: Add quirk flag for HEM devices to enable
    native DSD playback (bsc#1012628).
  - s390/ism: Fix trying to free already-freed IRQ by repeated
    ism_dev_exit() (bsc#1012628).
  - dm thin metadata: check fail_io before using data_sm
    (bsc#1012628).
  - dm thin: fix issue_discard to pass GFP_NOIO to
    __blkdev_issue_discard (bsc#1012628).
  - net: ethernet: stmicro: stmmac: fix possible memory leak in
    __stmmac_open (bsc#1012628).
  - mm/gup_test: fix ioctl fail for compat task (bsc#1012628).
  - RDMA/uverbs: Restrict usage of privileged QKEYs (bsc#1012628).
  - drm/amdgpu: vcn_4_0 set instance 0 init sched score to 1
    (bsc#1012628).
  - net: usb: qmi_wwan: add support for Compal RXM-G1 (bsc#1012628).
  - drm/amd/display: limit DPIA link rate to HBR3 (bsc#1012628).
  - drm/amd/display: edp do not add non-edid timings (bsc#1012628).
  - drm/amd: Make sure image is written to trigger VBIOS image
    update flow (bsc#1012628).
  - drm/amd: Tighten permissions on VBIOS flashing attributes
    (bsc#1012628).
  - drm/amd/pm: workaround for compute workload type on some skus
    (bsc#1012628).
  - drm/amdgpu: add missing radeon secondary PCI ID (bsc#1012628).
  - drm/amdgpu: Reset CP_VMID_PREEMPT after trailing fence signaled
    (bsc#1012628).
  - drm/amdgpu: Program gds backup address as zero if no gds
    allocated (bsc#1012628).
  - drm/amdgpu: Implement gfx9 patch functions for resubmission
    (bsc#1012628).
  - drm/amdgpu: Modify indirect buffer packages for resubmission
    (bsc#1012628).
  - ALSA: hda/realtek: Add a quirk for Compaq N14JP6 (bsc#1012628).
  - thunderbolt: Increase DisplayPort Connection Manager handshake
    timeout (bsc#1012628).
  - thunderbolt: Do not touch CL state configuration during
    discovery (bsc#1012628).
  - thunderbolt: dma_test: Use correct value for absent rings when
    creating paths (bsc#1012628).
  - clk: pxa: fix NULL pointer dereference in pxa3xx_clk_update_accr
    (bsc#1012628).
  - USB: serial: option: add Quectel EM061KGL series (bsc#1012628).
  - serial: lantiq: add missing interrupt ack (bsc#1012628).
  - tty: serial: fsl_lpuart: reduce RX watermark to 0 on LS1028A
    (bsc#1012628).
  - usb: typec: ucsi: Fix command cancellation (bsc#1012628).
  - usb: typec: Fix fast_role_swap_current show function
    (bsc#1012628).
  - usb: gadget: udc: core: Offload usb_udc_vbus_handler processing
    (bsc#1012628).
  - usb: gadget: udc: core: Prevent soft_connect_store() race
    (bsc#1012628).
  - usb: gadget: udc: renesas_usb3: Fix RZ/V2M {modprobe,bind}
    error (bsc#1012628).
  - USB: dwc3: qcom: fix NULL-deref on suspend (bsc#1012628).
  - USB: dwc3: fix use-after-free on core driver unbind
    (bsc#1012628).
  - usb: dwc3: gadget: Reset num TRBs before giving back the request
    (bsc#1012628).
  - RDMA/rtrs: Fix the last iu->buf leak in err path (bsc#1012628).
  - RDMA/rtrs: Fix rxe_dealloc_pd warning (bsc#1012628).
  - RDMA/rxe: Fix packet length checks (bsc#1012628).
  - RDMA/rxe: Fix ref count error in check_rkey() (bsc#1012628).
  - RDMA/bnxt_re: Fix reporting active_{speed,width} attributes
    (bsc#1012628).
  - spi: cadence-quadspi: Add missing check for dma_set_mask
    (bsc#1012628).
  - spi: fsl-dspi: avoid SCK glitches with continuous transfers
    (bsc#1012628).
  - regulator: qcom-rpmh: add support for pmm8654au regulators
    (bsc#1012628).
  - regulator: qcom-rpmh: Fix regulators for PM8550 (bsc#1012628).
  - netfilter: nf_tables: integrate pipapo into commit protocol
    (bsc#1012628).
  - netfilter: nfnetlink: skip error delivery on batch in case of
    ENOMEM (bsc#1012628).
  - ice: do not busy-wait to read GNSS data (bsc#1012628).
  - ice: Don't dereference NULL in ice_gnss_read error path
    (bsc#1012628).
  - ice: Fix XDP memory leak when NIC is brought up and down
    (bsc#1012628).
  - netfilter: nf_tables: incorrect error path handling with
    NFT_MSG_NEWRULE (bsc#1012628).
  - net: enetc: correct the indexes of highest and 2nd highest TCs
    (bsc#1012628).
  - ping6: Fix send to link-local addresses with VRF (bsc#1012628).
  - igb: Fix extts capture value format for 82580/i354/i350
    (bsc#1012628).
  - net/sched: act_pedit: remove extra check for key type
    (bsc#1012628).
  - net/sched: act_pedit: Parse L3 Header for L4 offset
    (bsc#1012628).
  - net: renesas: rswitch: Fix timestamp feature after all
    descriptors are used (bsc#1012628).
  - octeontx2-af: Fix promiscuous mode (bsc#1012628).
  - net/sched: taprio: fix slab-out-of-bounds Read in
    taprio_dequeue_from_txq (bsc#1012628).
  - net/sched: cls_u32: Fix reference counter leak leading to
    overflow (bsc#1012628).
  - wifi: mac80211: fix link activation settings order
    (bsc#1012628).
  - wifi: cfg80211: fix link del callback to call correct handler
    (bsc#1012628).
  - wifi: mac80211: take lock before setting vif links
    (bsc#1012628).
  - RDMA/rxe: Fix the use-before-initialization error of resp_pkts
    (bsc#1012628).
  - iavf: remove mask from iavf_irq_enable_queues() (bsc#1012628).
  - octeontx2-af: fixed resource availability check (bsc#1012628).
  - octeontx2-af: fix lbk link credits on cn10k (bsc#1012628).
  - RDMA/mlx5: Initiate dropless RQ for RAW Ethernet functions
    (bsc#1012628).
  - RDMA/mlx5: Create an indirect flow table for steering anchor
    (bsc#1012628).
  - RDMA/cma: Always set static rate to 0 for RoCE (bsc#1012628).
  - IB/uverbs: Fix to consider event queue closing also upon
    non-blocking mode (bsc#1012628).
  - RDMA/mlx5: Fix affinity assignment (bsc#1012628).
  - IB/isert: Fix dead lock in ib_isert (bsc#1012628).
  - IB/isert: Fix possible list corruption in CMA handler
    (bsc#1012628).
  - IB/isert: Fix incorrect release of isert connection
    (bsc#1012628).
  - net: ethtool: correct MAX attribute value for stats
    (bsc#1012628).
  - wifi: mac80211: fragment per STA profile correctly
    (bsc#1012628).
  - ipvlan: fix bound dev checking for IPv6 l3s mode (bsc#1012628).
  - sctp: fix an error code in sctp_sf_eat_auth() (bsc#1012628).
  - igc: Clean the TX buffer and TX descriptor ring (bsc#1012628).
  - igc: Fix possible system crash when loading module
    (bsc#1012628).
  - igb: fix nvm.ops.read() error handling (bsc#1012628).
  - net: phylink: report correct max speed for QUSGMII
    (bsc#1012628).
  - net: phylink: use a dedicated helper to parse usgmii control
    word (bsc#1012628).
  - drm/nouveau: don't detect DSM for non-NVIDIA device
    (bsc#1012628).
  - drm/bridge: ti-sn65dsi86: Avoid possible buffer overflow
    (bsc#1012628).
  - drm/nouveau/dp: check for NULL nv_connector->native_mode
    (bsc#1012628).
  - drm/nouveau: add nv_encoder pointer check for NULL
    (bsc#1012628).
  - net: ethernet: ti: am65-cpsw: Call of_node_put() on error path
    (bsc#1012628).
  - selftests/tc-testing: Fix Error: Specified qdisc kind is unknown
    (bsc#1012628).
  - selftests/tc-testing: Fix Error: failed to find target LOG
    (bsc#1012628).
  - selftests/tc-testing: Fix SFB db test (bsc#1012628).
  - net/sched: act_ct: Fix promotion of offloaded unreplied tuple
    (bsc#1012628).
  - net/sched: Refactor qdisc_graft() for ingress and clsact Qdiscs
    (bsc#1012628).
  - net/sched: qdisc_destroy() old ingress and clsact Qdiscs before
    grafting (bsc#1012628).
  - selftests: forwarding: hw_stats_l3: Set addrgenmode in a
    separate step (bsc#1012628).
  - cifs: fix lease break oops in xfstest generic/098 (bsc#1012628).
  - RDMA/rxe: Fix rxe_cq_post (bsc#1012628).
  - ext4: drop the call to ext4_error() from ext4_get_group_info()
    (bsc#1012628).
  - ice: Fix ice module unload (bsc#1012628).
  - net/sched: cls_api: Fix lockup on flushing explicitly created
    chain (bsc#1012628).
  - net: dsa: felix: fix taprio guard band overflow at 10Mbps with
    jumbo frames (bsc#1012628).
  - net: lapbether: only support ethernet devices (bsc#1012628).
  - net: macsec: fix double free of percpu stats (bsc#1012628).
  - sfc: fix XDP queues mode with legacy IRQ (bsc#1012628).
  - dm: don't lock fs when the map is NULL during suspend or resume
    (bsc#1012628).
  - net: tipc: resize nlattr array to correct size (bsc#1012628).
  - selftests/ptp: Fix timestamp printf format for PTP_SYS_OFFSET
    (bsc#1012628).
  - octeon_ep: Add missing check for ioremap (bsc#1012628).
  - afs: Fix vlserver probe RTT handling (bsc#1012628).
  - parisc: Delete redundant register definitions in
    <asm/assembly.h> (bsc#1012628).
  - arm64: dts: qcom: sm8550: Use the correct LLCC register scheme
    (bsc#1012628).
  - neighbour: delete neigh_lookup_nodev as not used (bsc#1012628).
  - scsi: target: core: Fix error path in target_setup_session()
    (bsc#1012628).
  - blk-cgroup: Flush stats before releasing blkcg_gq (bsc#1012628).
  - commit 0df701d
* Wed Jun 21 2023 jslaby@suse.cz
  - Revert "Revert "Fix usrmerge error (boo#1211796)""
    This reverts commit 4b4675f106bef7714110771742fd12e4791f94a8.
    https://github.com/openSUSE/installation-images/pull/648 was merged
    finally.
  - commit 5587eea
* Mon Jun 19 2023 jslaby@suse.cz
  - drm/amdgpu/sdma4: set align mask to 255
    (https://gitlab.freedesktop.org/drm/amd/-/issues/2447).
  - commit e044c8e
* Mon Jun 19 2023 jslaby@suse.cz
  - Refresh
    patches.suse/thunderbolt-Mask-ring-interrupt-on-Intel-hardware-as.patch.
    Update upstream status and move to sorted section.
  - commit 27c2ccc
* Mon Jun 19 2023 jslaby@suse.cz
  - series: move the previously updated patch to the sorted section
  - commit 19aa3e4
* Mon Jun 19 2023 jslaby@suse.cz
  - Refresh
    patches.suse/nouveau-fix-client-work-fence-deletion-race.patch.
    Update upstream status.
  - commit 26b9458
* Sun Jun 18 2023 mkubecek@suse.cz
  - Update to 6.4-rc7
  - commit a8abd7d
* Fri Jun 16 2023 tiwai@suse.de
  - Revert "media: dvb-core: Fix use-after-free on race condition
    at dvb_frontend" (bsc#1212439).
  - commit 4dead9b
* Fri Jun 16 2023 tiwai@suse.de
  - nouveau: fix client work fence deletion race (bsc#1211217
    bsc#1211568).
  - thunderbolt: Mask ring interrupt on Intel hardware as well
    (bsc#1210165).
  - commit 4abd087
* Thu Jun 15 2023 msuchanek@suse.de
  - kernel-docs: Add buildrequires on python3-base when using python3
    The python3 binary is provided by python3-base.
  - commit c5df526
* Wed Jun 14 2023 jslaby@suse.cz
  - Linux 6.3.8 (bsc#1012628).
  - Revert "staging: rtl8192e: Replace macro RTL_PCI_DEVICE with
    PCI_DEVICE" (bsc#1012628).
  - wifi: rtw88: correct PS calculation for SUPPORTS_DYNAMIC_PS
    (bsc#1012628).
  - wifi: rtw89: correct PS calculation for SUPPORTS_DYNAMIC_PS
    (bsc#1012628).
  - ext4: only check dquot_initialize_needed() when debugging
    (bsc#1012628).
  - Revert "ext4: don't clear SB_RDONLY when remounting r/w until
    quota is re-enabled" (bsc#1012628).
  - Bluetooth: Fix UAF in hci_conn_hash_flush again (bsc#1012628).
  - Bluetooth: Refcnt drop must be placed last in hci_conn_unlink
    (bsc#1012628).
  - Bluetooth: Fix potential double free caused by hci_conn_unlink
    (bsc#1012628).
  - ksmbd: check the validation of pdu_size in
    ksmbd_conn_handler_loop (bsc#1012628).
  - ksmbd: fix posix_acls and acls dereferencing possible ERR_PTR()
    (bsc#1012628).
  - ksmbd: fix out-of-bound read in parse_lease_state()
    (bsc#1012628).
  - ksmbd: fix out-of-bound read in deassemble_neg_contexts()
    (bsc#1012628).
  - vhost_vdpa: support PACKED when setting-getting vring_base
    (bsc#1012628).
  - vhost: support PACKED when setting-getting vring_base
    (bsc#1012628).
  - vdpa/mlx5: Fix hang when cvq commands are triggered during
    device unregister (bsc#1012628).
  - vduse: avoid empty string for dev name (bsc#1012628).
  - riscv: fix kprobe __user string arg print fault issue
    (bsc#1012628).
  - Update config files.
  - soundwire: stream: Add missing clear of alloc_slave_rt
    (bsc#1012628).
  - eeprom: at24: also select REGMAP (bsc#1012628).
  - riscv: mm: Ensure prot of VM_WRITE and VM_EXEC must be readable
    (bsc#1012628).
  - i2c: sprd: Delete i2c adapter in .remove's error path
    (bsc#1012628).
  - gpio: sim: fix memory corruption when adding named lines and
    unnamed hogs (bsc#1012628).
  - firmware: arm_ffa: Set handle field to zero in memory descriptor
    (bsc#1012628).
  - i2c: mv64xxx: Fix reading invalid status value in atomic mode
    (bsc#1012628).
  - arm64: dts: imx8mn-beacon: Fix SPI CS pinmux (bsc#1012628).
  - blk-mq: fix blk_mq_hw_ctx active request accounting
    (bsc#1012628).
  - ASoC: simple-card-utils: fix PCM constraint error check
    (bsc#1012628).
  - ASoC: mediatek: mt8195: fix use-after-free in driver remove path
    (bsc#1012628).
  - ASoC: mediatek: mt8195-afe-pcm: Convert to platform remove
    callback returning void (bsc#1012628).
  - ASoC: mediatek: mt8188: fix use-after-free in driver remove path
    (bsc#1012628).
  - ASoC: amd: ps: fix for acp_lock access in pdm driver
    (bsc#1012628).
  - arm64: dts: imx8-ss-dma: assign default clock rate for lpuarts
    (bsc#1012628).
  - arm64: dts: imx8qm-mek: correct GPIOs for USDHC2 CD and WP
    signals (bsc#1012628).
  - arm64: dts: qcom: sc7180-lite: Fix SDRAM freq for misidentified
    sc7180-lite boards (bsc#1012628).
  - soc: qcom: rmtfs: Fix error code in probe() (bsc#1012628).
  - soc: qcom: ramp_controller: Fix an error handling path in
    qcom_ramp_controller_probe() (bsc#1012628).
  - ASoC: codecs: wsa881x: do not set can_multi_write flag
    (bsc#1012628).
  - ASoC: codecs: wsa883x: do not set can_multi_write flag
    (bsc#1012628).
  - ARM: dts: at91: sama7g5ek: fix debounce delay property for shdwc
    (bsc#1012628).
  - ARM: at91: pm: fix imbalanced reference counter for ethernet
    devices (bsc#1012628).
  - arm64: dts: qcom: sm6375-pdx225: Fix remoteproc firmware paths
    (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: Flush RSC sleep & wake votes
    (bsc#1012628).
  - soc: qcom: rpmh-rsc: drop redundant unsigned >=0 comparision
    (bsc#1012628).
  - mm: page_table_check: Ensure user pages are not slab pages
    (bsc#1012628).
  - mm: page_table_check: Make it dependent on EXCLUSIVE_SYSTEM_RAM
    (bsc#1012628).
  - usb: usbfs: Use consistent mmap functions (bsc#1012628).
  - usb: usbfs: Enforce page requirements for mmap (bsc#1012628).
  - pinctrl: meson-axg: add missing GPIOA_18 gpio group
    (bsc#1012628).
  - soc: qcom: icc-bwmon: fix incorrect error code passed to
    dev_err_probe() (bsc#1012628).
  - virtio_net: use control_buf for coalesce params (bsc#1012628).
  - rbd: get snapshot context after exclusive lock is ensured to
    be held (bsc#1012628).
  - rbd: move RBD_OBJ_FLAG_COPYUP_ENABLED flag setting
    (bsc#1012628).
  - tee: amdtee: Add return_origin to 'struct tee_cmd_load_ta'
    (bsc#1012628).
  - Bluetooth: hci_qca: fix debugfs registration (bsc#1012628).
  - Bluetooth: fix debugfs registration (bsc#1012628).
  - Bluetooth: Fix use-after-free in hci_remove_ltk/hci_remove_irk
    (bsc#1012628).
  - s390/dasd: Use correct lock while counting channel queue length
    (bsc#1012628).
  - accel/ivpu: Fix sporadic VPU boot failure (bsc#1012628).
  - accel/ivpu: Do not trigger extra VPU reset if the VPU is idle
    (bsc#1012628).
  - ceph: fix use-after-free bug for inodes when flushing capsnaps
    (bsc#1012628).
  - selftests: mptcp: update userspace pm subflow tests
    (bsc#1012628).
  - selftests: mptcp: update userspace pm addr tests (bsc#1012628).
  - mptcp: update userspace pm infos (bsc#1012628).
  - mptcp: add address into userspace pm list (bsc#1012628).
  - mptcp: only send RM_ADDR in nl_cmd_remove (bsc#1012628).
  - can: j1939: avoid possible use-after-free when
    j1939_can_rx_register fails (bsc#1012628).
  - can: j1939: change j1939_netdev_lock type to mutex
    (bsc#1012628).
  - can: j1939: j1939_sk_send_loop_abort(): improved error queue
    handling in J1939 Socket (bsc#1012628).
  - wifi: iwlwifi: mvm: Fix -Warray-bounds bug in
    iwl_mvm_wait_d3_notif() (bsc#1012628).
  - drm/amd/display: add ODM case when looking for first split pipe
    (bsc#1012628).
  - drm/amd/display: Reduce sdp bw after urgent to 90%
    (bsc#1012628).
  - drm/amd/pm: Fix power context allocation in SMU13 (bsc#1012628).
  - drm/amd: Disallow s0ix without BIOS support again (bsc#1012628).
  - drm/amdgpu: change reserved vram info print (bsc#1012628).
  - drm/amdgpu: fix xclk freq on CHIP_STONEY (bsc#1012628).
  - drm/amd/pm: conditionally disable pcie lane switching for some
    sienna_cichlid SKUs (bsc#1012628).
  - drm/i915/gt: Use the correct error value when kernel_context()
    fails (bsc#1012628).
  - ALSA: hda/realtek: Add quirks for Asus ROG 2024 laptops using
    CS35L41 (bsc#1012628).
  - ALSA: hda/realtek: Add Lenovo P3 Tower platform (bsc#1012628).
  - ALSA: hda/realtek: Add a quirk for HP Slim Desktop S01
    (bsc#1012628).
  - ALSA: ice1712,ice1724: fix the kcontrol->id initialization
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Clevo NS50AU (bsc#1012628).
  - ALSA: cmipci: Fix kctl->id initialization (bsc#1012628).
  - ALSA: gus: Fix kctl->id initialization (bsc#1012628).
  - ALSA: ymfpci: Fix kctl->id initialization (bsc#1012628).
  - ALSA: hda: Fix kctl->id initialization (bsc#1012628).
  - Input: fix open count when closing inhibited device
    (bsc#1012628).
  - Input: psmouse - fix OOB access in Elantech protocol
    (bsc#1012628).
  - Input: cyttsp5 - fix array length (bsc#1012628).
  - Input: xpad - delete a Razer DeathAdder mouse VID/PID entry
    (bsc#1012628).
  - batman-adv: Broken sync while rescheduling delayed work
    (bsc#1012628).
  - drm/msm/a6xx: initialize GMU mutex earlier (bsc#1012628).
  - bnxt_en: Implement .set_port / .unset_port UDP tunnel callbacks
    (bsc#1012628).
  - bnxt_en: Prevent kernel panic when receiving unexpected
    PHC_UPDATE event (bsc#1012628).
  - bnxt_en: Skip firmware fatal error recovery if chip is not
    accessible (bsc#1012628).
  - bnxt_en: Query default VLAN before VNIC setup on a VF
    (bsc#1012628).
  - bnxt_en: Don't issue AP reset during ethtool's reset operation
    (bsc#1012628).
  - bnxt_en: Fix bnxt_hwrm_update_rss_hash_cfg() (bsc#1012628).
  - accel/ivpu: Do not use mutex_lock_interruptible (bsc#1012628).
  - net: bcmgenet: Fix EEE implementation (bsc#1012628).
  - lib: cpu_rmap: Fix potential use-after-free in
    irq_cpu_rmap_release() (bsc#1012628).
  - drm/amdgpu: fix Null pointer dereference error in
    amdgpu_device_recover_vram (bsc#1012628).
  - bpf: Add extra path pointer check to d_path helper
    (bsc#1012628).
  - net: sched: fix possible refcount leak in tc_chain_tmplt_add()
    (bsc#1012628).
  - net: sched: act_police: fix sparse errors in tcf_police_dump()
    (bsc#1012628).
  - net: openvswitch: fix upcall counter access before allocation
    (bsc#1012628).
  - net: sched: move rtm_tca_policy declaration to include file
    (bsc#1012628).
  - ice: make writes to /dev/gnssX synchronous (bsc#1012628).
  - drm/i915/selftests: Add some missing error propagation
    (bsc#1012628).
  - net: sched: add rcu annotations around qdisc->qdisc_sleeping
    (bsc#1012628).
  - rfs: annotate lockless accesses to RFS sock flow table
    (bsc#1012628).
  - rfs: annotate lockless accesses to sk->sk_rxhash (bsc#1012628).
  - tcp: gso: really support BIG TCP (bsc#1012628).
  - ipv6: rpl: Fix Route of Death (bsc#1012628).
  - drm/lima: fix sched context destroy (bsc#1012628).
  - netfilter: nf_tables: out-of-bound check in chain blob
    (bsc#1012628).
  - netfilter: ipset: Add schedule point in call_ad() (bsc#1012628).
  - netfilter: conntrack: fix NULL pointer dereference in
    nf_confirm_cthelper (bsc#1012628).
  - netfilter: nft_bitwise: fix register tracking (bsc#1012628).
  - netfilter: nf_tables: Add null check for nla_nest_start_noflag()
    in nft_dump_basechain_hook() (bsc#1012628).
  - selftests/bpf: Fix sockopt_sk selftest (bsc#1012628).
  - selftests/bpf: Verify optval=NULL case (bsc#1012628).
  - wifi: cfg80211: fix locking in sched scan stop work
    (bsc#1012628).
  - accel/ivpu: Reserve all non-command bo's using
    DMA_RESV_USAGE_BOOKKEEP (bsc#1012628).
  - accel/ivpu: ivpu_ipc needs GENERIC_ALLOCATOR (bsc#1012628).
  - qed/qede: Fix scheduling while atomic (bsc#1012628).
  - wifi: mac80211: don't translate beacon/presp addrs
    (bsc#1012628).
  - wifi: mac80211: mlme: fix non-inheritence element (bsc#1012628).
  - wifi: cfg80211: reject bad AP MLD address (bsc#1012628).
  - wifi: mac80211: use correct iftype HE cap (bsc#1012628).
  - Bluetooth: L2CAP: Add missing checks for invalid DCID
    (bsc#1012628).
  - Bluetooth: ISO: use correct CIS order in Set CIG Parameters
    event (bsc#1012628).
  - Bluetooth: hci_conn: Fix not matching by CIS ID (bsc#1012628).
  - Bluetooth: hci_conn: Add support for linking multiple hcon
    (bsc#1012628).
  - Bluetooth: ISO: don't try to remove CIG if there are bound
    CIS left (bsc#1012628).
  - Bluetooth: Fix l2cap_disconnect_req deadlock (bsc#1012628).
  - Bluetooth: hci_sync: add lock to protect HCI_UNREGISTER
    (bsc#1012628).
  - Bluetooth: ISO: Fix CIG auto-allocation to select configurable
    CIG (bsc#1012628).
  - Bluetooth: ISO: consider right CIS when removing CIG at cleanup
    (bsc#1012628).
  - Bluetooth: Split bt_iso_qos into dedicated structures
    (bsc#1012628).
  - drm/i915: Use 18 fast wake AUX sync len (bsc#1012628).
  - drm/i915: Explain the magic numbers for AUX SYNC/precharge
    length (bsc#1012628).
  - net/sched: fq_pie: ensure reasonable TCA_FQ_PIE_QUANTUM values
    (bsc#1012628).
  - net: enetc: correct rx_bytes statistics of XDP (bsc#1012628).
  - net: enetc: correct the statistics of rx bytes (bsc#1012628).
  - net/smc: Avoid to access invalid RMBs' MRs in SMCRv1 ADD LINK
    CONT (bsc#1012628).
  - net/ipv6: fix bool/int mismatch for skip_notify_on_dev_down
    (bsc#1012628).
  - bpf: Fix elem_size not being set for inner maps (bsc#1012628).
  - bpf: Fix UAF in task local storage (bsc#1012628).
  - net/ipv4: ping_group_range: allow GID from 2147483648 to
    4294967294 (bsc#1012628).
  - net: dsa: lan9303: allow vid != 0 in port_fdb_{add|del} methods
    (bsc#1012628).
  - neighbour: fix unaligned access to pneigh_entry (bsc#1012628).
  - bpf, sockmap: Avoid potential NULL dereference in
    sk_psock_verdict_data_ready() (bsc#1012628).
  - wifi: mt76: mt7615: fix possible race in mt7615_mac_sta_poll
    (bsc#1012628).
  - afs: Fix setting of mtime when creating a file/dir/symlink
    (bsc#1012628).
  - spi: qup: Request DMA before enabling clocks (bsc#1012628).
  - platform/surface: aggregator_tabletsw: Add support for book
    mode in KIP subsystem (bsc#1012628).
  - platform/surface: aggregator: Allow completion work-items to
    be executed in parallel (bsc#1012628).
  - spi: mt65xx: make sure operations completed before unloading
    (bsc#1012628).
  - commit 627a49e
* Tue Jun 13 2023 dmueller@suse.com
  - config.conf: reenable armv6 configs
  - Update config files (same settings like armv7hl)
  - commit d3ab761
* Tue Jun 13 2023 tzimmermann@suse.com
  - drm/prime: reject DMA-BUF attach when get_sg_table is missing (bsc#1212133)
  - commit d322cd6
* Tue Jun 13 2023 tzimmermann@suse.com
  - drm/vram-helper: turn on PRIME import/export (bsc#1212133)
  - commit 6c91918
* Tue Jun 13 2023 jslaby@suse.cz
  - Update config files.
    Only run oldconfig to accumulate all the past changes.
  - commit c0cd722
* Mon Jun 12 2023 jslaby@suse.cz
  - Linux 6.3.7 (bsc#1012628).
  - RDMA/bnxt_re: Fix the page_size used during the MR creation
    (bsc#1012628).
  - phy: amlogic: phy-meson-g12a-mipi-dphy-analog: fix
    CNTL2_DIF_TX_CTL0 value (bsc#1012628).
  - RDMA/efa: Fix unsupported page sizes in device (bsc#1012628).
  - RDMA/hns: Fix timeout attr in query qp for HIP08 (bsc#1012628).
  - RDMA/hns: Fix base address table allocation (bsc#1012628).
  - RDMA/hns: Modify the value of long message loopback slice
    (bsc#1012628).
  - dmaengine: at_xdmac: fix potential Oops in
    at_xdmac_prep_interleaved() (bsc#1012628).
  - RDMA/bnxt_re: Fix a possible memory leak (bsc#1012628).
  - RDMA/bnxt_re: Fix return value of bnxt_re_process_raw_qp_pkt_rx
    (bsc#1012628).
  - iommu: Make IPMMU_VMSA dependencies more strict (bsc#1012628).
  - iommu/rockchip: Fix unwind goto issue (bsc#1012628).
  - iommu/amd: Don't block updates to GATag if guest mode is on
    (bsc#1012628).
  - iommu/amd: Handle GALog overflows (bsc#1012628).
  - iommu/amd: Fix up merge conflict resolution (bsc#1012628).
  - iommu/amd: Add missing domain type checks (bsc#1012628).
  - nfsd: make a copy of struct iattr before calling notify_change
    (bsc#1012628).
  - dmaengine: pl330: rename _start to prevent build error
    (bsc#1012628).
  - crypto: x86/aria - Use 16 byte alignment for GFNI constant
    vectors (bsc#1012628).
  - riscv: Fix unused variable warning when BUILTIN_DTB is set
    (bsc#1012628).
  - net/mlx5e: TC, Remove unused vf_tun variable (bsc#1012628).
  - net/mlx5e: TC, Move main flow attribute cleanup to helper func
    (bsc#1012628).
  - net/mlx5e: Extract remaining tunnel encap code to dedicated file
    (bsc#1012628).
  - net/mlx5e: Prevent encap offload when neigh update is running
    (bsc#1012628).
  - net/mlx5e: Consider internal buffers size in port buffer
    calculations (bsc#1012628).
  - net/mlx5e: Do not update SBCM when prio2buffer command is
    invalid (bsc#1012628).
  - net/mlx5: Drain health before unregistering devlink
    (bsc#1012628).
  - net/mlx5: SF, Drain health before removing device (bsc#1012628).
  - net/mlx5: fw_tracer, Fix event handling (bsc#1012628).
  - net/mlx5e: Use query_special_contexts cmd only once per mdev
    (bsc#1012628).
  - net/mlx5e: CT: Use per action stats (bsc#1012628).
  - net/mlx5e: TC, Remove CT action reordering (bsc#1012628).
  - net/mlx5: Fix post parse infra to only parse every action once
    (bsc#1012628).
  - net/mlx5e: Don't attach netdev profile while handling internal
    error (bsc#1012628).
  - net/mlx5e: Move Ethernet driver debugfs to profile init callback
    (bsc#1012628).
  - net: mellanox: mlxbf_gige: Fix skb_panic splat under memory
    pressure (bsc#1012628).
  - net: stmmac: fix call trace when stmmac_xdp_xmit() is invoked
    (bsc#1012628).
  - netrom: fix info-leak in nr_write_internal() (bsc#1012628).
  - af_packet: Fix data-races of pkt_sk(sk)->num (bsc#1012628).
  - tls: improve lockless access safety of tls_err_abort()
    (bsc#1012628).
  - amd-xgbe: fix the false linkup in xgbe_phy_status (bsc#1012628).
  - perf ftrace latency: Remove unnecessary "--" from --use-nsec
    option (bsc#1012628).
  - mtd: rawnand: ingenic: fix empty stub helper definitions
    (bsc#1012628).
  - efi: Bump stub image version for macOS HVF compatibility
    (bsc#1012628).
  - RDMA/irdma: Prevent QP use after free (bsc#1012628).
  - RDMA/irdma: Fix Local Invalidate fencing (bsc#1012628).
  - af_packet: do not use READ_ONCE() in packet_bind()
    (bsc#1012628).
  - tcp: deny tcp_disconnect() when threads are waiting
    (bsc#1012628).
  - tcp: Return user_mss for TCP_MAXSEG in CLOSE/LISTEN state if
    user_mss set (bsc#1012628).
  - rxrpc: Truncate UTS_RELEASE for rxrpc version (bsc#1012628).
  - net/smc: Scan from current RMB list when no position specified
    (bsc#1012628).
  - net/smc: Don't use RMBs not mapped to new link in SMCRv2 ADD
    LINK (bsc#1012628).
  - net/sched: sch_ingress: Only create under TC_H_INGRESS
    (bsc#1012628).
  - net/sched: sch_clsact: Only create under TC_H_CLSACT
    (bsc#1012628).
  - net/sched: Reserve TC_H_INGRESS (TC_H_CLSACT) for ingress
    (clsact) Qdiscs (bsc#1012628).
  - net/sched: Prohibit regrafting ingress or clsact Qdiscs
    (bsc#1012628).
  - net: sched: fix NULL pointer dereference in mq_attach
    (bsc#1012628).
  - net/netlink: fix NETLINK_LIST_MEMBERSHIPS length report
    (bsc#1012628).
  - udp6: Fix race condition in udp6_sendmsg & connect
    (bsc#1012628).
  - nfsd: fix double fget() bug in __write_ports_addfd()
    (bsc#1012628).
  - HID: logitech-hidpp: Handle timeout differently from busy
    (bsc#1012628).
  - nvme: fix the name of Zone Append for verbose logging
    (bsc#1012628).
  - net/mlx5e: Fix error handling in mlx5e_refresh_tirs
    (bsc#1012628).
  - net/mlx5: Read embedded cpu after init bit cleared
    (bsc#1012628).
  - sfc: fix error unwinds in TC offload (bsc#1012628).
  - iommu/mediatek: Flush IOTLB completely only if domain has been
    attached (bsc#1012628).
  - net/sched: flower: fix possible OOB write in fl_set_geneve_opt()
    (bsc#1012628).
  - tcp: fix mishandling when the sack compression is deferred
    (bsc#1012628).
  - net: ipa: Use correct value for IPA_STATUS_SIZE (bsc#1012628).
  - net: dsa: mv88e6xxx: Increase wait after reset deactivation
    (bsc#1012628).
  - mtd: rawnand: marvell: ensure timing values are written
    (bsc#1012628).
  - mtd: rawnand: marvell: don't set the NAND frequency select
    (bsc#1012628).
  - net: renesas: rswitch: Fix return value in error path of xmit
    (bsc#1012628).
  - net: phy: mxl-gpy: extend interrupt fix to all impacted variants
    (bsc#1012628).
  - ice: recycle/free all of the fragments from multi-buffer frame
    (bsc#1012628).
  - rtnetlink: call validate_linkmsg in rtnl_create_link
    (bsc#1012628).
  - rtnetlink: move IFLA_GSO_ tb check to validate_linkmsg
    (bsc#1012628).
  - rtnetlink: add the missing IFLA_GRO_ tb check in
    validate_linkmsg (bsc#1012628).
  - mptcp: avoid unneeded __mptcp_nmpc_socket() usage (bsc#1012628).
  - mptcp: add annotations around msk->subflow accesses
    (bsc#1012628).
  - mptcp: avoid unneeded address copy (bsc#1012628).
  - mptcp: simplify subflow_syn_recv_sock() (bsc#1012628).
  - mptcp: consolidate passive msk socket initialization
    (bsc#1012628).
  - mptcp: fix data race around msk->first access (bsc#1012628).
  - mptcp: add annotations around sk->sk_shutdown accesses
    (bsc#1012628).
  - drm/amdgpu: release gpu full access after
    "amdgpu_device_ip_late_init" (bsc#1012628).
  - watchdog: menz069_wdt: fix watchdog initialisation
    (bsc#1012628).
  - ALSA: hda: Glenfly: add HD Audio PCI IDs and HDMI Codec Vendor
    IDs (bsc#1012628).
  - drm/amd/display: fix memleak in aconnector->timing_requested
    (bsc#1012628).
  - LoongArch: Add ARCH_HAS_FORTIFY_SOURCE selection (bsc#1012628).
  - ASoC: Intel: soc-acpi-cht: Add quirk for Nextbook Ares 8A tablet
    (bsc#1012628).
  - drm/amdgpu: Use the default reset when loading or reloading
    the driver (bsc#1012628).
  - mailbox: mailbox-test: Fix potential double-free in
    mbox_test_message_write() (bsc#1012628).
  - drm/ast: Fix ARM compatibility (bsc#1012628).
  - btrfs: abort transaction when sibling keys check fails for
    leaves (bsc#1012628).
  - ARM: 9295/1: unwind:fix unwind abort for uleb128 case
    (bsc#1012628).
  - perf/x86/intel/ds: Flush PEBS DS when changing PEBS_DATA_CFG
    (bsc#1012628).
  - hwmon: (k10temp) Add PCI ID for family 19, model 78h
    (bsc#1012628).
  - media: rcar-vin: Gen3 can not scale NV12 (bsc#1012628).
  - media: rcar-vin: Fix NV12 size alignment (bsc#1012628).
  - media: rcar-vin: Select correct interrupt mode for
    V4L2_FIELD_ALTERNATE (bsc#1012628).
  - platform/x86: intel_scu_pcidrv: Add back PCI ID for Medfield
    (bsc#1012628).
  - platform/mellanox: fix potential race in mlxbf-tmfifo driver
    (bsc#1012628).
  - gfs2: Don't deref jdesc in evict (bsc#1012628).
  - drm/amdgpu/nv: update VCN 3 max HEVC encoding resolution
    (bsc#1012628).
  - drm/amdgpu: set gfx9 onwards APU atomics support to be true
    (bsc#1012628).
  - fbdev: imsttfb: Fix use after free bug in imsttfb_probe
    (bsc#1012628).
  - fbdev: modedb: Add 1920x1080 at 60 Hz video mode (bsc#1012628).
  - fbdev: stifb: Fix info entry in sti_struct on error path
    (bsc#1012628).
  - nbd: Fix debugfs_create_dir error checking (bsc#1012628).
  - block/rnbd: replace REQ_OP_FLUSH with REQ_OP_WRITE
    (bsc#1012628).
  - nvme-pci: add NVME_QUIRK_BOGUS_NID for HS-SSD-FUTURE 2048G
    (bsc#1012628).
  - nvme-pci: add quirk for missing secondary temperature thresholds
    (bsc#1012628).
  - nvme-pci: clamp max_hw_sectors based on DMA optimized limitation
    (bsc#1012628).
  - ASoC: amd: yc: Add DMI entry to support System76 Pangolin 12
    (bsc#1012628).
  - ASoC: dwc: limit the number of overrun messages (bsc#1012628).
  - cpupower:Fix resource leaks in sysfs_get_enabled()
    (bsc#1012628).
  - ASoC: SOF: amd: Fix NULL pointer crash in acp_sof_ipc_msg_data
    function (bsc#1012628).
  - um: harddog: fix modular build (bsc#1012628).
  - xfrm: Check if_id in inbound policy/secpath match (bsc#1012628).
  - ASoC: jz4740-i2s: Make I2S divider calculations more robust
    (bsc#1012628).
  - ASoC: dt-bindings: Adjust #sound-dai-cells on TI's single-DAI
    codecs (bsc#1012628).
  - ALSA: hda/realtek: Add quirks for ASUS GU604V and GU603V
    (bsc#1012628).
  - ASoC: ssm2602: Add workaround for playback distortions
    (bsc#1012628).
  - media: dvb_demux: fix a bug for the continuity counter
    (bsc#1012628).
  - media: dvb-usb: az6027: fix three null-ptr-deref in
    az6027_i2c_xfer() (bsc#1012628).
  - media: dvb-usb-v2: ec168: fix null-ptr-deref in ec168_i2c_xfer()
    (bsc#1012628).
  - media: dvb-usb-v2: ce6230: fix null-ptr-deref in
    ce6230_i2c_master_xfer() (bsc#1012628).
  - media: dvb-usb-v2: rtl28xxu: fix null-ptr-deref in
    rtl28xxu_i2c_xfer (bsc#1012628).
  - media: dvb-usb: digitv: fix null-ptr-deref in digitv_i2c_xfer()
    (bsc#1012628).
  - media: dvb-usb: dw2102: fix uninit-value in
    su3000_read_mac_address (bsc#1012628).
  - media: netup_unidvb: fix irq init by register it at the end
    of probe (bsc#1012628).
  - media: dvb_ca_en50221: fix a size write bug (bsc#1012628).
  - media: mn88443x: fix !CONFIG_OF error by drop of_match_ptr
    from ID table (bsc#1012628).
  - ASoC: SOF: debug: conditionally bump runtime_pm counter on
    exceptions (bsc#1012628).
  - ASoC: SOF: pcm: fix pm_runtime imbalance in error handling
    (bsc#1012628).
  - ASoC: SOF: sof-client-probes: fix pm_runtime imbalance in
    error handling (bsc#1012628).
  - ASoC: SOF: pm: save io region state in case of errors in resume
    (bsc#1012628).
  - s390/pkey: zeroize key blobs (bsc#1012628).
  - s390/topology: honour nr_cpu_ids when adding CPUs (bsc#1012628).
  - s390/ipl: fix IPIB virtual vs physical address confusion
    (bsc#1012628).
  - ACPI: resource: Add IRQ override quirk for LG UltraPC 17U70P
    (bsc#1012628).
  - wifi: rtl8xxxu: fix authentication timeout due to incorrect
    RCR value (bsc#1012628).
  - ARM: dts: stm32: add pin map for CAN controller on stm32f7
    (bsc#1012628).
  - arm64/mm: mark private VM_FAULT_X defines as vm_fault_t
    (bsc#1012628).
  - arm64: vdso: Pass (void *) to virt_to_page() (bsc#1012628).
  - wifi: mac80211: simplify chanctx allocation (bsc#1012628).
  - wifi: mac80211: consider reserved chanctx for mindef
    (bsc#1012628).
  - wifi: mac80211: recalc chanctx mindef before assigning
    (bsc#1012628).
  - wifi: iwlwifi: mvm: Add locking to the rate read flow
    (bsc#1012628).
  - scsi: ufs: core: Fix MCQ tag calculation (bsc#1012628).
  - scsi: ufs: core: Rename symbol sizeof_utp_transfer_cmd_desc()
    (bsc#1012628).
  - scsi: ufs: core: Fix MCQ nr_hw_queues (bsc#1012628).
  - scsi: core: Decrease scsi_device's iorequest_cnt if dispatch
    failed (bsc#1012628).
  - wifi: b43: fix incorrect __packed annotation (bsc#1012628).
  - net: wwan: t7xx: Ensure init is completed before system sleep
    (bsc#1012628).
  - netfilter: conntrack: define variables exp_nat_nla_policy and
    any_addr with CONFIG_NF_NAT (bsc#1012628).
  - nvme-multipath: don't call blk_mark_disk_dead in
    nvme_mpath_remove_disk (bsc#1012628).
  - nvme: do not let the user delete a ctrl before a complete
    initialization (bsc#1012628).
  - ALSA: oss: avoid missing-prototype warnings (bsc#1012628).
  - drm/msm: Be more shouty if per-process pgtables aren't working
    (bsc#1012628).
  - atm: hide unused procfs functions (bsc#1012628).
  - ceph: silence smatch warning in reconnect_caps_cb()
    (bsc#1012628).
  - drm/amdgpu: skip disabling fence driver src_irqs when device
    is unplugged (bsc#1012628).
  - ublk: fix AB-BA lockdep warning (bsc#1012628).
  - nvme-pci: Add quirk for Teamgroup MP33 SSD (bsc#1012628).
  - block: Deny writable memory mapping if block is read-only
    (bsc#1012628).
  - iio: adc: imx93: fix a signedness bug in imx93_adc_read_raw()
    (bsc#1012628).
  - KVM: arm64: vgic: Fix a circular locking issue (bsc#1012628).
  - KVM: arm64: vgic: Wrap vgic_its_create() with config_lock
    (bsc#1012628).
  - KVM: arm64: vgic: Fix locking comment (bsc#1012628).
  - KVM: arm64: Prevent unconditional donation of unmapped regions
    from the host (bsc#1012628).
  - scsi: qla2xxx: Fix NULL pointer dereference in target mode
    (bsc#1012628).
  - perf/x86/intel: Save/restore cpuc->active_pebs_data_cfg when
    using guest PEBS (bsc#1012628).
  - KVM: arm64: Reload PTE after invoking walker callback on
    preorder traversal (bsc#1012628).
  - media: mediatek: vcodec: Only apply 4K frame sizes on decoder
    formats (bsc#1012628).
  - mailbox: mailbox-test: fix a locking issue in
    mbox_test_message_write() (bsc#1012628).
  - drivers: base: cacheinfo: Fix shared_cpu_map changes in event
    of CPU hotplug (bsc#1012628).
  - drivers: base: cacheinfo: Update cpu_map_populated during CPU
    Hotplug (bsc#1012628).
  - dt-bindings: serial: 8250_omap: add rs485-rts-active-high
    (bsc#1012628).
  - media: uvcvideo: Don't expose unsupported formats to userspace
    (bsc#1012628).
  - selftests/ftrace: Choose target function for filter test from
    samples (bsc#1012628).
  - iio: accel: st_accel: Fix invalid mount_matrix on devices
    without ACPI _ONT method (bsc#1012628).
  - iio: adc: mxs-lradc: fix the order of two cleanup operations
    (bsc#1012628).
  - iio: tmag5273: Fix runtime PM leak on measurement error
    (bsc#1012628).
  - iio: ad4130: Make sure clock provider gets removed
    (bsc#1012628).
  - iio: adc: mt6370: Fix ibus and ibat scaling value of some
    specific vendor ID chips (bsc#1012628).
  - HID: google: add jewel USB id (bsc#1012628).
  - HID: wacom: avoid integer overflow in wacom_intuos_inout()
    (bsc#1012628).
  - iio: imu: inv_icm42600: fix timestamp reset (bsc#1012628).
  - dt-bindings: iio: adc: renesas,rcar-gyroadc: Fix adi,ad7476
    compatible value (bsc#1012628).
  - iio: light: vcnl4035: fixed chip ID check (bsc#1012628).
  - iio: accel: kx022a fix irq getting (bsc#1012628).
  - iio: adc: stm32-adc: skip adc-channels setup if none is present
    (bsc#1012628).
  - iio: adc: ad_sigma_delta: Fix IRQ issue by setting
    IRQ_DISABLE_UNLAZY flag (bsc#1012628).
  - iio: dac: mcp4725: Fix i2c_master_send() return value handling
    (bsc#1012628).
  - iio: addac: ad74413: fix resistance input processing
    (bsc#1012628).
  - iio: adc: ad7192: Change "shorted" channels to differential
    (bsc#1012628).
  - iio: adc: stm32-adc: skip adc-diff-channels setup if none is
    present (bsc#1012628).
  - iio: dac: build ad5758 driver when AD5758 is selected
    (bsc#1012628).
  - net: usb: qmi_wwan: Set DTR quirk for BroadMobi BM818
    (bsc#1012628).
  - dt-bindings: usb: snps,dwc3: Fix "snps,hsphy_interface" type
    (bsc#1012628).
  - usb: cdns3: fix NCM gadget RX speed 20x slow than expection
    at iMX8QM (bsc#1012628).
  - usb: gadget: f_fs: Add unbind event before functionfs_unbind
    (bsc#1012628).
  - md/raid5: fix miscalculation of 'end_sector' in
    raid5_read_one_chunk() (bsc#1012628).
  - misc: fastrpc: Reassign memory ownership only for remote heap
    (bsc#1012628).
  - misc: fastrpc: return -EPIPE to invocations on device removal
    (bsc#1012628).
  - misc: fastrpc: reject new invocations during device removal
    (bsc#1012628).
  - scsi: stex: Fix gcc 13 warnings (bsc#1012628).
  - ata: libata-scsi: Use correct device no in ata_find_dev()
    (bsc#1012628).
  - drm/amdgpu: enable tmz by default for GC 11.0.1 (bsc#1012628).
  - drm/amd/pm: reverse mclk and fclk clocks levels for SMU v13.0.4
    (bsc#1012628).
  - drm/amd/pm: reverse mclk and fclk clocks levels for vangogh
    (bsc#1012628).
  - drm/amd/pm: resolve reboot exception for si oland (bsc#1012628).
  - drm/amd/pm: reverse mclk clocks levels for SMU v13.0.5
    (bsc#1012628).
  - drm/amd/pm: reverse mclk and fclk clocks levels for yellow carp
    (bsc#1012628).
  - drm/amd/pm: reverse mclk and fclk clocks levels for renoir
    (bsc#1012628).
  - mmc: vub300: fix invalid response handling (bsc#1012628).
  - mmc: pwrseq: sd8787: Fix WILC CHIP_EN and RESETN toggling order
    (bsc#1012628).
  - tty: serial: fsl_lpuart: use UARTCTRL_TXINV to send break
    instead of UARTCTRL_SBK (bsc#1012628).
  - btrfs: fix csum_tree_block page iteration to avoid tripping
    on -Werror=array-bounds (bsc#1012628).
  - phy: qcom-qmp-combo: fix init-count imbalance (bsc#1012628).
  - phy: qcom-qmp-pcie-msm8996: fix init-count imbalance
    (bsc#1012628).
  - block: fix revalidate performance regression (bsc#1012628).
  - powerpc/iommu: Limit number of TCEs to 512 for H_STUFF_TCE hcall
    (bsc#1012628).
  - iommu/amd: Fix domain flush size when syncing iotlb
    (bsc#1012628).
  - tpm, tpm_tis: correct tpm_tis_flags enumeration values
    (bsc#1012628).
  - module/decompress: Fix error checking on zstd decompression
    (bsc#1012628).
  - firmware: qcom_scm: Use fixed width src vm bitmap (bsc#1012628).
  - misc: fastrpc: Pass proper scm arguments for secure map request
    (bsc#1012628).
  - btrfs: call btrfs_orig_bbio_end_io in btrfs_end_bio_work
    (bsc#1012628).
  - HID: hidpp: terminate retry loop on success (bsc#1012628).
  - dmaengine: at_hdmac: Repair bitfield macros for peripheral ID
    handling (bsc#1012628).
  - dmaengine: at_hdmac: Extend the Flow Controller bitfield to
    three bits (bsc#1012628).
  - riscv: perf: Fix callchain parse error with kernel tracepoint
    events (bsc#1012628).
  - io_uring: undeprecate epoll_ctl support (bsc#1012628).
  - selinux: don't use make's grouped targets feature yet
    (bsc#1012628).
  - mtdchar: mark bits of ioctl handler noinline (bsc#1012628).
  - tracing/timerlat: Always wakeup the timerlat thread
    (bsc#1012628).
  - tracing/histograms: Allow variables to have some modifiers
    (bsc#1012628).
  - tracing/probe: trace_probe_primary_from_call(): checked
    list_first_entry (bsc#1012628).
  - selftests: mptcp: connect: skip if MPTCP is not supported
    (bsc#1012628).
  - selftests: mptcp: pm nl: skip if MPTCP is not supported
    (bsc#1012628).
  - selftests: mptcp: join: skip if MPTCP is not supported
    (bsc#1012628).
  - selftests: mptcp: join: avoid using 'cmp --bytes' (bsc#1012628).
  - selftests: mptcp: diag: skip if MPTCP is not supported
    (bsc#1012628).
  - selftests: mptcp: simult flows: skip if MPTCP is not supported
    (bsc#1012628).
  - selftests: mptcp: sockopt: skip if MPTCP is not supported
    (bsc#1012628).
  - selftests: mptcp: userspace pm: skip if MPTCP is not supported
    (bsc#1012628).
  - mptcp: fix connect timeout handling (bsc#1012628).
  - mptcp: fix active subflow finalization (bsc#1012628).
  - ext4: add EA_INODE checking to ext4_iget() (bsc#1012628).
  - ext4: set lockdep subclass for the ea_inode in
    ext4_xattr_inode_cache_find() (bsc#1012628).
  - ext4: disallow ea_inodes with extended attributes (bsc#1012628).
  - ext4: add lockdep annotations for i_data_sem for ea_inode's
    (bsc#1012628).
  - fbcon: Fix null-ptr-deref in soft_cursor (bsc#1012628).
  - serial: 8250_tegra: Fix an error handling path in
    tegra_uart_probe() (bsc#1012628).
  - serial: cpm_uart: Fix a COMPILE_TEST dependency (bsc#1012628).
  - powerpc/xmon: Use KSYM_NAME_LEN in array size (bsc#1012628).
  - test_firmware: prevent race conditions by a correct
    implementation of locking (bsc#1012628).
  - test_firmware: fix a memory leak with reqs buffer (bsc#1012628).
  - test_firmware: fix the memory leak of the allocated firmware
    buffer (bsc#1012628).
  - KVM: arm64: Populate fault info for watchpoint (bsc#1012628).
  - KVM: arm64: Drop last page ref in
    kvm_pgtable_stage2_free_removed() (bsc#1012628).
  - KVM: x86/mmu: Grab memslot for correct address space in NX
    recovery worker (bsc#1012628).
  - KVM: x86: Account fastpath-only VM-Exits in vCPU stats
    (bsc#1012628).
  - KVM: x86: Bail from kvm_recalculate_phys_map() if x2APIC ID
    is out-of-bounds (bsc#1012628).
  - ksmbd: fix credit count leakage (bsc#1012628).
  - ksmbd: fix UAF issue from opinfo->conn (bsc#1012628).
  - ksmbd: fix incorrect AllocationSize set in smb2_get_info
    (bsc#1012628).
  - ksmbd: fix slab-out-of-bounds read in smb2_handle_negotiate
    (bsc#1012628).
  - ksmbd: fix multiple out-of-bounds read during context decoding
    (bsc#1012628).
  - KEYS: asymmetric: Copy sig and digest in
    public_key_verify_signature() (bsc#1012628).
  - regmap: Account for register length when chunking (bsc#1012628).
  - tpm, tpm_tis: Request threaded interrupt handler (bsc#1012628).
  - iommu/amd/pgtbl_v2: Fix domain max address (bsc#1012628).
  - riscv: vmlinux.lds.S: Explicitly handle '.got' section
    (bsc#1012628).
  - ext4: enable the lazy init thread when remounting read/write
    (bsc#1012628).
  - commit b5f9ff5
* Sun Jun 11 2023 mkubecek@suse.cz
  - Update to 6.4-rc6
  - refresh configs
  - commit e5bdb6f
* Sun Jun 11 2023 mkubecek@suse.cz
  - config: refresh arm64/vanilla
  - commit 3087200
* Sun Jun 11 2023 dmueller@suse.com
  - config.conf: reenable armv7hl
  - Update config files for armv7hl/6.4.0rc6
  - commit 782615b
* Sun Jun 11 2023 dmueller@suse.com
  - config.conf: Reenable arm64 configs
  - config: Update to 6.4-rc5:
    * this includes lowering the ARCH_FORCE_MAX_ORDER by one given the
      change of definition in mainline commit 23baf831a32c
      ("mm, treewide: redefine MAX_ORDER sanely")
    * config change from x86_64 adopted for arm64. Enabled all erratas,
      rest compile as modules
  - commit 084e86f
* Fri Jun 09 2023 msuchanek@suse.de
  - Move setting %%build_html to config.sh
  - commit dd39da3
* Fri Jun 09 2023 tiwai@suse.de
  - Update patch reference for memstick fix (CVE-2023-3141 bsc#1212129 bsc#1211449)
  - commit 2c9fe82
* Fri Jun 09 2023 msuchanek@suse.de
  - Fix missing top level chapter numbers on SLE12 SP5 (bsc#1212158).
  - commit 7ebcbd5
* Thu Jun 08 2023 msuchanek@suse.de
  - Move setting %%split_optional to config.sh
  - commit 8b0828d
* Thu Jun 08 2023 msuchanek@suse.de
  - Move setting %%supported_modules_check to config.sh
  - commit 3fcb4e0
* Thu Jun 08 2023 msuchanek@suse.de
  - rpm/kernel-docs.spec.in: pass PYTHON=python3 to fix build error (bsc#1160435)
  - commit 799f050
* Thu Jun 08 2023 msuchanek@suse.de
  - rpm/kernel-binary.spec.in: Fix compatibility wth newer rpm
  - commit 334fb4d
* Wed Jun 07 2023 msuchanek@suse.de
  - Also include kernel-docs build requirements for ALP
  - commit 114d088
* Wed Jun 07 2023 msuchanek@suse.de
  - Move the kernel-binary conflicts out of the spec file.
    Thie list of conflicting packages varies per release.
    To reduce merge conflicts move the list out of the spec file.
  - commit 4d81125
* Wed Jun 07 2023 msuchanek@suse.de
  - Avoid unsuported tar parameter on SLE12
  - commit f11765a
* Wed Jun 07 2023 msuchanek@suse.de
  - Move obsolete KMP list into a separate file.
    The list of obsoleted KMPs varies per release, move it out of the spec
    file.
  - commit 016bc55
* Wed Jun 07 2023 msuchanek@suse.de
  - Trim obsolete KMP list.
    SLE11 is out of support, we do not need to handle upgrading from SLE11
    SP1.
  - commit 08819bb
* Wed Jun 07 2023 msuchanek@suse.de
  - Generalize kernel-docs build requirements.
  - Generalize kernel-doc build requirements.
  - commit c80fe12
* Tue Jun 06 2023 msuchanek@suse.de
  - Refresh patches.suse/add-suse-supported-flag.patch.
    Fix table alignment.
  - commit 6152a50
* Tue Jun 06 2023 msuchanek@suse.de
  - kernel-binary: Add back kernel-default-base guarded by option
    Add configsh option for splitting off kernel-default-base, and for
    not signing the kernel on non-efi
  - commit 28c22af
* Mon Jun 05 2023 mfranc@suse.cz
  - s390/ap: add ap status asynch error support (jsc#PED-3332).
  - s390/ap: implement SE AP bind, unbind and associate
    (jsc#PED-3332).
  - s390/ap: introduce low frequency polling possibility
    (jsc#PED-3332).
  - s390/ap: new low level inline functions ap_bapq() and ap_aapq()
    (jsc#PED-3332).
  - s390/ap: provide F bit parameter for ap_rapq() and ap_zapq()
    (jsc#PED-3332).
  - s390/ap: filter ap card functions, new queue functions attribute
    (jsc#PED-3332).
  - s390/ap: make tapq gr2 response a struct (jsc#PED-3332).
  - s390/ap: introduce new AP bus sysfs attribute features
    (jsc#PED-3332).
  - s390/ap: exploit new B bit from QCI config info (jsc#PED-3332).
  - s390/zcrypt: replace scnprintf with sysfs_emit (jsc#PED-3332).
  - s390/zcrypt: rework length information for dqap (jsc#PED-3332).
  - s390/zcrypt: make psmid unsigned long instead of long long
    (jsc#PED-3332).
  - commit 589eabc
* Mon Jun 05 2023 tiwai@suse.de
  - media: dvb-core: Fix use-after-free due to race condition at
    dvb_ca_en50221 (CVE-2022-45919 bsc#1205803).
  - media: dvb-core: Fix kernel WARNING for blocking operation in
    wait_event*() (CVE-2023-31084 bsc#1210783).
  - media: dvb-core: Fix use-after-free due to race at
    dvb_register_device() (CVE-2022-45884 bsc#1205756).
  - media: dvb-core: Fix use-after-free due on race condition at
    dvb_net (CVE-2022-45886 bsc#1205760).
  - media: dvb-core: Fix use-after-free on race condition at
    dvb_frontend (CVE-2022-45885 bsc#1205758).
  - media: ttusb-dec: fix memory leak in ttusb_dec_exit_dvb()
    (CVE-2022-45887 bsc#1205762).
  - commit c56eadf
* Mon Jun 05 2023 tiwai@suse.de
  - Update patch metadata for security fixes (bsc#1209287 CVE-2023-1380 bsc#1210533 CVE-2023-2002 bsc#1210806 CVE-2023-2269 CVE-2023-32233 bsc#1211043)
  - commit 461c57a
* Mon Jun 05 2023 jslaby@suse.cz
  - Linux 6.3.6 (bsc#1012628).
  - netfilter: ctnetlink: Support offloaded conntrack entry deletion
    (bsc#1012628).
  - cpufreq: amd-pstate: Add ->fast_switch() callback (bsc#1012628).
  - cpufreq: amd-pstate: Update policy->cur in
    amd_pstate_adjust_perf() (bsc#1012628).
  - bluetooth: Add cmd validity checks at the start of
    hci_sock_ioctl() (bsc#1012628).
  - net: phy: mscc: enable VSC8501/2 RGMII RX clock (bsc#1012628).
  - cpufreq: amd-pstate: Remove fast_switch_possible flag from
    active driver (bsc#1012628).
  - vfio/type1: check pfn valid before converting to struct page
    (bsc#1012628).
  - blk-mq: fix race condition in active queue accounting
    (bsc#1012628).
  - blk-wbt: fix that wbt can't be disabled by default
    (bsc#1012628).
  - bpf, sockmap: Incorrectly handling copied_seq (bsc#1012628).
  - bpf, sockmap: Wake up polling after data copy (bsc#1012628).
  - bpf, sockmap: TCP data stall on recv before accept
    (bsc#1012628).
  - bpf, sockmap: Handle fin correctly (bsc#1012628).
  - bpf, sockmap: Improved check for empty queue (bsc#1012628).
  - bpf, sockmap: Reschedule is now done through backlog
    (bsc#1012628).
  - bpf, sockmap: Convert schedule_work into delayed_work
    (bsc#1012628).
  - bpf, sockmap: Pass skb ownership through read_skb (bsc#1012628).
  - gpio-f7188x: fix chip name and pin count on Nuvoton chip
    (bsc#1012628).
  - net/mlx5: E-switch, Devcom, sync devcom events and devcom comp
    register (bsc#1012628).
  - Revert "net/mlx5: Expose vnic diagnostic counters for eswitch
    managed vports" (bsc#1012628).
  - Revert "net/mlx5: Expose steering dropped packets counter"
    (bsc#1012628).
  - net/mlx5e: TC, Fix using eswitch mapping in nic mode
    (bsc#1012628).
  - drm/i915: Fix PIPEDMC disabling for a bigjoiner configuration
    (bsc#1012628).
  - drm/i915: Disable DPLLs before disconnecting the TC PHY
    (bsc#1012628).
  - drm/i915: Move shared DPLL disabling into CRTC disable hook
    (bsc#1012628).
  - ASoC: Intel: avs: Fix module lookup (bsc#1012628).
  - cxl/port: Fix NULL pointer access in devm_cxl_add_port()
    (bsc#1012628).
  - net: fec: add dma_wmb to ensure correct descriptor values
    (bsc#1012628).
  - tls: rx: strp: don't use GFP_KERNEL in softirq context
    (bsc#1012628).
  - tls: rx: strp: preserve decryption status of skbs when needed
    (bsc#1012628).
  - tls: rx: strp: factor out copying skb data (bsc#1012628).
  - tls: rx: strp: force mixed decrypted records into copy mode
    (bsc#1012628).
  - tls: rx: strp: fix determining record length in copy mode
    (bsc#1012628).
  - tls: rx: strp: set the skb->len of detached / CoW'ed skbs
    (bsc#1012628).
  - tls: rx: device: fix checking decryption status (bsc#1012628).
  - gpiolib: fix allocation of mixed dynamic/static GPIOs
    (bsc#1012628).
  - bpf: netdev: init the offload table earlier (bsc#1012628).
  - platform/x86/amd/pmf: Fix CnQF and auto-mode after resume
    (bsc#1012628).
  - power: supply: rt9467: Fix passing zero to 'dev_err_probe'
    (bsc#1012628).
  - selftests/bpf: Fix pkg-config call building sign-file
    (bsc#1012628).
  - ARM: dts: imx6ull-dhcor: Set and limit the mode for PMIC buck 1,
    2 and 3 (bsc#1012628).
  - coresight: perf: Release Coresight path when alloc trace id
    failed (bsc#1012628).
  - spi: spi-geni-qcom: Select FIFO mode for chip select
    (bsc#1012628).
  - firmware: arm_ffa: Fix usage of partition info get count flag
    (bsc#1012628).
  - firmware: arm_scmi: Fix incorrect alloc_workqueue() invocation
    (bsc#1012628).
  - commit f583ba4
* Mon Jun 05 2023 jslaby@suse.cz
  - drm/amd/display: Only wait for blank completion if OTG active
    (https://gitlab.freedesktop.org/drm/amd/-/issues/2447).
  - commit fc379fb
* Sun Jun 04 2023 mkubecek@suse.cz
  - Update to 6.4-rc5
  - refresh configs
  - commit 2cab33e
* Fri Jun 02 2023 msuchanek@suse.de
  - usrmerge: Compatibility with earlier rpm (boo#1211796)
  - commit 2191d32
* Fri Jun 02 2023 jslaby@suse.cz
  - Revert "Remove usrmerge compatibility symlink in buildroot (boo#1211796)"
    This reverts commit b8e00c5a84bcd75a1e2c491b6de601278e1572c7. It still
    breaks build as it needs support in kmod (SR#1089967).
  - commit 6db9c44
* Fri Jun 02 2023 jslaby@suse.cz
  - Revert "Fix usrmerge error (boo#1211796)"
    This reverts commit da84579e78f4c4efa5b3b910484fdaedc79fefec. It still
    breaks build as it needs support in kmod (SR#1089967).
  - commit 4b4675f
* Fri Jun 02 2023 jslaby@suse.cz
  - Revert "Revert "Remove usrmerge compatibility symlink in buildroot (boo#1211796)""
    This reverts commit 6db9c44d07ee5bb9d1556fb52efbec6bb26b00a3.
    https://github.com/openSUSE/installation-images/pull/648 was merged
    finally.
  - Revert "Revert "Remove usrmerge compatibility symlink in buildroot (boo#1211796)""
    This reverts commit d3cbce2379049d1657919d6ced51f6f5141f66fd, we will
    merge a fix from the packaging branch.
  - commit 92dc30d
* Thu Jun 01 2023 msuchanek@suse.de
  - Fix usrmerge error (boo#1211796)
  - commit da84579
* Thu Jun 01 2023 jslaby@suse.cz
  - Revert "Remove usrmerge compatibility symlink in buildroot (boo#1211796)"
    This reverts commit b8e00c5a84bcd75a1e2c491b6de601278e1572c7, as it
    breaks the build:
    + sed -ie s,/lib/modules/,/usr/lib/modules/,linux-6.3.5-0.g99c5100/Makefile scripts/depmod.sh
    sed: can't read linux-6.3.5-0.g99c5100/Makefile: No such file or directory
  - commit d3cbce2
* Thu Jun 01 2023 ailiop@suse.com
  - xfs: verify buffer contents when we skip log replay (bsc#1210498
    CVE-2023-2124).
  - commit 394c575
* Thu Jun 01 2023 jslaby@suse.cz
  - Update config files -- X86_KERNEL_IBT=y (bsc#1211890).
  - commit 50dbc0a
* Wed May 31 2023 jslaby@suse.cz
  - Linux 6.3.5 (bsc#1012628).
  - wifi: rtw89: 8852b: adjust quota to avoid SER L1 caused by
    access null page (bsc#1012628).
  - usb: dwc3: fix gadget mode suspend interrupt handler issue
    (bsc#1012628).
  - tpm, tpm_tis: Avoid cache incoherency in test for interrupts
    (bsc#1012628).
  - tpm, tpm_tis: Only handle supported interrupts (bsc#1012628).
  - tpm_tis: Use tpm_chip_{start,stop} decoration inside
    tpm_tis_resume (bsc#1012628).
  - tpm, tpm_tis: startup chip before testing for interrupts
    (bsc#1012628).
  - tpm: Re-enable TPM chip boostrapping non-tpm_tis TPM drivers
    (bsc#1012628).
  - tpm: Prevent hwrng from activating during resume (bsc#1012628).
  - zsmalloc: move LRU update from zs_map_object() to zs_malloc()
    (bsc#1012628).
  - watchdog: sp5100_tco: Immediately trigger upon starting
    (bsc#1012628).
  - mm/vmemmap/devdax: fix kernel crash when probing devdax devices
    (bsc#1012628).
  - ocfs2: Switch to security_inode_init_security() (bsc#1012628).
  - x86/mm: Avoid incomplete Global INVLPG flushes (bsc#1012628).
  - platform/x86/intel/ifs: Annotate work queue on stack so object
    debug does not complain (bsc#1012628).
  - cifs: Fix cifs_limit_bvec_subset() to correctly check the
    maxmimum size (bsc#1012628).
  - cifs: fix smb1 mount regression (bsc#1012628).
  - ALSA: hda/ca0132: add quirk for EVGA X299 DARK (bsc#1012628).
  - ALSA: hda: Fix unhandled register update during auto-suspend
    period (bsc#1012628).
  - ALSA: hda/realtek: Enable headset onLenovo M70/M90
    (bsc#1012628).
  - SUNRPC: Don't change task->tk_status after the call to
    rpc_exit_task (bsc#1012628).
  - mmc: sdhci-esdhc-imx: make "no-mmc-hs400" works (bsc#1012628).
  - mmc: block: ensure error propagation for non-blk (bsc#1012628).
  - power: supply: axp288_fuel_gauge: Fix external_power_changed
    race (bsc#1012628).
  - power: supply: bq25890: Fix external_power_changed race
    (bsc#1012628).
  - ASoC: rt5682: Disable jack detection interrupt during suspend
    (bsc#1012628).
  - net: cdc_ncm: Deal with too low values of dwNtbOutMaxSize
    (bsc#1012628).
  - m68k: Move signal frame following exception on 68020/030
    (bsc#1012628).
  - ipv{4,6}/raw: fix output xfrm lookup wrt protocol (bsc#1012628).
  - xtensa: fix signal delivery to FDPIC process (bsc#1012628).
  - xtensa: add __bswap{si,di}2 helpers (bsc#1012628).
  - parisc: Use num_present_cpus() in alternative patching code
    (bsc#1012628).
  - parisc: Handle kgdb breakpoints only in kernel context
    (bsc#1012628).
  - parisc: Fix flush_dcache_page() for usage from irq context
    (bsc#1012628).
  - parisc: Allow to reboot machine after system halt (bsc#1012628).
  - parisc: Enable LOCKDEP support (bsc#1012628).
  - parisc: Handle kprobes breakpoints only in kernel context
    (bsc#1012628).
  - cxl/port: Enable the HDM decoder capability for switch ports
    (bsc#1012628).
  - gpio: mockup: Fix mode of debugfs files (bsc#1012628).
  - btrfs: use nofs when cleaning up aborted transactions
    (bsc#1012628).
  - thermal: intel: int340x: Add new line for UUID display
    (bsc#1012628).
  - block: fix bio-cache for passthru IO (bsc#1012628).
  - dt-binding: cdns,usb3: Fix cdns,on-chip-buff-size type
    (bsc#1012628).
  - drm/amd/display: Have Payload Properly Created After Resume
    (bsc#1012628).
  - drm/mgag200: Fix gamma lut not initialized (bsc#1012628).
  - drm/radeon: reintroduce radeon_dp_work_func content
    (bsc#1012628).
  - drm/amdgpu: don't enable secure display on incompatible
    platforms (bsc#1012628).
  - drm/amd/pm: add missing NotifyPowerSource message mapping for
    SMU13.0.7 (bsc#1012628).
  - drm/amd/pm: Fix output of pp_od_clk_voltage (bsc#1012628).
  - Revert "binder_alloc: add missing mmap_lock calls when using
    the VMA" (bsc#1012628).
  - Revert "android: binder: stop saving a pointer to the VMA"
    (bsc#1012628).
  - binder: add lockless binder_alloc_(set|get)_vma() (bsc#1012628).
  - binder: fix UAF caused by faulty buffer cleanup (bsc#1012628).
  - binder: fix UAF of alloc->vma in race with munmap()
    (bsc#1012628).
  - drm/amd/amdgpu: limit one queue per gang (bsc#1012628).
  - perf/x86/uncore: Correct the number of CHAs on SPR
    (bsc#1012628).
  - x86/topology: Fix erroneous smp_num_siblings on Intel Hybrid
    platforms (bsc#1012628).
  - irqchip/mips-gic: Don't touch vl_map if a local interrupt is
    not routable (bsc#1012628).
  - irqchip/mips-gic: Use raw spinlock for gic_lock (bsc#1012628).
  - debugobjects: Don't wake up kswapd from fill_pool()
    (bsc#1012628).
  - fbdev: udlfb: Fix endpoint check (bsc#1012628).
  - net: fix stack overflow when LRO is disabled for virtual
    interfaces (bsc#1012628).
  - udplite: Fix NULL pointer dereference in
    __sk_mem_raise_allocated() (bsc#1012628).
  - USB: core: Add routines for endpoint checks in old drivers
    (bsc#1012628).
  - USB: sisusbvga: Add endpoint checks (bsc#1012628).
  - media: radio-shark: Add endpoint checks (bsc#1012628).
  - ASoC: lpass: Fix for KASAN use_after_free out of bounds
    (bsc#1012628).
  - net: fix skb leak in __skb_tstamp_tx() (bsc#1012628).
  - drm: fix drmm_mutex_init() (bsc#1012628).
  - selftests: fib_tests: mute cleanup error message (bsc#1012628).
  - octeontx2-pf: Fix TSOv6 offload (bsc#1012628).
  - bpf: Fix mask generation for 32-bit narrow loads of 64-bit
    fields (bsc#1012628).
  - bpf: fix a memory leak in the LRU and LRU_PERCPU hash maps
    (bsc#1012628).
  - lan966x: Fix unloading/loading of the driver (bsc#1012628).
  - ipv6: Fix out-of-bounds access in ipv6_find_tlv() (bsc#1012628).
  - cifs: mapchars mount option ignored (bsc#1012628).
  - power: supply: leds: Fix blink to LED on transition
    (bsc#1012628).
  - power: supply: mt6360: add a check of devm_work_autocancel in
    mt6360_charger_probe (bsc#1012628).
  - power: supply: bq27xxx: Fix bq27xxx_battery_update() race
    condition (bsc#1012628).
  - power: supply: bq27xxx: Fix I2C IRQ race on remove
    (bsc#1012628).
  - power: supply: bq27xxx: Fix poll_interval handling and races
    on remove (bsc#1012628).
  - power: supply: bq27xxx: Add cache parameter to
    bq27xxx_battery_current_and_status() (bsc#1012628).
  - power: supply: bq27xxx: Move bq27xxx_battery_update() down
    (bsc#1012628).
  - power: supply: bq27xxx: Ensure power_supply_changed() is called
    on current sign changes (bsc#1012628).
  - power: supply: bq27xxx: After charger plug in/out wait 0.5s
    for things to stabilize (bsc#1012628).
  - power: supply: bq25890: Call power_supply_changed() after
    updating input current or voltage (bsc#1012628).
  - power: supply: bq24190: Call power_supply_changed() after
    updating input current (bsc#1012628).
  - power: supply: sbs-charger: Fix INHIBITED bit for Status reg
    (bsc#1012628).
  - optee: fix uninited async notif value (bsc#1012628).
  - firmware: arm_ffa: Check if ffa_driver remove is present before
    executing (bsc#1012628).
  - firmware: arm_ffa: Fix FFA device names for logical partitions
    (bsc#1012628).
  - fs: fix undefined behavior in bit shift for SB_NOUSER
    (bsc#1012628).
  - regulator: pca9450: Fix BUCK2 enable_mask (bsc#1012628).
  - platform/x86: ISST: Remove 8 socket limit (bsc#1012628).
  - coresight: Fix signedness bug in
    tmc_etr_buf_insert_barrier_packet() (bsc#1012628).
  - ARM: dts: imx6qdl-mba6: Add missing pvcie-supply regulator
    (bsc#1012628).
  - x86/pci/xen: populate MSI sysfs entries (bsc#1012628).
  - xen/pvcalls-back: fix double frees with
    pvcalls_new_active_socket() (bsc#1012628).
  - x86/show_trace_log_lvl: Ensure stack pointer is aligned, again
    (bsc#1012628).
  - ASoC: Intel: Skylake: Fix declaration of enum skl_ch_cfg
    (bsc#1012628).
  - ASoC: Intel: avs: Fix declaration of enum avs_channel_config
    (bsc#1012628).
  - ASoC: Intel: avs: Access path components under lock
    (bsc#1012628).
  - cxl: Wait Memory_Info_Valid before access memory related info
    (bsc#1012628).
  - cxl: Move cxl_await_media_ready() to before capacity info
    retrieval (bsc#1012628).
  - sctp: fix an issue that plpmtu can never go to complete state
    (bsc#1012628).
  - forcedeth: Fix an error handling path in nv_probe()
    (bsc#1012628).
  - platform/mellanox: mlxbf-pmc: fix sscanf() error checking
    (bsc#1012628).
  - net/mlx5e: Fix SQ wake logic in ptp napi_poll context
    (bsc#1012628).
  - net/mlx5e: Fix deadlock in tc route query code (bsc#1012628).
  - net/mlx5e: Use correct encap attribute during invalidation
    (bsc#1012628).
  - net/mlx5e: do as little as possible in napi poll when budget
    is 0 (bsc#1012628).
  - net/mlx5: DR, Fix crc32 calculation to work on big-endian (BE)
    CPUs (bsc#1012628).
  - net/mlx5: Handle pairing of E-switch via uplink un/load APIs
    (bsc#1012628).
  - net/mlx5: DR, Check force-loopback RC QP capability
    independently from RoCE (bsc#1012628).
  - net/mlx5: Fix error message when failing to allocate device
    memory (bsc#1012628).
  - net/mlx5: Collect command failures data only for known commands
    (bsc#1012628).
  - net/mlx5: Devcom, fix error flow in mlx5_devcom_register_device
    (bsc#1012628).
  - net/mlx5: Devcom, serialize devcom registration (bsc#1012628).
  - arm64: dts: imx8mn-var-som: fix PHY detection bug by adding
    deassert delay (bsc#1012628).
  - firmware: arm_ffa: Set reserved/MBZ fields to zero in the
    memory descriptors (bsc#1012628).
  - regulator: mt6359: add read check for PMIC MT6359 (bsc#1012628).
  - net/smc: Reset connection when trying to use SMCRv2 fails
    (bsc#1012628).
  - 3c589_cs: Fix an error handling path in tc589_probe()
    (bsc#1012628).
  - page_pool: fix inconsistency for page_pool_ring_[un]lock()
    (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix QoS on DSA MAC on non
    MTK_NETSYS_V2 SoCs (bsc#1012628).
  - net: phy: mscc: add VSC8502 to MODULE_DEVICE_TABLE
    (bsc#1012628).
  - Revert "arm64: dts: imx8mp: Drop simple-bus from
    fsl,imx8mp-media-blk-ctrl" (bsc#1012628).
  - commit fc86ff2
* Mon May 29 2023 msuchanek@suse.de
  - Remove usrmerge compatibility symlink in buildroot (boo#1211796)
    Besides Makefile depmod.sh needs to be patched to prefix /lib/modules.
    Requires corresponding patch to kmod.
  - commit b8e00c5
* Mon May 29 2023 jslaby@suse.cz
  - xfs: fix livelock in delayed allocation at ENOSPC (brc#2208553
    xfs-issue).
  - commit 2c66b1f
* Sun May 28 2023 mkubecek@suse.cz
  - Update to 6.4-rc4
  - refresh configs
  - commit 2e9e157
* Fri May 26 2023 mkoutny@suse.com
  - supported.conf: Add a guard for unsupported rose module
  - commit ffa03aa
* Fri May 26 2023 jlee@suse.com
  - Revert "Disable lockdown. (bsc#1209006)"
    This reverts commit 44ca817f15b215421a4c788790dd5351c186d1df.
    Let's enable kernel lockdown function in master branch again.
    This time we will test with NVIDIA KMP.
  - commit 5ab030f
* Fri May 26 2023 jlee@suse.com
  - Revert "Revert "Update config files." (bsc#1211166)"
    This reverts commit 944713a45f59680c926e1a4d51798970f8af1767.
    Let's enable kernel lockdown function in master branch again.
    This time we will test with NVIDIA KMP.
  - commit 1bf0f73
* Thu May 25 2023 mkoutny@suse.com
  - supported.conf: Add guard against future CVE-2016-3695 (bsc#1023051)
    Just add more comment in support.conf, no change.
  - commit 337e000
* Thu May 25 2023 jslaby@suse.cz
  - Linux 6.3.4 (bsc#1012628).
  - drm/fbdev-generic: prohibit potential out-of-bounds access
    (bsc#1012628).
  - drm/dsc: fix DP_DSC_MAX_BPP_DELTA_* macro values (bsc#1012628).
  - drm/nouveau/disp: More DP_RECEIVER_CAP_SIZE array fixes
    (bsc#1012628).
  - drm/mipi-dsi: Set the fwnode for mipi_dsi_device (bsc#1012628).
  - ARM: 9296/1: HP Jornada 7XX: fix kernel-doc warnings
    (bsc#1012628).
  - net: skb_partial_csum_set() fix against transport header magic
    value (bsc#1012628).
  - net: mdio: mvusb: Fix an error handling path in
    mvusb_mdio_probe() (bsc#1012628).
  - perf/core: Fix perf_sample_data not properly initialized for
    different swevents in perf_tp_event() (bsc#1012628).
  - scsi: ufs: core: Fix I/O hang that occurs when BKOPS fails in
    W-LUN suspend (bsc#1012628).
  - tick/broadcast: Make broadcast device replacement work correctly
    (bsc#1012628).
  - linux/dim: Do nothing if no time delta between samples
    (bsc#1012628).
  - net: stmmac: Initialize MAC_ONEUS_TIC_COUNTER register
    (bsc#1012628).
  - net: Fix load-tearing on sk->sk_stamp in sock_recv_cmsgs()
    (bsc#1012628).
  - net: phy: bcm7xx: Correct read from expansion register
    (bsc#1012628).
  - netfilter: nf_tables: always release netdev hooks from notifier
    (bsc#1012628).
  - netfilter: conntrack: fix possible bug_on with enable_hooks=1
    (bsc#1012628).
  - bonding: fix send_peer_notif overflow (bsc#1012628).
  - netlink: annotate accesses to nlk->cb_running (bsc#1012628).
  - net: annotate sk->sk_err write from do_recvmmsg() (bsc#1012628).
  - net: deal with most data-races in sk_wait_event() (bsc#1012628).
  - net: add vlan_get_protocol_and_depth() helper (bsc#1012628).
  - tcp: add annotations around sk->sk_shutdown accesses
    (bsc#1012628).
  - gve: Remove the code of clearing PBA bit (bsc#1012628).
  - ipvlan:Fix out-of-bounds caused by unclear skb->cb
    (bsc#1012628).
  - net: mscc: ocelot: fix stat counter register values
    (bsc#1012628).
  - drm/sched: Check scheduler work queue before calling timeout
    handling (bsc#1012628).
  - net: datagram: fix data-races in datagram_poll() (bsc#1012628).
  - af_unix: Fix a data race of sk->sk_receive_queue->qlen
    (bsc#1012628).
  - af_unix: Fix data races around sk->sk_shutdown (bsc#1012628).
  - drm/i915/guc: Don't capture Gen8 regs on Xe devices
    (bsc#1012628).
  - drm/i915: Fix NULL ptr deref by checking new_crtc_state
    (bsc#1012628).
  - drm/i915/dp: prevent potential div-by-zero (bsc#1012628).
  - drm/i915: taint kernel when force probing unsupported devices
    (bsc#1012628).
  - fbdev: arcfb: Fix error handling in arcfb_probe() (bsc#1012628).
  - ext4: reflect error codes from ext4_multi_mount_protect()
    to its callers (bsc#1012628).
  - ext4: don't clear SB_RDONLY when remounting r/w until quota
    is re-enabled (bsc#1012628).
  - ext4: allow to find by goal if EXT4_MB_HINT_GOAL_ONLY is set
    (bsc#1012628).
  - ext4: allow ext4_get_group_info() to fail (bsc#1012628).
  - refscale: Move shutdown from wait_event() to wait_event_idle()
    (bsc#1012628).
  - selftests: cgroup: Add 'malloc' failures checks in
    test_memcontrol (bsc#1012628).
  - rcu: Protect rcu_print_task_exp_stall() ->exp_tasks access
    (bsc#1012628).
  - open: return EINVAL for O_DIRECTORY | O_CREAT (bsc#1012628).
  - fs: hfsplus: remove WARN_ON() from
    hfsplus_cat_{read,write}_inode() (bsc#1012628).
  - drm/displayid: add displayid_get_header() and check bounds
    better (bsc#1012628).
  - drm/amd/display: populate subvp cmd info only for the top pipe
    (bsc#1012628).
  - drm/amd/display: Correct DML calculation to align HW formula
    (bsc#1012628).
  - drm/amd/display: enable DPG when disabling plane for phantom
    pipe (bsc#1012628).
  - platform/x86: x86-android-tablets: Add Acer Iconia One 7 B1-750
    data (bsc#1012628).
  - drm/amd/display: Enable HostVM based on rIOMMU active
    (bsc#1012628).
  - drm/amd/display: Use DC_LOG_DC in the trasform pixel function
    (bsc#1012628).
  - regmap: cache: Return error in cache sync operations for
    REGCACHE_NONE (bsc#1012628).
  - remoteproc: imx_dsp_rproc: Add custom memory copy implementation
    for i.MX DSP Cores (bsc#1012628).
  - arm64: dts: qcom: msm8996: Add missing DWC3 quirks
    (bsc#1012628).
  - accel/habanalabs: postpone mem_mgr IDR destruction to
    hpriv_release() (bsc#1012628).
  - drm/amd/display: reallocate DET for dual displays with high
    pixel rate ratio (bsc#1012628).
  - media: imx-jpeg: Bounds check sizeimage access (bsc#1012628).
  - media: cx23885: Fix a null-ptr-deref bug in buffer_prepare()
    and buffer_finish() (bsc#1012628).
  - media: pci: tw68: Fix null-ptr-deref bug in buf prepare and
    finish (bsc#1012628).
  - media: pvrusb2: VIDEO_PVRUSB2 depends on DVB_CORE to use dvb_*
    symbols (bsc#1012628).
  - platform/x86/intel: vsec: Explicitly enable capabilities
    (bsc#1012628).
  - ACPI: processor: Check for null return of devm_kzalloc()
    in fch_misc_setup() (bsc#1012628).
  - drm/rockchip: dw_hdmi: cleanup drm encoder during unbind
    (bsc#1012628).
  - memstick: r592: Fix UAF bug in r592_remove due to race condition
    (bsc#1012628).
  - arm64: dts: imx8mq-librem5: Remove dis_u3_susphy_quirk from
    usb_dwc3_0 (bsc#1012628).
  - firmware: arm_sdei: Fix sleep from invalid context BUG
    (bsc#1012628).
  - ACPI: EC: Fix oops when removing custom query handlers
    (bsc#1012628).
  - drm/amd/display: fixed dcn30+ underflow issue (bsc#1012628).
  - remoteproc: stm32_rproc: Add mutex protection for workqueue
    (bsc#1012628).
  - accel/ivpu: Remove D3hot delay for Meteorlake (bsc#1012628).
  - drm/tegra: Avoid potential 32-bit integer overflow
    (bsc#1012628).
  - drm/msm/dp: Clean up handling of DP AUX interrupts
    (bsc#1012628).
  - ACPICA: Avoid undefined behavior: applying zero offset to null
    pointer (bsc#1012628).
  - ACPICA: ACPICA: check null return of ACPI_ALLOCATE_ZEROED in
    acpi_db_display_objects (bsc#1012628).
  - arm64: dts: qcom: sdm845-polaris: Drop inexistent properties
    (bsc#1012628).
  - arm64: dts: qcom: sm6115-j606f: Add ramoops node (bsc#1012628).
  - irqchip/gicv3: Workaround for NVIDIA erratum T241-FABRIC-4
    (bsc#1012628).
  - media: ipu3-cio2: support multiple sensors and VCMs with same
    HID (bsc#1012628).
  - ACPI: video: Remove desktops without backlight DMI quirks
    (bsc#1012628).
  - drm/amd/display: Correct DML calculation to follow HW SPEC
    (bsc#1012628).
  - drm/amd: Fix an out of bounds error in BIOS parser
    (bsc#1012628).
  - drm/amdgpu: Fix sdma v4 sw fini error (bsc#1012628).
  - media: Prefer designated initializers over memset for subdev
    pad ops (bsc#1012628).
  - drm/amdgpu: Enable IH retry CAM on GFX9 (bsc#1012628).
  - media: mediatek: vcodec: Fix potential array out-of-bounds in
    decoder queue_setup (bsc#1012628).
  - platform/x86/amd: pmc: Fix memory leak in
    amd_pmc_stb_debugfs_open_v2() (bsc#1012628).
  - hwmon: (nzxt-smart2) add another USB ID (bsc#1012628).
  - wifi: ath: Silence memcpy run-time false positive warning
    (bsc#1012628).
  - wifi: ath12k: Handle lock during peer_id find (bsc#1012628).
  - wifi: ath12k: PCI ops for wakeup/release MHI (bsc#1012628).
  - bpf: Annotate data races in bpf_local_storage (bsc#1012628).
  - wifi: brcmfmac: pcie: Provide a buffer of random bytes to the
    device (bsc#1012628).
  - wifi: brcmfmac: cfg80211: Pass the PMK in binary instead of hex
    (bsc#1012628).
  - wifi: brcmfmac: pcie: Add IDs/properties for BCM4387
    (bsc#1012628).
  - ext2: Check block size validity during mount (bsc#1012628).
  - scsi: lpfc: Prevent lpfc_debugfs_lockstat_write() buffer
    overflow (bsc#1012628).
  - scsi: lpfc: Correct used_rpi count when devloss tmo fires with
    no recovery (bsc#1012628).
  - wifi: rtw88: fix memory leak in rtw_usb_probe() (bsc#1012628).
  - bnxt: avoid overflow in bnxt_get_nvram_directory()
    (bsc#1012628).
  - net: pasemi: Fix return type of pasemi_mac_start_tx()
    (bsc#1012628).
  - wifi: ath12k: fix memory leak in ath12k_qmi_driver_event_work()
    (bsc#1012628).
  - net: Catch invalid index in XPS mapping (bsc#1012628).
  - netdev: Enforce index cap in netdev_get_tx_queue (bsc#1012628).
  - scsi: target: iscsit: Free cmds before session free
    (bsc#1012628).
  - lib: cpu_rmap: Avoid use after free on rmap->obj array entries
    (bsc#1012628).
  - scsi: message: mptlan: Fix use after free bug in mptlan_remove()
    due to race condition (bsc#1012628).
  - gfs2: Fix inode height consistency check (bsc#1012628).
  - scsi: ufs: ufs-pci: Add support for Intel Lunar Lake
    (bsc#1012628).
  - scsi: hisi_sas: Grab sas_dev lock when traversing the members
    of sas_dev.list (bsc#1012628).
  - ext4: set goal start correctly in ext4_mb_normalize_request
    (bsc#1012628).
  - ext4: Fix best extent lstart adjustment logic in
    ext4_mb_new_inode_pa() (bsc#1012628).
  - crypto: jitter - permanent and intermittent health errors
    (bsc#1012628).
  - f2fs: Fix system crash due to lack of free space in LFS
    (bsc#1012628).
  - f2fs: fix to drop all dirty pages during umount() if cp_error
    is set (bsc#1012628).
  - f2fs: fix to check readonly condition correctly (bsc#1012628).
  - samples/bpf: Fix fout leak in hbm's run_bpf_prog (bsc#1012628).
  - bpf: Add preempt_count_{sub,add} into btf id deny list
    (bsc#1012628).
  - md: fix soft lockup in status_resync (bsc#1012628).
  - net/sched: pass netlink extack to mqprio and taprio offload
    (bsc#1012628).
  - wifi: iwlwifi: pcie: fix possible NULL pointer dereference
    (bsc#1012628).
  - wifi: iwlwifi: add a new PCI device ID for BZ device
    (bsc#1012628).
  - wifi: iwlwifi: pcie: Fix integer overflow in
    iwl_write_to_user_buf (bsc#1012628).
  - wifi: iwlwifi: mvm: fix ptk_pn memory leak (bsc#1012628).
  - block, bfq: Fix division by zero error on zero wsum
    (bsc#1012628).
  - wifi: ath11k: Ignore frags from uninitialized peer in dp
    (bsc#1012628).
  - wifi: mt76: mt7921: add Netgear AXE3000 (A8000) support
    (bsc#1012628).
  - wifi: iwlwifi: fix iwl_mvm_max_amsdu_size() for MLO
    (bsc#1012628).
  - f2fs: relax sanity check if checkpoint is corrupted
    (bsc#1012628).
  - null_blk: Always check queue mode setting from configfs
    (bsc#1012628).
  - wifi: iwlwifi: dvm: Fix memcpy: detected field-spanning write
    backtrace (bsc#1012628).
  - wifi: ath11k: Fix SKB corruption in REO destination ring
    (bsc#1012628).
  - wifi: rtw88: Fix memory leak in rtw88_usb (bsc#1012628).
  - nbd: fix incomplete validation of ioctl arg (bsc#1012628).
  - ipvs: Update width of source for ip_vs_sync_conn_options
    (bsc#1012628).
  - Bluetooth: btusb: Add new PID/VID 04ca:3801 for MT7663
    (bsc#1012628).
  - Bluetooth: Add new quirk for broken local ext features page 2
    (bsc#1012628).
  - Bluetooth: btrtl: add support for the RTL8723CS (bsc#1012628).
  - Bluetooth: Improve support for Actions Semi ATS2851 based
    devices (bsc#1012628).
  - Bluetooth: btrtl: check for NULL in btrtl_set_quirks()
    (bsc#1012628).
  - Bluetooth: btintel: Add LE States quirk support (bsc#1012628).
  - Bluetooth: hci_bcm: Fall back to getting bdaddr from EFI if
    not set (bsc#1012628).
  - Bluetooth: Add new quirk for broken set random RPA timeout
    for ATS2851 (bsc#1012628).
  - Bluetooth: L2CAP: fix "bad unlock balance" in
    l2cap_disconnect_rsp (bsc#1012628).
  - Bluetooth: btrtl: Add the support for RTL8851B (bsc#1012628).
  - staging: rtl8192e: Replace macro RTL_PCI_DEVICE with PCI_DEVICE
    (bsc#1012628).
  - HID: apple: Set the tilde quirk flag on the Geyser 4 and later
    (bsc#1012628).
  - iio: imu: st_lsm6dsx: discard samples during filters settling
    time (bsc#1012628).
  - staging: axis-fifo: initialize timeouts in init only
    (bsc#1012628).
  - xhci: mem: Carefully calculate size for memory allocations
    (bsc#1012628).
  - spi: intel-pci: Add support for Meteor Lake-S SPI serial flash
    (bsc#1012628).
  - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx
    (8A42) (bsc#1012628).
  - HID: logitech-hidpp: Don't use the USB serial for USB devices
    (bsc#1012628).
  - HID: logitech-hidpp: Reconcile USB and Unifying serials
    (bsc#1012628).
  - spi: spi-imx: fix MX51_ECSPI_* macros when cs > 3 (bsc#1012628).
  - usb: typec: ucsi: acpi: add quirk for ASUS Zenbook UM325
    (bsc#1012628).
  - ALSA: hda: LNL: add HD Audio PCI ID (bsc#1012628).
  - ASoC: amd: Add Dell G15 5525 to quirks list (bsc#1012628).
  - ASoC: amd: yc: Add ThinkBook 14 G5+ ARP to quirks list for acp6x
    (bsc#1012628).
  - ASoC: amd: Add check for acp config flags (bsc#1012628).
  - HID: apple: Set the tilde quirk flag on the Geyser 3
    (bsc#1012628).
  - HID: Ignore battery for ELAN touchscreen on ROG Flow X13 GV301RA
    (bsc#1012628).
  - HID: wacom: generic: Set battery quirk only when we see battery
    data (bsc#1012628).
  - usb: typec: tcpm: fix multiple times discover svids error
    (bsc#1012628).
  - serial: 8250: Reinit port->pm on port specific driver unbind
    (bsc#1012628).
  - mcb-pci: Reallocate memory region to avoid memory overlapping
    (bsc#1012628).
  - powerpc: Use of_property_present() for testing DT property
    presence (bsc#1012628).
  - sched: Fix KCSAN noinstr violation (bsc#1012628).
  - lkdtm/stackleak: Fix noinstr violation (bsc#1012628).
  - riscv: Fix EFI stub usage of KASAN instrumented strcmp function
    (bsc#1012628).
  - recordmcount: Fix memory leaks in the uwrite function
    (bsc#1012628).
  - RDMA/core: Fix multiple -Warray-bounds warnings (bsc#1012628).
  - KVM: selftests: Add 'malloc' failure check in vcpu_save_state
    (bsc#1012628).
  - iommu/arm-smmu-qcom: Limit the SMR groups to 128 (bsc#1012628).
  - fs/ntfs3: Fix NULL pointer dereference in 'ni_write_inode'
    (bsc#1012628).
  - fs/ntfs3: Enhance the attribute size check (bsc#1012628).
  - fs/ntfs3: Fix NULL dereference in ni_write_inode (bsc#1012628).
  - fs/ntfs3: Validate MFT flags before replaying logs
    (bsc#1012628).
  - fs/ntfs3: Add length check in indx_get_root (bsc#1012628).
  - fs/ntfs3: Fix a possible null-pointer dereference in ni_clear()
    (bsc#1012628).
  - clk: tegra20: fix gcc-7 constant overflow warning (bsc#1012628).
  - iommu/arm-smmu-v3: Acknowledge pri/event queue overflow if any
    (bsc#1012628).
  - iommu/sprd: Release dma buffer to avoid memory leak
    (bsc#1012628).
  - power: supply: axp288_charger: Use alt usb-id extcon on some
    x86 android tablets (bsc#1012628).
  - Input: xpad - add constants for GIP interface numbers
    (bsc#1012628).
  - RDMA/mlx5: Remove pcie_relaxed_ordering_enabled() check for
    RO write (bsc#1012628).
  - clk: rockchip: rk3588: make gate linked clocks critical
    (bsc#1012628).
  - cifs: missing lock when updating session status (bsc#1012628).
  - pinctrl: at91: use devm_kasprintf() to avoid potential leaks
    (part 2) (bsc#1012628).
  - soundwire: dmi-quirks: add remapping for Intel 'Rooks County'
    NUC M15 (bsc#1012628).
  - phy: st: miphy28lp: use _poll_timeout functions for waits
    (bsc#1012628).
  - soundwire: qcom: gracefully handle too many ports in DT
    (bsc#1012628).
  - soundwire: bus: Fix unbalanced pm_runtime_put() causing usage
    count underflow (bsc#1012628).
  - mfd: intel_soc_pmic_chtwc: Add Lenovo Yoga Book X90F to
    intel_cht_wc_models (bsc#1012628).
  - mfd: dln2: Fix memory leak in dln2_probe() (bsc#1012628).
  - mfd: intel-lpss: Add Intel Meteor Lake PCH-S LPSS PCI IDs
    (bsc#1012628).
  - parisc: Replace regular spinlock with spin_trylock on panic path
    (bsc#1012628).
  - xfrm: don't check the default policy if the policy allows the
    packet (bsc#1012628).
  - xfrm: release all offloaded policy memory (bsc#1012628).
  - xfrm: Fix leak of dev tracker (bsc#1012628).
  - Revert "Fix XFRM-I support for nested ESP tunnels"
    (bsc#1012628).
  - drm/msm/dp: unregister audio driver during unbind (bsc#1012628).
  - drm/msm/dpu: Assign missing writeback log_mask (bsc#1012628).
  - drm/msm/dpu: Move non-MDP_TOP INTF_INTR offsets out of hwio
    header (bsc#1012628).
  - drm/msm/dpu: Reindent REV_7xxx interrupt masks with tabs
    (bsc#1012628).
  - drm/msm/dpu: populate SmartDMA features in hw catalog
    (bsc#1012628).
  - drm/msm/dpu: drop smart_dma_rev from dpu_caps (bsc#1012628).
  - drm/msm/dpu: Allow variable SSPP_BLK size (bsc#1012628).
  - drm/msm/dpu: Allow variable INTF_BLK size (bsc#1012628).
  - drm/msm/dpu: move UBWC/memory configuration to separate struct
    (bsc#1012628).
  - drm/msm/dpu: split SM8550 catalog entry to the separate file
    (bsc#1012628).
  - drm/msm/dpu: Fix PP_BLK_DIPHER -> DITHER typo (bsc#1012628).
  - drm/msm/dpu: Remove duplicate register defines from INTF
    (bsc#1012628).
  - dt-bindings: display/msm: dsi-controller-main: Document qcom,
    master-dsi and qcom, sync-dual-dsi (bsc#1012628).
  - ASoC: fsl_micfil: Fix error handler with pm_runtime_enable
    (bsc#1012628).
  - cpupower: Make TSC read per CPU for Mperf monitor (bsc#1012628).
  - xfrm: Reject optional tunnel/BEET mode templates in outbound
    policies (bsc#1012628).
  - af_key: Reject optional tunnel/BEET mode templates in outbound
    policies (bsc#1012628).
  - drm/msm: Fix submit error-path leaks (bsc#1012628).
  - selftests: seg6: disable DAD on IPv6 router cfg for
    srv6_end_dt4_l3vpn_test (bsc#1012628).
  - selftets: seg6: disable rp_filter by default in
    srv6_end_dt4_l3vpn_test (bsc#1012628).
  - devlink: change per-devlink netdev notifier to static one
    (bsc#1012628).
  - net: fec: Better handle pm_runtime_get() failing in .remove()
    (bsc#1012628).
  - net: phy: dp83867: add w/a for packet errors seen with short
    cables (bsc#1012628).
  - ALSA: firewire-digi00x: prevent potential use after free
    (bsc#1012628).
  - wifi: mt76: connac: fix stats->tx_bytes calculation
    (bsc#1012628).
  - ALSA: hda/realtek: Apply HP B&O top speaker profile to Pavilion
    15 (bsc#1012628).
  - ice: Fix undersized tx_flags variable (bsc#1012628).
  - sfc: disable RXFCS and RXALL features by default (bsc#1012628).
  - vsock: avoid to close connected socket after the timeout
    (bsc#1012628).
  - tcp: fix possible sk_priority leak in tcp_v4_send_reset()
    (bsc#1012628).
  - media: pvrusb2: fix DVB_CORE dependency (bsc#1012628).
  - serial: arc_uart: fix of_iomap leak in `arc_serial_probe`
    (bsc#1012628).
  - serial: 8250_bcm7271: balance clk_enable calls (bsc#1012628).
  - serial: 8250_bcm7271: fix leak in `brcmuart_probe`
    (bsc#1012628).
  - erspan: get the proto with the md version for collect_md
    (bsc#1012628).
  - net: dsa: rzn1-a5psw: enable management frames for CPU port
    (bsc#1012628).
  - net: dsa: rzn1-a5psw: fix STP states handling (bsc#1012628).
  - net: dsa: rzn1-a5psw: disable learning for standalone ports
    (bsc#1012628).
  - net: hns3: fix output information incomplete for dumping tx
    queue info with debugfs (bsc#1012628).
  - net: hns3: fix sending pfc frames after reset issue
    (bsc#1012628).
  - net: hns3: fix reset delay time to avoid configuration timeout
    (bsc#1012628).
  - net: hns3: fix reset timeout when enable full VF (bsc#1012628).
  - media: netup_unidvb: fix use-after-free at del_timer()
    (bsc#1012628).
  - SUNRPC: double free xprt_ctxt while still in use (bsc#1012628).
  - SUNRPC: always free ctxt when freeing deferred request
    (bsc#1012628).
  - SUNRPC: Fix trace_svc_register() call site (bsc#1012628).
  - ASoC: SOF: ipc3-topology: Make sure that only one cmd is sent
    in dai_config (bsc#1012628).
  - ASoC: mediatek: mt8186: Fix use-after-free in driver remove path
    (bsc#1012628).
  - ASoC: SOF: topology: Fix logic for copying tuples (bsc#1012628).
  - drm/exynos: fix g2d_open/close helper function definitions
    (bsc#1012628).
  - net: nsh: Use correct mac_offset to unwind gso skb in
    nsh_gso_segment() (bsc#1012628).
  - net: fec: remove the xdp_return_frame when lack of tx BDs
    (bsc#1012628).
  - virtio_net: Fix error unwinding of XDP initialization
    (bsc#1012628).
  - tipc: add tipc_bearer_min_mtu to calculate min mtu
    (bsc#1012628).
  - tipc: do not update mtu if msg_max is too small in mtu
    negotiation (bsc#1012628).
  - tipc: check the bearer min mtu properly when setting it by
    netlink (bsc#1012628).
  - s390/cio: include subchannels without devices also for
    evaluation (bsc#1012628).
  - can: dev: fix missing CAN XL support in can_put_echo_skb()
    (bsc#1012628).
  - net: bcmgenet: Remove phy_stop() from bcmgenet_netif_stop()
    (bsc#1012628).
  - net: bcmgenet: Restore phy_stop() depending upon suspend/close
    (bsc#1012628).
  - ice: Fix stats after PF reset (bsc#1012628).
  - ice: Fix ice VF reset during iavf initialization (bsc#1012628).
  - iavf: send VLAN offloading caps once after VFR (bsc#1012628).
  - wifi: cfg80211: Drop entries with invalid BSSIDs in RNR
    (bsc#1012628).
  - wifi: mac80211: fortify the spinlock against deadlock by
    interrupt (bsc#1012628).
  - wifi: mac80211: Fix puncturing bitmap handling in
    __ieee80211_csa_finalize() (bsc#1012628).
  - wifi: mac80211: fix min center freq offset tracing
    (bsc#1012628).
  - wifi: mac80211: Abort running color change when stopping the AP
    (bsc#1012628).
  - wifi: iwlwifi: mvm: fix cancel_delayed_work_sync() deadlock
    (bsc#1012628).
  - wifi: iwlwifi: fw: fix DBGI dump (bsc#1012628).
  - wifi: iwlwifi: fix OEM's name in the ppag approved list
    (bsc#1012628).
  - wifi: iwlwifi: mvm: fix OEM's name in the tas approved list
    (bsc#1012628).
  - wifi: iwlwifi: mvm: don't trust firmware n_channels
    (bsc#1012628).
  - scsi: storvsc: Don't pass unused PFNs to Hyper-V host
    (bsc#1012628).
  - devlink: Fix crash with CONFIG_NET_NS=n (bsc#1012628).
  - tun: Fix memory leak for detached NAPI queue (bsc#1012628).
  - cassini: Fix a memory leak in the error handling path of
    cas_init_one() (bsc#1012628).
  - net: dsa: mv88e6xxx: Fix mv88e6393x EPC write command offset
    (bsc#1012628).
  - igb: fix bit_shift to be in [1..8] range (bsc#1012628).
  - vlan: fix a potential uninit-value in vlan_dev_hard_start_xmit()
    (bsc#1012628).
  - net: wwan: iosm: fix NULL pointer dereference when removing
    device (bsc#1012628).
  - net: pcs: xpcs: fix C73 AN not getting enabled (bsc#1012628).
  - net: selftests: Fix optstring (bsc#1012628).
  - netfilter: nf_tables: fix nft_trans type confusion
    (bsc#1012628).
  - netfilter: nft_set_rbtree: fix null deref on element insertion
    (bsc#1012628).
  - bridge: always declare tunnel functions (bsc#1012628).
  - ALSA: usb-audio: Add a sample rate workaround for Line6 Pod Go
    (bsc#1012628).
  - USB: usbtmc: Fix direction for 0-length ioctl control messages
    (bsc#1012628).
  - usb-storage: fix deadlock when a scsi command timeouts more
    than once (bsc#1012628).
  - USB: UHCI: adjust zhaoxin UHCI controllers OverCurrent bit value
    (bsc#1012628).
  - usb: dwc3: gadget: Improve dwc3_gadget_suspend() and
    dwc3_gadget_resume() (bsc#1012628).
  - usb: dwc3: debugfs: Resume dwc3 before accessing registers
    (bsc#1012628).
  - usb: gadget: u_ether: Fix host MAC address case (bsc#1012628).
  - usb: typec: altmodes/displayport: fix pin_assignment_show
    (bsc#1012628).
  - Revert "usb: gadget: udc: core: Prevent redundant calls to
    pullup" (bsc#1012628).
  - Revert "usb: gadget: udc: core: Invoke usb_gadget_connect only
    when started" (bsc#1012628).
  - xhci-pci: Only run d3cold avoidance quirk for s2idle
    (bsc#1012628).
  - xhci: Fix incorrect tracking of free space on transfer rings
    (bsc#1012628).
  - ALSA: hda: Fix Oops by 9.1 surround channel names (bsc#1012628).
  - ALSA: hda: Add NVIDIA codec IDs a3 through a7 to patch table
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Clevo L140AU (bsc#1012628).
  - ALSA: hda/realtek: Add a quirk for HP EliteDesk 805
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for 2nd ASUS GU603 (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for HP EliteBook G10 laptops
    (bsc#1012628).
  - ALSA: hda/realtek: Fix mute and micmute LEDs for yet another
    HP laptop (bsc#1012628).
  - can: j1939: recvmsg(): allow MSG_CMSG_COMPAT flag (bsc#1012628).
  - can: isotp: recvmsg(): allow MSG_CMSG_COMPAT flag (bsc#1012628).
  - can: kvaser_pciefd: Set CAN_STATE_STOPPED in
    kvaser_pciefd_stop() (bsc#1012628).
  - can: kvaser_pciefd: Call request_irq() before enabling
    interrupts (bsc#1012628).
  - can: kvaser_pciefd: Empty SRB buffer in probe (bsc#1012628).
  - can: kvaser_pciefd: Clear listen-only bit if not explicitly
    requested (bsc#1012628).
  - can: kvaser_pciefd: Do not send EFLUSH command on TFD interrupt
    (bsc#1012628).
  - can: kvaser_pciefd: Disable interrupts in probe error path
    (bsc#1012628).
  - wifi: brcmfmac: Check for probe() id argument being NULL
    (bsc#1012628).
  - wifi: rtw88: use work to update rate to avoid RCU warning
    (bsc#1012628).
  - wifi: rtw88: correct qsel_to_ep[] type as int (bsc#1012628).
  - SMB3: Close all deferred handles of inode in case of handle
    lease break (bsc#1012628).
  - SMB3: drop reference to cfile before sending oplock break
    (bsc#1012628).
  - ksmbd: smb2: Allow messages padded to 8byte boundary
    (bsc#1012628).
  - ksmbd: allocate one more byte for implied bcc[0] (bsc#1012628).
  - ksmbd: fix wrong UserName check in session_user (bsc#1012628).
  - ksmbd: fix global-out-of-bounds in smb2_find_context_vals
    (bsc#1012628).
  - KVM: arm64: Infer the PA offset from IPA in stage-2 map walker
    (bsc#1012628).
  - KVM: Fix vcpu_array[0] races (bsc#1012628).
  - statfs: enforce statfs[64] structure initialization
    (bsc#1012628).
  - maple_tree: make maple state reusable after mas_empty_area()
    (bsc#1012628).
  - mm: fix zswap writeback race condition (bsc#1012628).
  - perf script: Skip aggregation for stat events (bsc#1012628).
  - serial: Add support for Advantech PCI-1611U card (bsc#1012628).
  - serial: 8250_exar: Add support for USR298x PCI Modems
    (bsc#1012628).
  - serial: qcom-geni: fix enabling deactivated interrupt
    (bsc#1012628).
  - thunderbolt: Clear registers properly when auto clear isn't
    in use (bsc#1012628).
  - vc_screen: reload load of struct vc_data pointer in vcs_write()
    to avoid UAF (bsc#1012628).
  - ceph: force updating the msg pointer in non-split case
    (bsc#1012628).
  - drm/amd/pm: fix possible power mode mismatch between driver
    and PMFW (bsc#1012628).
  - drm/amdgpu/gmc11: implement get_vbios_fb_size() (bsc#1012628).
  - drm/amdgpu/gfx10: Disable gfxoff before disabling powergating
    (bsc#1012628).
  - drm/amdgpu/gfx11: Adjust gfxoff before powergating on gfx11
    as well (bsc#1012628).
  - drm/amdgpu: refine get gpu clock counter method (bsc#1012628).
  - drm/amdgpu/gfx11: update gpu_clock_counter logic (bsc#1012628).
  - iommu/arm-smmu-qcom: Fix missing adreno_smmu's (bsc#1012628).
  - dt-bindings: ata: ahci-ceva: Cover all 4 iommus entries
    (bsc#1012628).
  - powerpc/iommu: DMA address offset is incorrectly calculated
    with 2MB TCEs (bsc#1012628).
  - powerpc/iommu: Incorrect DDW Table is referenced for SR-IOV
    device (bsc#1012628).
  - tpm/tpm_tis: Disable interrupts for more Lenovo devices
    (bsc#1012628).
  - powerpc/64s/radix: Fix soft dirty tracking (bsc#1012628).
  - powerpc/bpf: populate extable entries only during the last pass
    (bsc#1012628).
  - nfp: fix NFP_NET_MAX_DSCP definition error (bsc#1012628).
  - nilfs2: fix use-after-free bug of nilfs_root in
    nilfs_evict_inode() (bsc#1012628).
  - s390/dasd: fix command reject error on ESE devices
    (bsc#1012628).
  - s390/crypto: use vector instructions only if available for
    ChaCha20 (bsc#1012628).
  - s390/qdio: fix do_sqbs() inline assembly constraint
    (bsc#1012628).
  - arm64: Also reset KASAN tag if page is not PG_mte_tagged
    (bsc#1012628).
  - arm64: mte: Do not set PG_mte_tagged if tags were not
    initialized (bsc#1012628).
  - rethook: use preempt_{disable, enable}_notrace in
    rethook_trampoline_handler (bsc#1012628).
  - rethook, fprobe: do not trace rethook related functions
    (bsc#1012628).
  - remoteproc: imx_dsp_rproc: Fix kernel test robot sparse warning
    (bsc#1012628).
  - ARM: 9294/2: vfp: Fix broken softirq handling with
    instrumentation enabled (bsc#1012628).
  - ARM: 9297/1: vfp: avoid unbalanced stack on 'success' return
    path (bsc#1012628).
  - drm/amd/amdgpu: introduce gc_*_mes_2.bin v2 (bsc#1012628).
  - drm/amdgpu: reserve the old gc_11_0_*_mes.bin (bsc#1012628).
  - scsi: Revert "scsi: core: Do not increase scsi_device's
    iorequest_cnt if dispatch failed" (bsc#1012628).
  - commit c5b4604
* Tue May 23 2023 jslaby@suse.cz
  - SUNRPC: Fix encoding of accepted but unsuccessful RPC replies
    (bsc#1210995).
    Update upstream status.
  - commit 1ef7149
* Mon May 22 2023 msuchanek@suse.de
  - kernel-source: Remove unused macro variant_symbols
  - commit 915ac72
* Sun May 21 2023 mkubecek@suse.cz
  - Update to 6.4-rc3
  - eliminate 1 patch
    - patches.suse/SUNRPC-Fix-encoding-of-rejected-RPCs.patch (29cd2927fb91)
  - update configs
    - VFIO_CCW=m (s390x only)
  - commit 02bdb8c
* Wed May 17 2023 jslaby@suse.cz
  - Linux 6.3.3 (bsc#1012628).
  - drm/amd/display: Fix hang when skipping modeset (bsc#1012628).
  - s390/mm: fix direct map accounting (bsc#1012628).
  - s390/mm: rename POPULATE_ONE2ONE to POPULATE_DIRECT
    (bsc#1012628).
  - spi: fsl-cpm: Use 16 bit mode for large transfers with even size
    (bsc#1012628).
  - spi: fsl-spi: Re-organise transfer bits_per_word adaptation
    (bsc#1012628).
  - x86: fix clear_user_rep_good() exception handling annotation
    (bsc#1012628).
  - x86/amd_nb: Add PCI ID for family 19h model 78h (bsc#1012628).
  - ext4: fix invalid free tracking in ext4_xattr_move_to_block()
    (bsc#1012628).
  - ext4: remove a BUG_ON in ext4_mb_release_group_pa()
    (bsc#1012628).
  - ext4: fix lockdep warning when enabling MMP (bsc#1012628).
  - ext4: bail out of ext4_xattr_ibody_get() fails for any reason
    (bsc#1012628).
  - ext4: add bounds checking in get_max_inline_xattr_value_size()
    (bsc#1012628).
  - ext4: fix deadlock when converting an inline directory in
    nojournal mode (bsc#1012628).
  - ext4: improve error handling from ext4_dirhash() (bsc#1012628).
  - ext4: improve error recovery code paths in __ext4_remount()
    (bsc#1012628).
  - ext4: check iomap type only if ext4_iomap_begin() does not fail
    (bsc#1012628).
  - ext4: avoid deadlock in fs reclaim with page writeback
    (bsc#1012628).
  - ext4: fix data races when using cached status extents
    (bsc#1012628).
  - ext4: avoid a potential slab-out-of-bounds in
    ext4_group_desc_csum (bsc#1012628).
  - ext4: fix WARNING in mb_find_extent (bsc#1012628).
  - locking/rwsem: Add __always_inline annotation to
    __down_read_common() and inlined callers (bsc#1012628).
  - perf/x86: Fix missing sample size update on AMD BRS
    (bsc#1012628).
  - parisc: Fix encoding of swp_entry due to added SWP_EXCLUSIVE
    flag (bsc#1012628).
  - drm/amd/display: Lowering min Z8 residency time (bsc#1012628).
  - drm/amd/display: Update minimum stutter residency for DCN314 Z8
    (bsc#1012628).
  - drm/amd/display: Add minimum Z8 residency debug option
    (bsc#1012628).
  - drm/i915: disable sampler indirect state in bindless heap
    (bsc#1012628).
  - drm/i915/mtl: Add Wa_14017856879 (bsc#1012628).
  - drm/i915/mtl: Add workarounds Wa_14017066071 and Wa_14017654203
    (bsc#1012628).
  - drm/i915: Add _PICK_EVEN_2RANGES() (bsc#1012628).
  - drm/amd/display: hpd rx irq not working with eDP interface
    (bsc#1012628).
  - drm/amd/display: merge dc_link.h into dc.h and dc_types.h
    (bsc#1012628).
  - drm/msm/adreno: adreno_gpu: Use suspend() instead of idle()
    on load error (bsc#1012628).
  - Revert "net/sched: flower: Fix wrong handle assignment during
    filter change" (bsc#1012628).
  - fs/ntfs3: Refactoring of various minor issues (bsc#1012628).
  - HID: wacom: insert timestamp to packed Bluetooth (BT) events
    (bsc#1012628).
  - HID: wacom: Set a default resolution for older tablets
    (bsc#1012628).
  - firewire: net: fix unexpected release of object for asynchronous
    request packet (bsc#1012628).
  - drm/amd/pm: avoid potential UBSAN issue on legacy asics
    (bsc#1012628).
  - drm/amdgpu: disable sdma ecc irq only when sdma RAS is enabled
    in suspend (bsc#1012628).
  - drm/amdgpu: drop gfx_v11_0_cp_ecc_error_irq_funcs (bsc#1012628).
  - drm/amd/pm: parse pp_handle under appropriate conditions
    (bsc#1012628).
  - drm/amd/display: Enforce 60us prefetch for 200Mhz DCFCLK modes
    (bsc#1012628).
  - drm/amdgpu: Fix vram recover doesn't work after whole GPU reset
    (v2) (bsc#1012628).
  - drm/amdgpu: change gfx 11.0.4 external_id range (bsc#1012628).
  - drm/amdgpu/jpeg: Remove harvest checking for JPEG3
    (bsc#1012628).
  - drm/amdgpu/gfx: disable gfx9 cp_ecc_error_irq only when enabling
    legacy gfx ras (bsc#1012628).
  - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v11_0_hw_fini
    (bsc#1012628).
  - drm/amdgpu: fix an amdgpu_irq_put() issue in gmc_v9_0_hw_fini()
    (bsc#1012628).
  - drm/amdgpu: fix amdgpu_irq_put call trace in gmc_v10_0_hw_fini
    (bsc#1012628).
  - drm/amd/display: Change default Z8 watermark values
    (bsc#1012628).
  - drm/amdgpu: drop redundant sched job cleanup when cs is aborted
    (bsc#1012628).
  - drm/amd/display: fix flickering caused by S/G mode
    (bsc#1012628).
  - drm/amd/display: fix access hdcp_workqueue assert (bsc#1012628).
  - drm/amd/display: filter out invalid bits in pipe_fuses
    (bsc#1012628).
  - drm/amd/display: Fix 4to1 MPC black screen with DPP RCO
    (bsc#1012628).
  - drm/amd/display: Add NULL plane_state check for cursor disable
    logic (bsc#1012628).
  - drm/panel: otm8009a: Set backlight parent to panel device
    (bsc#1012628).
  - irqchip/loongson-eiointc: Fix registration of syscore_ops
    (bsc#1012628).
  - irqchip/loongson-eiointc: Fix incorrect use of
    acpi_get_vec_parent (bsc#1012628).
  - irqchip/loongson-eiointc: Fix returned value on parsing MADT
    (bsc#1012628).
  - irqchip/loongson-pch-pic: Fix registration of syscore_ops
    (bsc#1012628).
  - irqchip/loongson-pch-pic: Fix pch_pic_acpi_init calling
    (bsc#1012628).
  - f2fs: fix potential corruption when moving a directory
    (bsc#1012628).
  - f2fs: fix null pointer panic in tracepoint in
    __replace_atomic_write_block (bsc#1012628).
  - f2fs: remove entire rb_entry sharing (bsc#1012628).
  - f2fs: factor out discard_cmd usage from general rb_tree use
    (bsc#1012628).
  - f2fs: factor out victim_entry usage from general rb_tree use
    (bsc#1012628).
  - drm/dsc: fix drm_edp_dsc_sink_output_bpp() DPCD high byte usage
    (bsc#1012628).
  - drm/i915/dsi: Use unconditional msleep() instead of
    intel_dsi_msleep() (bsc#1012628).
  - drm/i915: Check pipe source size when using skl+ scalers
    (bsc#1012628).
  - drm/msm: fix workqueue leak on bind errors (bsc#1012628).
  - drm/msm: fix missing wq allocation error handling (bsc#1012628).
  - drm/msm: fix vram leak on bind errors (bsc#1012628).
  - drm/msm: fix drm device leak on bind errors (bsc#1012628).
  - drm/msm: fix NULL-deref on irq uninstall (bsc#1012628).
  - drm/msm: fix NULL-deref on snapshot tear down (bsc#1012628).
  - drm/i915/color: Fix typo for Plane CSC indexes (bsc#1012628).
  - drm/bridge: lt8912b: Fix DSI Video Mode (bsc#1012628).
  - drm/msm/adreno: fix runtime PM imbalance at gpu load
    (bsc#1012628).
  - ARM: dts: aspeed: romed8hm3: Fix GPIO polarity of system-fault
    LED (bsc#1012628).
  - ARM: dts: s5pv210: correct MIPI CSIS clock name (bsc#1012628).
  - ARM: dts: exynos: fix WM8960 clock name in Itop Elite
    (bsc#1012628).
  - ARM: dts: aspeed: asrock: Correct firmware flash SPI clocks
    (bsc#1012628).
  - sysctl: clarify register_sysctl_init() base directory order
    (bsc#1012628).
  - remoteproc: rcar_rproc: Call of_node_put() on iteration error
    (bsc#1012628).
  - remoteproc: imx_rproc: Call of_node_put() on iteration error
    (bsc#1012628).
  - remoteproc: imx_dsp_rproc: Call of_node_put() on iteration error
    (bsc#1012628).
  - remoteproc: st: Call of_node_put() on iteration error
    (bsc#1012628).
  - remoteproc: stm32: Call of_node_put() on iteration error
    (bsc#1012628).
  - proc_sysctl: enhance documentation (bsc#1012628).
  - proc_sysctl: update docs for __register_sysctl_table()
    (bsc#1012628).
  - sh: nmi_debug: fix return value of __setup handler
    (bsc#1012628).
  - sh: init: use OF_EARLY_FLATTREE for early init (bsc#1012628).
  - sh: mcount.S: fix build error when PRINTK is not enabled
    (bsc#1012628).
  - sh: math-emu: fix macro redefined warning (bsc#1012628).
  - SMB3: force unmount was failing to close deferred close files
    (bsc#1012628).
  - smb3: fix problem remounting a share after shutdown
    (bsc#1012628).
  - inotify: Avoid reporting event with invalid wd (bsc#1012628).
  - platform/x86: thinkpad_acpi: Add profile force ability
    (bsc#1012628).
  - platform/x86: touchscreen_dmi: Add info for the Dexp Ursus
    KX210i (bsc#1012628).
  - platform/x86: hp-wmi: add micmute to hp_wmi_keymap struct
    (bsc#1012628).
  - platform/x86: thinkpad_acpi: Fix platform profiles on T490
    (bsc#1012628).
  - platform/x86: touchscreen_dmi: Add upside-down quirk for
    GDIX1002 ts on the Juno Tablet (bsc#1012628).
  - platform/x86/intel-uncore-freq: Return error on write frequency
    (bsc#1012628).
  - cifs: release leases for deferred close handles when freezing
    (bsc#1012628).
  - cifs: fix pcchunk length type in smb2_copychunk_range
    (bsc#1012628).
  - btrfs: fix backref walking not returning all inode refs
    (bsc#1012628).
  - btrfs: zoned: fix full zone super block reading on ZNS
    (bsc#1012628).
  - btrfs: zoned: zone finish data relocation BG with last IO
    (bsc#1012628).
  - btrfs: fix space cache inconsistency after error loading it
    from disk (bsc#1012628).
  - btrfs: print-tree: parent bytenr must be aligned to sector size
    (bsc#1012628).
  - btrfs: make clear_cache mount option to rebuild FST without
    disabling it (bsc#1012628).
  - btrfs: zero the buffer before marking it dirty in
    btrfs_redirty_list_add (bsc#1012628).
  - btrfs: don't free qgroup space unless specified (bsc#1012628).
  - btrfs: fix encoded write i_size corruption with no-holes
    (bsc#1012628).
  - btrfs: fix assertion of exclop condition when starting balance
    (bsc#1012628).
  - btrfs: properly reject clear_cache and v1 cache for
    block-group-tree (bsc#1012628).
  - btrfs: zoned: fix wrong use of bitops API in
    btrfs_ensure_empty_zones (bsc#1012628).
  - btrfs: fix btrfs_prev_leaf() to not return the same key twice
    (bsc#1012628).
  - x86/retbleed: Fix return thunk alignment (bsc#1012628).
  - KVM: x86/mmu: Refresh CR0.WP prior to checking for emulated
    permission faults (bsc#1012628).
  - KVM: VMX: Make CR0.WP a guest owned bit (bsc#1012628).
  - KVM: x86: Make use of kvm_read_cr*_bits() when testing bits
    (bsc#1012628).
  - KVM: x86: Do not unload MMU roots when only toggling CR0.WP
    with TDP enabled (bsc#1012628).
  - KVM: x86/mmu: Avoid indirect call for get_cr3 (bsc#1012628).
  - perf stat: Separate bperf from bpf_profiler (bsc#1012628).
  - perf tracepoint: Fix memory leak in is_valid_tracepoint()
    (bsc#1012628).
  - perf symbols: Fix return incorrect build_id size in
    elf_read_build_id() (bsc#1012628).
  - crypto: engine - fix crypto_queue backlog handling
    (bsc#1012628).
  - crypto: sun8i-ss - Fix a test in sun8i_ss_setup_ivs()
    (bsc#1012628).
  - perf cs-etm: Fix timeless decode mode detection (bsc#1012628).
  - perf map: Delete two variable initialisations before null
    pointer checks in sort__sym_from_cmp() (bsc#1012628).
  - perf pmu: zfree() expects a pointer to a pointer to zero it
    after freeing its contents (bsc#1012628).
  - perf symbols: Fix unaligned access in get_x86_64_plt_disp()
    (bsc#1012628).
  - perf symbols: Fix use-after-free in get_plt_got_name()
    (bsc#1012628).
  - perf vendor events power9: Remove UTF-8 characters from JSON
    files (bsc#1012628).
  - perf ftrace: Make system wide the default target for latency
    subcommand (bsc#1012628).
  - perf tests record_offcpu.sh: Fix redirection of stderr to stdin
    (bsc#1012628).
  - perf vendor events s390: Remove UTF-8 characters from JSON file
    (bsc#1012628).
  - perf hist: Improve srcfile sort key performance (really)
    (bsc#1012628).
  - perf test: Fix wrong size expectation for 'Setup struct
    perf_event_attr' (bsc#1012628).
  - perf script: Fix Python support when no libtraceevent
    (bsc#1012628).
  - perf scripts intel-pt-events.py: Fix IPC output for Python 2
    (bsc#1012628).
  - perf test: Fix "PMU event table sanity" for NO_JEVENTS=1
    (bsc#1012628).
  - perf build: Support python/perf.so testing (bsc#1012628).
  - perf lock contention: Fix compiler builtin detection
    (bsc#1012628).
  - perf record: Fix "read LOST count failed" msg with sample read
    (bsc#1012628).
  - net/sched: flower: fix error handler on replace (bsc#1012628).
  - net/sched: flower: fix filter idr initialization (bsc#1012628).
  - net: fec: correct the counting of XDP sent frames (bsc#1012628).
  - net: enetc: check the index of the SFI rather than the handle
    (bsc#1012628).
  - virtio_net: suppress cpu stall when free_unused_bufs
    (bsc#1012628).
  - ice: block LAN in case of VF to VF offload (bsc#1012628).
  - net: dsa: mt7530: fix network connectivity with multiple CPU
    ports (bsc#1012628).
  - net: dsa: mt7530: split-off common parts from mt7531_setup
    (bsc#1012628).
  - net: dsa: mt7530: fix corrupt frames using trgmii on 40 MHz
    XTAL MT7621 (bsc#1012628).
  - dt-bindings: perf: riscv,pmu: fix property dependencies
    (bsc#1012628).
  - KVM: s390: fix race in gmap_make_secure() (bsc#1012628).
  - KVM: s390: pv: fix asynchronous teardown for small VMs
    (bsc#1012628).
  - ALSA: caiaq: input: Add error handling for unsupported input
    methods in `snd_usb_caiaq_input_init` (bsc#1012628).
  - drm/amdgpu: add a missing lock for AMDGPU_SCHED (bsc#1012628).
  - ublk: add timeout handler (bsc#1012628).
  - i2c: gxp: fix build failure without CONFIG_I2C_SLAVE
    (bsc#1012628).
  - netfilter: nf_tables: fix ct untracked match breakage
    (bsc#1012628).
  - af_packet: Don't send zero-byte data in packet_sendmsg_spkt()
    (bsc#1012628).
  - ionic: catch failure from devlink_alloc (bsc#1012628).
  - ethtool: Fix uninitialized number of lanes (bsc#1012628).
  - r8152: fix the autosuspend doesn't work (bsc#1012628).
  - ionic: remove noise from ethtool rxnfc error msg (bsc#1012628).
  - octeontx2-vf: Detach LF resources on probe cleanup
    (bsc#1012628).
  - octeontx2-pf: Disable packet I/O for graceful exit
    (bsc#1012628).
  - octeontx2-af: Skip PFs if not enabled (bsc#1012628).
  - octeontx2-af: Fix issues with NPC field hash extract
    (bsc#1012628).
  - octeontx2-af: Update/Fix NPC field hash extract feature
    (bsc#1012628).
  - octeontx2-af: Update correct mask to filter IPv4 fragments
    (bsc#1012628).
  - octeontx2-af: Add validation for lmac type (bsc#1012628).
  - octeontx2-pf: Increase the size of dmac filter flows
    (bsc#1012628).
  - octeontx2-af: Fix depth of cam and mem table (bsc#1012628).
  - octeontx2-af: Fix start and end bit for scan config
    (bsc#1012628).
  - octeontx2-af: Secure APR table update with the lock
    (bsc#1012628).
  - selftests: netfilter: fix libmnl pkg-config usage (bsc#1012628).
  - netfilter: nf_tables: hit ENOENT on unexisting chain/flowtable
    update with missing attributes (bsc#1012628).
  - netfilter: nf_tables: support for adding new devices to an
    existing netdev chain (bsc#1012628).
  - netfilter: nf_tables: rename function to destroy hook list
    (bsc#1012628).
  - netfilter: nf_tables: extended netlink error reporting for
    netdevice (bsc#1012628).
  - drm/i915/mtl: Add the missing CPU transcoder mask in
    intel_device_info (bsc#1012628).
  - drm/i915/guc: Actually return an error if GuC version range
    check fails (bsc#1012628).
  - drm/i915/guc: More debug print updates - UC firmware
    (bsc#1012628).
  - net: ethernet: mtk_eth_soc: drop generic vlan rx offload,
    only use DSA untagging (bsc#1012628).
  - arm64: kernel: remove SHF_WRITE|SHF_EXECINSTR from .idmap.text
    (bsc#1012628).
  - riscv: compat_syscall_table: Fixup compile warning
    (bsc#1012628).
  - rxrpc: Fix timeout of a call that hasn't yet been granted a
    channel (bsc#1012628).
  - rxrpc: Make it so that a waiting process can be aborted
    (bsc#1012628).
  - rxrpc: Fix hard call timeout units (bsc#1012628).
  - sfc: Fix module EEPROM reporting for QSFP modules (bsc#1012628).
  - r8152: move setting r8153b_rx_agg_chg_indicate() (bsc#1012628).
  - r8152: fix the poor throughput for 2.5G devices (bsc#1012628).
  - r8152: fix flow control issue of RTL8156A (bsc#1012628).
  - net/sched: act_mirred: Add carrier check (bsc#1012628).
  - i2c: tegra: Fix PEC support for SMBUS block read (bsc#1012628).
  - RISC-V: mm: Enable huge page support to kernel_page_present()
    function (bsc#1012628).
  - watchdog: dw_wdt: Fix the error handling path of
    dw_wdt_drv_probe() (bsc#1012628).
  - block: Skip destroyed blkg when restart in blkg_destroy_all()
    (bsc#1012628).
  - writeback: fix call of incorrect macro (bsc#1012628).
  - net: dsa: mv88e6xxx: add mv88e6321 rsvd2cpu (bsc#1012628).
  - net: ipv6: fix skb hash for some RST packets (bsc#1012628).
  - selftests: srv6: make srv6_end_dt46_l3vpn_test more robust
    (bsc#1012628).
  - sit: update dev->needed_headroom in ipip6_tunnel_bind_dev()
    (bsc#1012628).
  - net/sched: cls_api: remove block_cb from driver_list before
    freeing (bsc#1012628).
  - tcp: fix skb_copy_ubufs() vs BIG TCP (bsc#1012628).
  - net/ncsi: clear Tx enable mode when handling a Config required
    AEN (bsc#1012628).
  - octeontx2-pf: mcs: Do not reset PN while updating secy
    (bsc#1012628).
  - octeontx2-pf: mcs: Fix shared counters logic (bsc#1012628).
  - octeontx2-pf: mcs: Clear stats before freeing resource
    (bsc#1012628).
  - octeontx2-pf: mcs: Match macsec ethertype along with DMAC
    (bsc#1012628).
  - octeontx2-pf: mcs: Fix NULL pointer dereferences (bsc#1012628).
  - octeontx2-af: mcs: Fix MCS block interrupt (bsc#1012628).
  - octeontx2-af: mcs: Config parser to skip 8B header
    (bsc#1012628).
  - octeontx2-af: mcs: Write TCAM_DATA and TCAM_MASK registers at
    once (bsc#1012628).
  - octeonxt2-af: mcs: Fix per port bypass config (bsc#1012628).
  - ixgbe: Fix panic during XDP_TX with > 64 CPUs (bsc#1012628).
  - net/sched: flower: Fix wrong handle assignment during filter
    change (bsc#1012628).
  - rxrpc: Fix potential data race in rxrpc_wait_to_be_connected()
    (bsc#1012628).
  - drm/amd/display: Update bounding box values for DCN321
    (bsc#1012628).
  - drm/amd/display: Do not clear GPINT register when releasing
    DMUB from reset (bsc#1012628).
  - drm/amd/display: Reset OUTBOX0 r/w pointer on DMUB reset
    (bsc#1012628).
  - drm/amd/display: Fixes for dcn32_clk_mgr implementation
    (bsc#1012628).
  - drm/amd/display: Return error code on DSC atomic check failure
    (bsc#1012628).
  - drm/amd/display: Add missing WA and MCLK validation
    (bsc#1012628).
  - arm64: Fix label placement in record_mmu_state() (bsc#1012628).
  - scsi: qedi: Fix use after free bug in qedi_remove()
    (bsc#1012628).
  - scsi: ufs: core: mcq: Fix &hwq->cq_lock deadlock issue
    (bsc#1012628).
  - ASoC: Intel: soc-acpi-byt: Fix "WM510205" match no longer
    working (bsc#1012628).
  - RDMA/rxe: Extend dbg log messages to err and info (bsc#1012628).
  - RDMA/rxe: Change rxe_dbg to rxe_dbg_dev (bsc#1012628).
  - fs/ntfs3: Fix null-ptr-deref on inode->i_op in ntfs_lookup()
    (bsc#1012628).
  - mtd: spi-nor: spansion: Enable JFFS2 write buffer for Infineon
    s25hx SEMPER flash (bsc#1012628).
  - soc: qcom: llcc: Do not create EDAC platform device on SDM845
    (bsc#1012628).
  - qcom: llcc/edac: Support polling mode for ECC handling
    (bsc#1012628).
  - mtd: spi-nor: spansion: Enable JFFS2 write buffer for Infineon
    s28hx SEMPER flash (bsc#1012628).
  - mtd: spi-nor: Add a RWW flag (bsc#1012628).
  - crypto: ccp - Clear PSP interrupt status register before
    calling handler (bsc#1012628).
  - usb: dwc3: gadget: Execute gadget stop after halting the
    controller (bsc#1012628).
  - USB: dwc3: gadget: drop dead hibernation code (bsc#1012628).
  - commit 1237d35
* Mon May 15 2023 jslaby@suse.cz
  - Linux 6.3.2 (bsc#1012628).
  - netfilter: nf_tables: deactivate anonymous set from preparation
    phase (bsc#1012628).
  - arch_topology: Remove early cacheinfo error message if -ENOENT
    (bsc#1012628).
  - debugobject: Ensure pool refill (again) (bsc#1012628).
  - cifs: avoid potential races when handling multiple dfs tcons
    (bsc#1012628).
  - cifs: protect access of TCP_Server_Info::{origin,leaf}_fullpath
    (bsc#1012628).
  - cifs: fix potential race when tree connecting ipc (bsc#1012628).
  - cifs: fix sharing of DFS connections (bsc#1012628).
  - cifs: protect session status check in smb2_reconnect()
    (bsc#1012628).
  - cifs: fix potential use-after-free bugs in
    TCP_Server_Info::hostname (bsc#1012628).
  - io_uring/rsrc: check for nonconsecutive pages (bsc#1012628).
  - perf intel-pt: Fix CYC timestamps after standalone CBR
    (bsc#1012628).
  - perf auxtrace: Fix address filter entire kernel size
    (bsc#1012628).
  - dm: don't lock fs when the map is NULL in process of resume
    (bsc#1012628).
  - dm ioctl: fix nested locking in table_clear() to remove deadlock
    concern (bsc#1012628).
  - dm flakey: fix a crash with invalid table line (bsc#1012628).
  - dm integrity: call kmem_cache_destroy() in dm_integrity_init()
    error path (bsc#1012628).
  - dm clone: call kmem_cache_destroy() in dm_clone_init() error
    path (bsc#1012628).
  - dm verity: fix error handling for check_at_most_once on FEC
    (bsc#1012628).
  - vhost_vdpa: fix unmap process in no-batch mode (bsc#1012628).
  - mm/hugetlb: fix uffd-wp during fork() (bsc#1012628).
  - mm/mempolicy: correctly update prev when policy is equal on
    mbind (bsc#1012628).
  - ia64: fix an addr to taddr in huge_pte_offset() (bsc#1012628).
  - s390/dasd: fix hanging blockdevice after request requeue
    (bsc#1012628).
  - btrfs: scrub: reject unsupported scrub flags (bsc#1012628).
  - scripts/gdb: fix lx-timerlist for Python3 (bsc#1012628).
  - afs: Avoid endless loop if file is larger than expected
    (bsc#1012628).
  - afs: Fix getattr to report server i_size on dirs, not local size
    (bsc#1012628).
  - afs: Fix updating of i_size with dv jump from server
    (bsc#1012628).
  - PM: hibernate: Do not get block device exclusively in
    test_resume mode (bsc#1012628).
  - PM: hibernate: Turn snapshot_test into global variable
    (bsc#1012628).
  - ACPI: PM: Do not turn of unused power resources on the Toshiba
    Click Mini (bsc#1012628).
  - hte: tegra-194: Fix off by one in tegra_hte_map_to_line_id()
    (bsc#1012628).
  - hte: tegra: fix 'struct of_device_id' build error (bsc#1012628).
  - mfd: arizona-spi: Add missing MODULE_DEVICE_TABLE (bsc#1012628).
  - mfd: ocelot-spi: Fix unsupported bulk read (bsc#1012628).
  - mfd: tqmx86: Correct board names for TQMxE39x (bsc#1012628).
  - mfd: tqmx86: Specify IO port register range more precisely
    (bsc#1012628).
  - mfd: tqmx86: Do not access I2C_DETECT register through io_base
    (bsc#1012628).
  - thermal/drivers/mediatek: Use devm_of_iomap to avoid resource
    leak in mtk_thermal_probe (bsc#1012628).
  - pinctrl-bcm2835.c: fix race condition when setting gpio dir
    (bsc#1012628).
  - dmaengine: at_xdmac: do not enable all cyclic channels
    (bsc#1012628).
  - dmaengine: at_xdmac: restore the content of grws register
    (bsc#1012628).
  - dmaengine: at_xdmac: do not resume channels paused by consumers
    (bsc#1012628).
  - dmaengine: at_xdmac: fix imbalanced runtime PM reference counter
    (bsc#1012628).
  - dmaengine: at_xdmac: disable/enable clock directly on
    suspend/resume (bsc#1012628).
  - dmaengine: dw-edma: Fix to enable to issue dma request on DMA
    processing (bsc#1012628).
  - dmaengine: dw-edma: Fix to change for continuous transfer
    (bsc#1012628).
  - dma: gpi: remove spurious unlock in gpi_ch_init (bsc#1012628).
  - phy: ti: j721e-wiz: Fix unreachable code in wiz_mode_select()
    (bsc#1012628).
  - phy: tegra: xusb: Add missing tegra_xusb_port_unregister for
    usb2_port and ulpi_port (bsc#1012628).
  - soundwire: intel: don't save hw_params for use in prepare
    (bsc#1012628).
  - pwm: mtk-disp: Configure double buffering before reading in
    .get_state() (bsc#1012628).
  - pwm: mtk-disp: Disable shadow registers before setting backlight
    values (bsc#1012628).
  - leds: tca6507: Fix error handling of using
    fwnode_property_read_string (bsc#1012628).
  - dmaengine: mv_xor_v2: Fix an error code (bsc#1012628).
  - pinctrl: ralink: reintroduce ralink,rt2880-pinmux compatible
    string (bsc#1012628).
  - leds: TI_LMU_COMMON: select REGMAP instead of depending on it
    (bsc#1012628).
  - pinctrl: renesas: r8a779g0: Fix ERROROUTC function names
    (bsc#1012628).
  - pinctrl: renesas: r8a779g0: Fix Group 6/7 pin functions
    (bsc#1012628).
  - pinctrl: renesas: r8a779g0: Fix Group 4/5 pin functions
    (bsc#1012628).
  - pinctrl: renesas: r8a779f0: Fix tsn1_avtp_pps pin group
    (bsc#1012628).
  - pinctrl: renesas: r8a779a0: Remove incorrect AVB[01] pinmux
    configuration (bsc#1012628).
  - ext4: fix use-after-free read in ext4_find_extent for bigalloc +
    inline (bsc#1012628).
  - ext4: fix i_disksize exceeding i_size problem in paritally
    written case (bsc#1012628).
  - rtc: jz4740: Make sure clock provider gets removed
    (bsc#1012628).
  - SMB3: Close deferred file handles in case of handle lease break
    (bsc#1012628).
  - SMB3: Add missing locks to protect deferred close file list
    (bsc#1012628).
  - timekeeping: Fix references to nonexistent ktime_get_fast_ns()
    (bsc#1012628).
  - openrisc: Properly store r31 to pt_regs on unhandled exceptions
    (bsc#1012628).
  - clocksource/drivers/davinci: Fix memory leak in
    davinci_timer_register when init fails (bsc#1012628).
  - RDMA/mlx5: Use correct device num_ports when modify DC
    (bsc#1012628).
  - SUNRPC: remove the maximum number of retries in call_bind_status
    (bsc#1012628).
  - RDMA/mlx5: Fix flow counter query via DEVX (bsc#1012628).
  - RDMA/mlx5: Check pcie_relaxed_ordering_enabled() in UMR
    (bsc#1012628).
  - RDMA/rxe: Fix the error "trying to register non-static key in
    rxe_cleanup_task" (bsc#1012628).
  - RDMA/rxe: Remove __rxe_do_task() (bsc#1012628).
  - RDMA/rxe: Convert tasklet args to queue pairs (bsc#1012628).
  - swiotlb: fix debugfs reporting of reserved memory pools
    (bsc#1012628).
  - swiotlb: relocate PageHighMem test away from rmem_swiotlb_setup
    (bsc#1012628).
  - Input: raspberrypi-ts - fix refcount leak in rpi_ts_probe
    (bsc#1012628).
  - clk: qcom: dispcc-qcm2290: Remove inexistent DSI1PHY clk
    (bsc#1012628).
  - clk: qcom: gcc-sm8350: fix PCIe PIPE clocks handling
    (bsc#1012628).
  - clk: qcom: lpassaudiocc-sc7280: Add required gdsc power domain
    clks in lpass_cc_sc7280_desc (bsc#1012628).
  - clk: qcom: lpasscc-sc7280: Skip qdsp6ss clock registration
    (bsc#1012628).
  - s390/checksum: always use cksm instruction (bsc#1012628).
  - iommu/amd: Set page size bitmap during V2 domain allocation
    (bsc#1012628).
  - NFSv4.1: Always send a RECLAIM_COMPLETE after establishing lease
    (bsc#1012628).
  - clk: imx: imx8ulp: Fix XBAR_DIVBUS and AD_SLOW clock parents
    (bsc#1012628).
  - clk: imx: fracn-gppll: disable hardware select control
    (bsc#1012628).
  - clk: imx: fracn-gppll: fix the rate table (bsc#1012628).
  - IB/hfi1: Fix bugs with non-PAGE_SIZE-end multi-iovec user SDMA
    requests (bsc#1012628).
  - IB/hfi1: Fix SDMA mmu_rb_node not being evicted in LRU order
    (bsc#1012628).
  - RDMA/srpt: Add a check for valid 'mad_agent' pointer
    (bsc#1012628).
  - RDMA/cm: Trace icm_send_rej event before the cm state is reset
    (bsc#1012628).
  - power: supply: rk817: Fix low SOC bugs (bsc#1012628).
  - clk: qcom: gcc-sm6115: Mark RCGs shared where applicable
    (bsc#1012628).
  - RDMA/siw: Remove namespace check from siw_netdev_event()
    (bsc#1012628).
  - clk: add missing of_node_put() in "assigned-clocks" property
    parsing (bsc#1012628).
  - power: supply: generic-adc-battery: fix unit scaling
    (bsc#1012628).
  - RDMA/rxe: Remove tasklet call from rxe_cq.c (bsc#1012628).
  - iommu/mediatek: Set dma_mask for PGTABLE_PA_35_EN (bsc#1012628).
  - fs/ntfs3: Fix slab-out-of-bounds read in hdr_delete_de()
    (bsc#1012628).
  - fs/ntfs3: Fix OOB read in indx_insert_into_buffer (bsc#1012628).
  - fs/ntfs3: Add check for kmemdup (bsc#1012628).
  - fs/ntfs3: Fix memory leak if ntfs_read_mft failed (bsc#1012628).
  - RDMA/erdma: Use fixed hardware page size (bsc#1012628).
  - RDMA/rxe: Replace exists by rxe in rxe.c (bsc#1012628).
  - rtc: k3: handle errors while enabling wake irq (bsc#1012628).
  - rtc: meson-vrtc: Use ktime_get_real_ts64() to get the current
    time (bsc#1012628).
  - RDMA/mlx4: Prevent shift wrapping in set_user_sq_size()
    (bsc#1012628).
  - rtc: omap: include header for omap_rtc_power_off_program
    prototype (bsc#1012628).
  - workqueue: Fix hung time report of worker pools (bsc#1012628).
  - clk: qcom: gcc-qcm2290: Fix up gcc_sdcc2_apps_clk_src
    (bsc#1012628).
  - clk: mediatek: clk-pllfh: fix missing of_node_put() in
    fhctl_parse_dt() (bsc#1012628).
  - RDMA/rdmavt: Delete unnecessary NULL check (bsc#1012628).
  - clk: mediatek: mt8135: Properly use CLK_IS_CRITICAL flag
    (bsc#1012628).
  - clk: mediatek: mt7622: Properly use CLK_IS_CRITICAL flag
    (bsc#1012628).
  - clk: mediatek: Consistently use GATE_MTK() macro (bsc#1012628).
  - clk: mediatek: mt2712: Add error handling to
    clk_mt2712_apmixed_probe() (bsc#1012628).
  - RDMA/siw: Fix potential page_array out of range access
    (bsc#1012628).
  - IB/hifi1: add a null check of kzalloc_node in
    hfi1_ipoib_txreq_init (bsc#1012628).
  - clk: at91: clk-sam9x60-pll: fix return value check
    (bsc#1012628).
  - tracing/user_events: Ensure write index cannot be negative
    (bsc#1012628).
  - rtla/timerlat: Fix "Previous IRQ" auto analysis' line
    (bsc#1012628).
  - rv: Fix addition on an uninitialized variable 'run'
    (bsc#1012628).
  - sched/clock: Fix local_clock() before sched_clock_init()
    (bsc#1012628).
  - sched/rt: Fix bad task migration for rt tasks (bsc#1012628).
  - riscv: Fix ptdump when KASAN is enabled (bsc#1012628).
  - Revert "objtool: Support addition to set CFA base"
    (bsc#1012628).
  - perf/core: Fix hardlockup failure caused by perf throttle
    (bsc#1012628).
  - sched/fair: Fix inaccurate tally of ttwu_move_affine
    (bsc#1012628).
  - powerpc/rtas: use memmove for potentially overlapping buffer
    copy (bsc#1012628).
  - macintosh: via-pmu-led: requires ATA to be set (bsc#1012628).
  - powerpc/sysdev/tsi108: fix resource printk format warnings
    (bsc#1012628).
  - powerpc/wii: fix resource printk format warnings (bsc#1012628).
  - powerpc/mpc512x: fix resource printk format warning
    (bsc#1012628).
  - powerpc/perf: Properly detect mpc7450 family (bsc#1012628).
  - macintosh/windfarm_smu_sat: Add missing of_node_put()
    (bsc#1012628).
  - selftests/powerpc/pmu: Fix sample field check in the
    mmcra_thresh_marked_sample_test (bsc#1012628).
  - fbdev: mmp: Fix deferred clk handling in mmphw_probe()
    (bsc#1012628).
  - virtio_ring: don't update event idx on get_buf (bsc#1012628).
  - vdpa/mlx5: Avoid losing link state updates (bsc#1012628).
  - spmi: Add a check for remove callback when removing a SPMI
    driver (bsc#1012628).
  - staging: rtl8192e: Fix W_DISABLE# does not work after stop/start
    (bsc#1012628).
  - spi: cadence-quadspi: use macro DEFINE_SIMPLE_DEV_PM_OPS
    (bsc#1012628).
  - serial: 8250: Add missing wakeup event reporting (bsc#1012628).
  - tty: serial: fsl_lpuart: adjust buffer length to the intended
    size (bsc#1012628).
  - firmware: stratix10-svc: Fix an NULL vs IS_ERR() bug in probe
    (bsc#1012628).
  - usb: mtu3: fix kernel panic at qmu transfer done irq handler
    (bsc#1012628).
  - usb: chipidea: fix missing goto in `ci_hdrc_probe`
    (bsc#1012628).
  - usb: gadget: tegra-xudc: Fix crash in vbus_draw (bsc#1012628).
  - sh: sq: Fix incorrect element size for allocating bitmap buffer
    (bsc#1012628).
  - uapi/linux/const.h: prefer ISO-friendly __typeof__
    (bsc#1012628).
  - scripts/gdb: raise error with reduced debugging information
    (bsc#1012628).
  - i2c: xiic: xiic_xfer(): Fix runtime PM leak on error path
    (bsc#1012628).
  - i2c: cadence: cdns_i2c_master_xfer(): Fix runtime PM leak on
    error path (bsc#1012628).
  - spi: cadence-quadspi: fix suspend-resume implementations
    (bsc#1012628).
  - drm/panel: novatek-nt35950: Only unregister DSI1 if it exists
    (bsc#1012628).
  - PCI/PM: Extend D3hot delay for NVIDIA HDA controllers
    (bsc#1012628).
  - ASoC: fsl_mqs: move of_node_put() to the correct location
    (bsc#1012628).
  - drm/panel: novatek-nt35950: Improve error handling
    (bsc#1012628).
  - coresight: etm_pmu: Set the module field (bsc#1012628).
  - cacheinfo: Check cache properties are present in DT
    (bsc#1012628).
  - cacheinfo: Check sib_leaf in cache_leaves_are_shared()
    (bsc#1012628).
  - HID: amd_sfh: Handle "no sensors" enabled for SFH1.1
    (bsc#1012628).
  - HID: amd_sfh: Increase sensor command timeout for SFH1.1
    (bsc#1012628).
  - HID: amd_sfh: Correct the stop all command (bsc#1012628).
  - HID: amd_sfh: Add support for shutdown operation (bsc#1012628).
  - HID: amd_sfh: Fix illuminance value (bsc#1012628).
  - HID: amd_sfh: Correct the sensor enable and disable command
    (bsc#1012628).
  - HID: amd_sfh: Correct the structure fields (bsc#1012628).
  - ASoC: mediatek: common: Fix refcount leak in parse_dai_link_info
    (bsc#1012628).
  - scripts/gdb: bail early if there are no generic PD
    (bsc#1012628).
  - scripts/gdb: bail early if there are no clocks (bsc#1012628).
  - ia64: salinfo: placate defined-but-not-used warning
    (bsc#1012628).
  - ia64: mm/contig: fix section mismatch warning/error
    (bsc#1012628).
  - PCI/EDR: Clear Device Status after EDR error recovery
    (bsc#1012628).
  - of: Fix modalias string generation (bsc#1012628).
  - vmci_host: fix a race condition in vmci_host_poll() causing GPF
    (bsc#1012628).
  - spi: fsl-spi: Fix CPM/QE mode Litte Endian (bsc#1012628).
  - spi: mchp-pci1xxxx: Fix improper implementation of disabling
    chip select lines (bsc#1012628).
  - spi: mpc5xxx-psc: Remove unused platform_data (bsc#1012628).
  - spi: mchp-pci1xxxx: Fix SPI transactions not working after
    suspend and resume (bsc#1012628).
  - spi: mchp-pci1xxxx: Fix length of SPI transactions not set
    properly in driver (bsc#1012628).
  - interconnect: qcom: rpm: drop bogus pm domain attach
    (bsc#1012628).
  - spi: qup: Don't skip cleanup in remove's error path
    (bsc#1012628).
  - dt-bindings: serial: snps-dw-apb-uart: correct number of DMAs
    (bsc#1012628).
  - linux/vt_buffer.h: allow either builtin or modular for macros
    (bsc#1012628).
  - ASoC: es8316: Handle optional IRQ assignment (bsc#1012628).
  - PCI: imx6: Install the fault handler only on compatible match
    (bsc#1012628).
  - ASoC: soc-compress: Inherit atomicity from DAI link for Compress
    FE (bsc#1012628).
  - usb: gadget: udc: renesas_usb3: Fix use after free bug in
    renesas_usb3_remove due to race condition (bsc#1012628).
  - module/decompress: Never use kunmap() for local un-mappings
    (bsc#1012628).
  - spi: f_ospi: Add missing spi_mem_default_supports_op() helper
    (bsc#1012628).
  - interconnect: qcom: osm-l3: drop unuserd header inclusion
    (bsc#1012628).
  - interconnect: qcom: drop obsolete OSM_L3/EPSS defines
    (bsc#1012628).
  - spi: imx: Don't skip cleanup in remove's error path
    (bsc#1012628).
  - spi: atmel-quadspi: Free resources even if runtime resume
    failed in .remove() (bsc#1012628).
  - spi: atmel-quadspi: Don't leak clk enable count in pm resume
    (bsc#1012628).
  - serial: 8250_bcm7271: Fix arbitration handling (bsc#1012628).
  - spi: Constify spi parameters of chip select APIs (bsc#1012628).
  - iio: light: max44009: add missing OF device matching
    (bsc#1012628).
  - iommufd/selftest: Catch overflow of uptr and length
    (bsc#1012628).
  - fpga: bridge: fix kernel-doc parameter description
    (bsc#1012628).
  - serial: stm32: Re-assert RTS/DE GPIO in RS485 mode only if
    more data are transmitted (bsc#1012628).
  - usb: dwc3: gadget: Change condition for processing suspend event
    (bsc#1012628).
  - usb: host: xhci-rcar: remove leftover quirk handling
    (bsc#1012628).
  - pstore: Revert pmsg_lock back to a normal mutex (bsc#1012628).
  - drivers: staging: rtl8723bs: Fix locking in
    rtw_scan_timeout_handler() (bsc#1012628).
  - drivers: staging: rtl8723bs: Fix locking in
    _rtw_join_timeout_handler() (bsc#1012628).
  - ASoC: cs35l41: Only disable internal boost (bsc#1012628).
  - ipmi: ASPEED_BT_IPMI_BMC: select REGMAP_MMIO instead of
    depending on it (bsc#1012628).
  - tcp/udp: Fix memleaks of sk and zerocopy skbs with TX timestamp
    (bsc#1012628).
  - net: amd: Fix link leak when verifying config failed
    (bsc#1012628).
  - netlink: Use copy_to_user() for optval in netlink_getsockopt()
    (bsc#1012628).
  - Revert "Bluetooth: btsdio: fix use after free bug in
    btsdio_remove due to unfinished work" (bsc#1012628).
  - rxrpc: Fix error when reading rxrpc tokens (bsc#1012628).
  - ipv4: Fix potential uninit variable access bug in
    __ip_make_skb() (bsc#1012628).
  - net/sched: cls_api: Initialize miss_cookie_node when action
    miss is not used (bsc#1012628).
  - net/sched: sch_fq: fix integer overflow of "credit"
    (bsc#1012628).
  - net: dpaa: Fix uninitialized variable in dpaa_stop()
    (bsc#1012628).
  - netfilter: nf_tables: don't write table validation state
    without mutex (bsc#1012628).
  - bpf: Don't EFAULT for getsockopt with optval=NULL (bsc#1012628).
  - bpf: Fix race between btf_put and btf_idr walk (bsc#1012628).
  - net: stmmac:fix system hang when setting up tag_8021q VLAN
    for DSA ports (bsc#1012628).
  - Revert "net/mlx5e: Don't use termination table when redundant"
    (bsc#1012628).
  - net/mlx5e: Nullify table pointer when failing to create
    (bsc#1012628).
  - net/mlx5: Use recovery timeout on sync reset flow (bsc#1012628).
  - Revert "net/mlx5: Remove "recovery" arg from mlx5_load_one()
    function" (bsc#1012628).
  - net/mlx5e: Fix error flow in representor failing to add vport
    rx rule (bsc#1012628).
  - net/mlx5: Release tunnel device after tc update skb
    (bsc#1012628).
  - net/mlx5: E-switch, Don't destroy indirect table in split rule
    (bsc#1012628).
  - net/mlx5: E-switch, Create per vport table based on devlink
    encap mode (bsc#1012628).
  - net/mlx5e: Release the label when replacing existing ct entry
    (bsc#1012628).
  - net/mlx5e: Don't clone flow post action attributes second time
    (bsc#1012628).
  - ixgbe: Enable setting RSS table to default values (bsc#1012628).
  - ixgbe: Allow flow hash to be set via ethtool (bsc#1012628).
  - net: libwx: fix memory leak in wx_setup_rx_resources
    (bsc#1012628).
  - wifi: iwlwifi: mvm: fix potential memory leak (bsc#1012628).
  - wifi: iwlwifi: mvm: support wowlan info notification version 2
    (bsc#1012628).
  - wifi: iwlwifi: fw: fix memory leak in debugfs (bsc#1012628).
  - netfilter: conntrack: fix wrong ct->timeout value (bsc#1012628).
  - wifi: mt76: mt7996: fill txd by host driver (bsc#1012628).
  - netfilter: conntrack: restore IPS_CONFIRMED out of
    nf_conntrack_hash_check_insert() (bsc#1012628).
  - wifi: iwlwifi: mvm: check firmware response size (bsc#1012628).
  - wifi: mt76: connac: fix txd multicast rate setting
    (bsc#1012628).
  - wifi: mt76: mt7921e: stop chip reset worker in unregister hook
    (bsc#1012628).
  - wifi: mt76: mt7921e: improve reliability of dma reset
    (bsc#1012628).
  - wifi: mt76: mt7921: fix missing unwind goto in `mt7921u_probe`
    (bsc#1012628).
  - mt76: mt7921: fix kernel panic by accessing unallocated
    eeprom.data (bsc#1012628).
  - wifi: mt76: fix 6GHz high channel not be scanned (bsc#1012628).
  - wifi: mt76: mt7921e: fix probe timeout after reboot
    (bsc#1012628).
  - wifi: mt76: mt7921: use driver flags rather than mac80211
    flags to mcu (bsc#1012628).
  - wifi: mt76: mt7996: fix eeprom tx path bitfields (bsc#1012628).
  - wifi: mt76: mt7996: fix pointer calculation in ie countdown
    event (bsc#1012628).
  - wifi: mt76: mt7996: let non-bufferable MMPDUs use correct hw
    queue (bsc#1012628).
  - wifi: mt76: handle failure of vzalloc in mt7615_coredump_work
    (bsc#1012628).
  - wifi: mt76: mt7915: rework init flow in mt7915_thermal_init()
    (bsc#1012628).
  - wifi: mt76: mt7915: expose device tree match table
    (bsc#1012628).
  - wifi: mt76: mt7996: fix radiotap bitfield (bsc#1012628).
  - wifi: mt76: mt7915: unlock on error in
    mt7915_thermal_temp_store() (bsc#1012628).
  - wifi: mt76: mt7921: fix PCI DMA hang after reboot (bsc#1012628).
  - wifi: mt76: mt7921: fix wrong command to set STA channel
    (bsc#1012628).
  - wifi: mt76: remove redundent MCU_UNI_CMD_* definitions
    (bsc#1012628).
  - wifi: iwlwifi: make the loop for card preparation effective
    (bsc#1012628).
  - io_uring/rsrc: use nospec'ed indexes (bsc#1012628).
  - jdb2: Don't refuse invalidation of already invalidated buffers
    (bsc#1012628).
  - wifi: iwlwifi: fw: move memset before early return
    (bsc#1012628).
  - wifi: iwlwifi: mvm: initialize seq variable (bsc#1012628).
  - wifi: iwlwifi: yoyo: Fix possible division by zero
    (bsc#1012628).
  - wifi: iwlwifi: yoyo: skip dump correctly on hw error
    (bsc#1012628).
  - wifi: iwlwifi: mvm: don't drop unencrypted MCAST frames
    (bsc#1012628).
  - md/raid10: don't call bio_start_io_acct twice for bio which
    experienced read error (bsc#1012628).
  - md/raid10: fix memleak of md thread (bsc#1012628).
  - md/raid10: fix memleak for 'conf->bio_split' (bsc#1012628).
  - md/raid10: fix leak of 'r10bio->remaining' for recovery
    (bsc#1012628).
  - md/raid10: fix task hung in raid10d (bsc#1012628).
  - f2fs: fix to check return value of inc_valid_block_count()
    (bsc#1012628).
  - f2fs: fix to check return value of f2fs_do_truncate_blocks()
    (bsc#1012628).
  - bpf, sockmap: Revert buggy deadlock fix in the sockhash and
    sockmap (bsc#1012628).
  - wifi: iwlwifi: mvm: don't set CHECKSUM_COMPLETE for unsupported
    protocols (bsc#1012628).
  - wifi: iwlwifi: trans: don't trigger d3 interrupt twice
    (bsc#1012628).
  - wifi: iwlwifi: mvm: fix A-MSDU checks (bsc#1012628).
  - wifi: iwlwifi: debug: fix crash in __iwl_err() (bsc#1012628).
  - blk-mq: don't plug for head insertions in blk_execute_rq_nowait
    (bsc#1012628).
  - selftests/bpf: Fix leaked bpf_link in get_stackid_cannot_attach
    (bsc#1012628).
  - selftests/bpf: Use read_perf_max_sample_freq() in
    perf_event_stackmap (bsc#1012628).
  - nvme-fcloop: fix "inconsistent {IN-HARDIRQ-W} -> {HARDIRQ-ON-W}
    usage" (bsc#1012628).
  - nvme: fix async event trace event (bsc#1012628).
  - nvmet: fix I/O Command Set specific Identify Controller
    (bsc#1012628).
  - nvmet: fix Identify Active Namespace ID list handling
    (bsc#1012628).
  - nvmet: fix Identify Controller handling (bsc#1012628).
  - nvmet: fix Identify Namespace handling (bsc#1012628).
  - nvmet: fix error handling in nvmet_execute_identify_cns_cs_ns()
    (bsc#1012628).
  - bpf, sockmap: fix deadlocks in the sockhash and sockmap
    (bsc#1012628).
  - wifi: ath11k: fix writing to unintended memory region
    (bsc#1012628).
  - net: ethernet: stmmac: dwmac-rk: fix optional phy regulator
    handling (bsc#1012628).
  - net: ethernet: stmmac: dwmac-rk: rework optional clock handling
    (bsc#1012628).
  - scsi: lpfc: Fix ioremap issues in lpfc_sli4_pci_mem_setup()
    (bsc#1012628).
  - bpf/btf: Fix is_int_ptr() (bsc#1012628).
  - wifi: iwlwifi: fix duplicate entry in iwl_dev_info_table
    (bsc#1012628).
  - f2fs: fix to avoid use-after-free for cached IPU bio
    (bsc#1012628).
  - xsk: Fix unaligned descriptor validation (bsc#1012628).
  - crypto: drbg - Only fail when jent is unavailable in FIPS mode
    (bsc#1012628).
  - bpftool: Fix bug for long instructions in program CFG dumps
    (bsc#1012628).
  - selftests/bpf: Wait for receive in cg_storage_multi test
    (bsc#1012628).
  - selftests: xsk: Deflakify STATS_RX_DROPPED test (bsc#1012628).
  - selftests: xsk: Disable IPv6 on VETH1 (bsc#1012628).
  - selftests: xsk: Use correct UMEM size in testapp_invalid_desc
    (bsc#1012628).
  - net: qrtr: correct types of trace event parameters
    (bsc#1012628).
  - f2fs: fix iostat lock protection (bsc#1012628).
  - bpf: Fix struct_meta lookup for bpf_obj_free_fields kfunc call
    (bsc#1012628).
  - bpf: factor out fetching basic kfunc metadata (bsc#1012628).
  - wifi: rt2x00: Fix memory leak when handling surveys
    (bsc#1012628).
  - scsi: hisi_sas: Handle NCQ error when IPTT is valid
    (bsc#1012628).
  - wifi: rtlwifi: fix incorrect error codes in
    rtl_debugfs_set_write_reg() (bsc#1012628).
  - wifi: rtlwifi: fix incorrect error codes in
    rtl_debugfs_set_write_rfreg() (bsc#1012628).
  - crypto: sa2ul - Select CRYPTO_DES (bsc#1012628).
  - crypto: caam - Clear some memory in instantiate_rng
    (bsc#1012628).
  - f2fs: fix scheduling while atomic in decompression path
    (bsc#1012628).
  - f2fs: compress: fix to call f2fs_wait_on_page_writeback()
    in f2fs_write_raw_pages() (bsc#1012628).
  - f2fs: apply zone capacity to all zone type (bsc#1012628).
  - f2fs: fix uninitialized skipped_gc_rwsem (bsc#1012628).
  - f2fs: handle dqget error in f2fs_transfer_project_quota()
    (bsc#1012628).
  - testing/vsock: add vsock_perf to gitignore (bsc#1012628).
  - net: sunhme: Fix uninitialized return code (bsc#1012628).
  - scsi: megaraid: Fix mega_cmd_done() CMDID_INT_CMDS
    (bsc#1012628).
  - scsi: target: iscsit: Fix TAS handling during conn cleanup
    (bsc#1012628).
  - scsi: target: Fix multiple LUN_RESET handling (bsc#1012628).
  - scsi: target: iscsit: Stop/wait on cmds during conn close
    (bsc#1012628).
  - scsi: target: iscsit: isert: Alloc per conn cmd counter
    (bsc#1012628).
  - scsi: target: Pass in cmd counter to use during cmd setup
    (bsc#1012628).
  - scsi: target: Move cmd counter allocation (bsc#1012628).
  - scsi: target: Move sess cmd counter to new struct (bsc#1012628).
  - bpf: Fix __reg_bound_offset 64->32 var_off subreg propagation
    (bsc#1012628).
  - bpf: return long from bpf_map_ops funcs (bsc#1012628).
  - netfilter: keep conntrack reference until IPsecv6 policy checks
    are done (bsc#1012628).
  - net: dsa: qca8k: remove assignment of an_enabled in
    pcs_get_state() (bsc#1012628).
  - libbpf: Fix ld_imm64 copy logic for ksym in light skeleton
    (bsc#1012628).
  - net/packet: convert po->auxdata to an atomic flag (bsc#1012628).
  - net/packet: convert po->origdev to an atomic flag (bsc#1012628).
  - net/packet: annotate accesses to po->xmit (bsc#1012628).
  - vlan: partially enable SIOCSHWTSTAMP in container (bsc#1012628).
  - net: pcs: xpcs: remove double-read of link state when using AN
    (bsc#1012628).
  - bpf: Remove misleading spec_v1 check on var-offset stack read
    (bsc#1012628).
  - bpf: Free struct bpf_cpumask in call_rcu handler (bsc#1012628).
  - bpf: Only allocate one bpf_mem_cache for bpf_cpumask_ma
    (bsc#1012628).
  - selftests/bpf: Fix a fd leak in an error path in
    network_helpers.c (bsc#1012628).
  - wifi: ath11k: fix deinitialization of firmware resources
    (bsc#1012628).
  - wifi: ath12k: Add missing unwind goto in ath12k_pci_probe()
    (bsc#1012628).
  - scm: fix MSG_CTRUNC setting condition for SO_PASSSEC
    (bsc#1012628).
  - crypto: qat - fix concurrency issue when device state changes
    (bsc#1012628).
  - bpf: fix precision propagation verbose logging (bsc#1012628).
  - bpf: take into account liveness when propagating precision
    (bsc#1012628).
  - selftests/bpf: Fix flaky fib_lookup test (bsc#1012628).
  - selftests/bpf: move SYS() macro into the test_progs.h
    (bsc#1012628).
  - selftests/bpf: Fix IMA test (bsc#1012628).
  - wifi: rtw88: mac: Return the original error from
    rtw_mac_power_switch() (bsc#1012628).
  - wifi: rtw88: mac: Return the original error from
    rtw_pwr_seq_parser() (bsc#1012628).
  - libbpf: Fix bpf_xdp_query() in old kernels (bsc#1012628).
  - libbpf: Fix arm syscall regs spec in bpf_tracing.h
    (bsc#1012628).
  - tools: bpftool: Remove invalid \' json escape (bsc#1012628).
  - wifi: ath6kl: reduce WARN to dev_dbg() in callback
    (bsc#1012628).
  - wifi: brcmfmac: support CQM RSSI notification with older
    firmware (bsc#1012628).
  - wifi: rtl8xxxu: Remove always true condition in
    rtl8xxxu_print_chipinfo (bsc#1012628).
  - wifi: ath11k: fix SAC bug on peer addition with sta band
    migration (bsc#1012628).
  - wifi: ath5k: fix an off by one check in
    ath5k_eeprom_read_freq_list() (bsc#1012628).
  - wifi: ath5k: Use platform_get_irq() to get the interrupt
    (bsc#1012628).
  - wifi: ath11k: Use platform_get_irq() to get the interrupt
    (bsc#1012628).
  - wifi: ath9k: hif_usb: fix memory leak of remain_skbs
    (bsc#1012628).
  - wifi: ath11k: fix return value check in ath11k_ahb_probe()
    (bsc#1012628).
  - wifi: ath12k: use kfree_skb() instead of kfree() (bsc#1012628).
  - wifi: ath6kl: minor fix for allocation size (bsc#1012628).
  - media: ov5670: Fix probe on ACPI (bsc#1012628).
  - platform/chrome: cros_typec_switch: Add missing
    fwnode_handle_put() (bsc#1012628).
  - hwmon: (pmbus/fsp-3y) Fix functionality bitmask in FSP-3Y
    YM-2151E (bsc#1012628).
  - rpmsg: glink: Propagate TX failures in intentless mode as well
    (bsc#1012628).
  - cpufreq: use correct unit when verify cur freq (bsc#1012628).
  - ACPI: bus: Ensure that notify handlers are not running after
    removal (bsc#1012628).
  - tick/common: Align tick period with the HZ tick (bsc#1012628).
  - drm/i915: Fix memory leaks in i915 selftests (bsc#1012628).
  - drm/i915: Make intel_get_crtc_new_encoder() less oopsy
    (bsc#1012628).
  - debugobject: Prevent init race with static objects
    (bsc#1012628).
  - media: mediatek: vcodec: add remove function for decoder
    platform driver (bsc#1012628).
  - media: mediatek: vcodec: fix decoder disable pm crash
    (bsc#1012628).
  - perf/arm-cmn: Fix port detection for CMN-700 (bsc#1012628).
  - arm64: kgdb: Set PSTATE.SS to 1 to re-enable single-step
    (bsc#1012628).
  - x86/ioapic: Don't return 0 from arch_dynirq_lower_bound()
    (bsc#1012628).
  - regulator: stm32-pwr: fix of_iomap leak (bsc#1012628).
  - media: venus: dec: Fix capture formats enumeration order
    (bsc#1012628).
  - media: venus: dec: Fix handling of the start cmd (bsc#1012628).
  - media: rc: gpio-ir-recv: Fix support for wake-up (bsc#1012628).
  - drm/amd/display: Fix potential null dereference (bsc#1012628).
  - media: hi846: Fix memleak in hi846_init_controls()
    (bsc#1012628).
  - media: v4l: async: Return async sub-devices to subnotifier list
    (bsc#1012628).
  - media: rcar_fdp1: Fix refcount leak in probe and remove function
    (bsc#1012628).
  - media: rcar_fdp1: Convert to platform remove callback returning
    void (bsc#1012628).
  - media: vsp1: Replace vb2_is_streaming() with
    vb2_start_streaming_called() (bsc#1012628).
  - media: platform: mtk-mdp3: fix potential frame size overflow
    in mdp_try_fmt_mplane() (bsc#1012628).
  - media: saa7134: fix use after free bug in saa7134_finidev due
    to race condition (bsc#1012628).
  - media: dm1105: Fix use after free bug in dm1105_remove due to
    race condition (bsc#1012628).
  - platform/x86/amd: pmc: Move out of BIOS SMN pair for STB init
    (bsc#1012628).
  - platform/x86/amd: pmc: Utilize SMN index 0 for driver probe
    (bsc#1012628).
  - platform/x86/amd: pmc: Move idlemask check into
    `amd_pmc_idlemask_read` (bsc#1012628).
  - platform/x86/amd: pmc: Don't dump data after resume from s0i3
    on picasso (bsc#1012628).
  - platform/x86/amd: pmc: Hide SMU version and program attributes
    for Picasso (bsc#1012628).
  - platform/x86/amd: pmc: Don't try to read SMU version on Picasso
    (bsc#1012628).
  - platform/x86/amd/pmf: Move out of BIOS SMN pair for driver probe
    (bsc#1012628).
  - media: rkvdec: fix use after free bug in rkvdec_remove
    (bsc#1012628).
  - media: cedrus: fix use after free bug in cedrus_remove due to
    race condition (bsc#1012628).
  - media: mediatek: vcodec: change lat thread decode error
    condition (bsc#1012628).
  - media: mediatek: vcodec: making sure queue_work successfully
    (bsc#1012628).
  - media: mediatek: vcodec: remove unused lat_buf (bsc#1012628).
  - media: mediatek: vcodec: add core decode done event
    (bsc#1012628).
  - media: mediatek: vcodec: move lat_buf to the top of core list
    (bsc#1012628).
  - media: mediatek: vcodec: using each instance lat_buf count
    replace core ready list (bsc#1012628).
  - media: mediatek: vcodec: add params to record lat and core
    lat_buf count (bsc#1012628).
  - media: mediatek: vcodec: Force capture queue format to MM21
    (bsc#1012628).
  - media: mediatek: vcodec: Make MM21 the default capture format
    (bsc#1012628).
  - media: mediatek: vcodec: Use 4K frame size when supported by
    stateful decoder (bsc#1012628).
  - media: mtk-jpeg: Fixes jpeg enc&dec worker sw flow
    (bsc#1012628).
  - media: mtk-jpeg: Fixes jpeghw multi-core judgement
    (bsc#1012628).
  - arm64: dts: sdm845: Rename qspi data12 as data23 (bsc#1012628).
  - arm64: dts: sc7280: Rename qspi data12 as data23 (bsc#1012628).
  - arm64: dts: sc7180: Rename qspi data12 as data23 (bsc#1012628).
  - arm64: dts: qcom: msm8994-angler: removed clash with smem_region
    (bsc#1012628).
  - arm64: dts: qcom: msm8994-angler: Fix cont_splash_mem mapping
    (bsc#1012628).
  - soc: qcom: rpmh-rsc: Support RSC v3 minor versions
    (bsc#1012628).
  - x86/apic: Fix atomic update of offset in reserve_eilvt_offset()
    (bsc#1012628).
  - thermal/drivers/mediatek/lvts_thermal: Fix sensor 1 interrupt
    status bitmask (bsc#1012628).
  - drm/msm/dpu: Fix bit-shifting UB in DPU_HW_VER() macro
    (bsc#1012628).
  - Revert "drm/msm: Fix failure paths in msm_drm_init()"
    (bsc#1012628).
  - Revert "drm/msm: Add missing check and destroy for
    alloc_ordered_workqueue" (bsc#1012628).
  - regulator: core: Avoid lockdep reports when resolving supplies
    (bsc#1012628).
  - regulator: core: Consistently set mutex_owner when using
    ww_mutex_lock_slow() (bsc#1012628).
  - drm/ttm/pool: Fix ttm_pool_alloc error path (bsc#1012628).
  - arm64: dts: rockchip: Assign PLL_PPLL clock rate to 1.1 GHz
    on rk3588s (bsc#1012628).
  - drm/i915/pxp: limit drm-errors or warning on firmware API
    failures (bsc#1012628).
  - drm/i915/pxp: Invalidate all PXP fw sessions during teardown
    (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: correct Soundwire wakeup interrupt
    name (bsc#1012628).
  - arm64: dts: qcom: apq8096-db820c: drop unit address from
    PMI8994 regulator (bsc#1012628).
  - arm64: dts: qcom: msm8994-msft-lumia-octagon: drop unit address
    from PMI8994 regulator (bsc#1012628).
  - arm64: dts: qcom: msm8994-kitakami: drop unit address from
    PMI8994 regulator (bsc#1012628).
  - arm64: dts: qcom: msm8998-oneplus-cheeseburger: revert "fix
    backlight pin function" (bsc#1012628).
  - arm64: dts: qcom: sc7180-trogdor-pazquel: correct trackpad
    supply (bsc#1012628).
  - arm64: dts: qcom: sc7180-trogdor-lazor: correct trackpad supply
    (bsc#1012628).
  - arm64: dts: qcom: sc7280-herobrine-villager: correct trackpad
    supply (bsc#1012628).
  - gpu: host1x: Fix memory leak of device names (bsc#1012628).
  - gpu: host1x: Fix potential double free if IOMMU is disabled
    (bsc#1012628).
  - soc: renesas: renesas-soc: Release 'chipid' from ioremap()
    (bsc#1012628).
  - soc: bcm: brcmstb: biuctrl: fix of_iomap leak (bsc#1012628).
  - mailbox: mpfs: switch to txdone_poll (bsc#1012628).
  - drm/mediatek: dp: Change the aux retries times when receiving
    AUX_DEFER (bsc#1012628).
  - drm/lima/lima_drv: Add missing unwind goto in lima_pdev_probe()
    (bsc#1012628).
  - ACPI: VIOT: Initialize the correct IOMMU fwspec (bsc#1012628).
  - arm64: dts: ti: k3-am625-sk: Add ti,vbus-divider property to
    usbss1 (bsc#1012628).
  - arm64: dts: mediatek: mt8192-asurada: Fix voltage constraint
    for Vgpu (bsc#1012628).
  - cpufreq: qcom-cpufreq-hw: Revert adding cpufreq qos
    (bsc#1012628).
  - cpufreq: mediatek: Raise proc and sram max voltage for
    MT7622/7623 (bsc#1012628).
  - cpufreq: mediatek: raise proc/sram max voltage for MT8516
    (bsc#1012628).
  - cpufreq: mediatek: fix KP caused by handler usage after
    regulator_put/clk_put (bsc#1012628).
  - cpufreq: mediatek: fix passing zero to 'PTR_ERR' (bsc#1012628).
  - arm64: dts: apple: t8103: Disable unused PCIe ports
    (bsc#1012628).
  - ARM: dts: stm32: fix spi1 pin assignment on stm32mp15
    (bsc#1012628).
  - perf/amlogic: Fix config1/config2 parsing issue (bsc#1012628).
  - perf/arm-cmn: Move overlapping wp_combine field (bsc#1012628).
  - firmware: arm_scmi: Fix xfers allocation on Rx channel
    (bsc#1012628).
  - ARM: dts: gta04: fix excess dma channel usage (bsc#1012628).
  - drm: rcar-du: Fix a NULL vs IS_ERR() bug (bsc#1012628).
  - arm64: dts: qcom: sm8450: fix pcie1 gpios properties name
    (bsc#1012628).
  - mmc: sdhci-of-esdhc: fix quirk to ignore command inhibit for
    data (bsc#1012628).
  - ACPI: processor: Fix evaluating _PDC method when running as
    Xen dom0 (bsc#1012628).
  - drm/amd/display/dc/dce60/Makefile: Fix previous attempt to
    silence known override-init warnings (bsc#1012628).
  - arm64: dts: qcom: sm8350-microsoft-surface: fix USB dual-role
    mode property (bsc#1012628).
  - arm64: dts: qcom: sm8250-xiaomi-elish: fix USB maximum speed
    property (bsc#1012628).
  - virt/coco/sev-guest: Double-buffer messages (bsc#1012628).
  - drm: msm: adreno: Disable preemption on Adreno 510
    (bsc#1012628).
  - drm/msm/adreno: drop bogus pm_runtime_set_active()
    (bsc#1012628).
  - ACPI: utils: Fix acpi_evaluate_dsm_typed() redefinition error
    (bsc#1012628).
  - arm64: dts: ti: k3-am62a7: Correct L2 cache size to 512KB
    (bsc#1012628).
  - arm64: dts: ti: k3-am625: Correct L2 cache size to 512KB
    (bsc#1012628).
  - accel: Link to compute accelerator subsystem intro
    (bsc#1012628).
  - media: max9286: Free control handler (bsc#1012628).
  - drm/bridge: adv7533: Fix adv7533_mode_valid for adv7533 and
    adv7535 (bsc#1012628).
  - firmware: qcom_scm: Clear download bit during reboot
    (bsc#1012628).
  - media: av7110: prevent underflow in write_ts_to_decoder()
    (bsc#1012628).
  - media: amphion: decoder implement display delay enable
    (bsc#1012628).
  - media: platform: mtk-mdp3: Add missing check and free for
    ida_alloc (bsc#1012628).
  - media: bdisp: Add missing check for create_workqueue
    (bsc#1012628).
  - x86/MCE/AMD: Use an u64 for bank_map (bsc#1012628).
  - media: v4l: subdev: Make link validation safer (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: fix external display power domain
    (bsc#1012628).
  - arm64: dts: qcom: msm8916: Fix tsens_mode unit address
    (bsc#1012628).
  - arm64: dts: qcom: sm8550: misc style fixes (bsc#1012628).
  - arm64: dts: qcom: sm8550: fix qup_spi0_cs node (bsc#1012628).
  - ARM: dts: qcom: sdx55: Fix the unit address of PCIe EP node
    (bsc#1012628).
  - arm64: dts: qcom: msm8976: Add and provide xo clk to rpmcc
    (bsc#1012628).
  - ARM: dts: qcom: ipq8064: Fix the PCI I/O port range
    (bsc#1012628).
  - ARM: dts: qcom: ipq4019: Fix the PCI I/O port range
    (bsc#1012628).
  - arm64: dts: qcom: sm8350: Fix the PCI I/O port range
    (bsc#1012628).
  - arm64: dts: qcom: sm8450: Fix the PCI I/O port range
    (bsc#1012628).
  - arm64: dts: qcom: sm8150: Fix the PCI I/O port range
    (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: Fix the PCI I/O port range
    (bsc#1012628).
  - arm64: dts: qcom: sm8250: Fix the PCI I/O port range
    (bsc#1012628).
  - arm64: dts: qcom: msm8996: Fix the PCI I/O port range
    (bsc#1012628).
  - arm64: dts: qcom: ipq6018: Fix the PCI I/O port range
    (bsc#1012628).
  - arm64: dts: qcom: ipq8074: Fix the PCI I/O port range
    (bsc#1012628).
  - arm64: dts: qcom: sm8550: Fix the PCI I/O port range
    (bsc#1012628).
  - arm64: dts: qcom: sc7280: Fix the PCI I/O port range
    (bsc#1012628).
  - arm64: dts: qcom: msm8998: Fix the PCI I/O port range
    (bsc#1012628).
  - arm64: dts: qcom: sdm845: Fix the PCI I/O port range
    (bsc#1012628).
  - arm64: dts: qcom: sdm845: correct dynamic power coefficients
    (bsc#1012628).
  - arm64: dts: qcom: sc7280: fix EUD port properties (bsc#1012628).
  - arm64: dts: qcom: qdu1000: drop incorrect serial properties
    (bsc#1012628).
  - soc: canaan: Make K210_SYSCTL depend on CLK_K210 (bsc#1012628).
  - arm64: dts: qcom: msm8998: Fix stm-stimulus-base reg name
    (bsc#1012628).
  - arm64: dts: qcom: sm8550: Fix PCIe PHYs and controllers nodes
    (bsc#1012628).
  - arm64: dts: broadcom: bcmbca: bcm4908: fix procmon nodename
    (bsc#1012628).
  - arm64: dts: broadcom: bcmbca: bcm4908: fix LED nodenames
    (bsc#1012628).
  - arm64: dts: broadcom: bcmbca: bcm4908: fix NAND interrupt name
    (bsc#1012628).
  - arm64: dts: ti: k3-j784s4-*: Add 'ti,sci-dev-id' for NAVSS nodes
    (bsc#1012628).
  - arm64: dts: ti: k3-j721e-main: Remove ti,strobe-sel property
    (bsc#1012628).
  - arm64: dts: ti: k3-am62a7-sk: Fix DDR size to full 4GB
    (bsc#1012628).
  - arm64: dts: ti: k3-am62-main: Fix GPIO numbers in DT
    (bsc#1012628).
  - regulator: core: Shorten off-on-delay-us for always-on/boot-on
    by time since booted (bsc#1012628).
  - arm64: dts: imx8mp: Drop simple-bus from
    fsl,imx8mp-media-blk-ctrl (bsc#1012628).
  - ARM: dts: qcom-apq8064: Fix opp table child name (bsc#1012628).
  - EDAC/skx: Fix overflows on the DRAM row address mapping arrays
    (bsc#1012628).
  - drm/msm/disp/dpu: check for crtc enable rather than crtc active
    to release shared resources (bsc#1012628).
  - drm/mediatek: dp: Only trigger DRM HPD events if bridge is
    attached (bsc#1012628).
  - arm64: dts: renesas: r9a07g043: Update IRQ numbers for SSI
    channels (bsc#1012628).
  - arm64: dts: renesas: r9a07g054: Update IRQ numbers for SSI
    channels (bsc#1012628).
  - arm64: dts: renesas: r9a07g044: Update IRQ numbers for SSI
    channels (bsc#1012628).
  - arm64: dts: renesas: r8a774c0: Remove bogus voltages from OPP
    table (bsc#1012628).
  - arm64: dts: renesas: r8a77990: Remove bogus voltages from OPP
    table (bsc#1012628).
  - soc: ti: pm33xx: Fix refcount leak in am33xx_pm_probe
    (bsc#1012628).
  - soc: ti: k3-ringacc: Add try_module_get() to
    k3_dmaring_request_dual_ring() (bsc#1012628).
  - tools/x86/kcpuid: Fix avx512bw and avx512lvl fields in
    Fn00000007 (bsc#1012628).
  - drm/amdgpu: register a vga_switcheroo client for MacBooks with
    apple-gmux (bsc#1012628).
  - arm64: dts: amlogic: meson-g12b-radxa-zero2: fix pwm clock names
    (bsc#1012628).
  - drm/probe-helper: Cancel previous job before starting new one
    (bsc#1012628).
  - drm/vgem: add missing mutex_destroy (bsc#1012628).
  - drm/i915/dg2: Drop one PCI ID (bsc#1012628).
  - drm/rockchip: Drop unbalanced obj unref (bsc#1012628).
  - accel/ivpu: PM: remove broken ivpu_dbg() statements
    (bsc#1012628).
  - erofs: fix potential overflow calculating xattr_isize
    (bsc#1012628).
  - erofs: initialize packed inode after root inode is assigned
    (bsc#1012628).
  - erofs: stop parsing non-compact HEAD index if clusterofs is
    invalid (bsc#1012628).
  - selftests/clone3: fix number of tests in ksft_set_plan
    (bsc#1012628).
  - tpm, tpm_tis: Claim locality when interrupts are reenabled on
    resume (bsc#1012628).
  - tpm, tpm: Implement usage counter for locality (bsc#1012628).
  - tpm, tpm_tis: Claim locality before writing interrupt registers
    (bsc#1012628).
  - tpm, tpm_tis: Disable interrupts if tpm_tis_probe_irq() failed
    (bsc#1012628).
  - tpm, tpm_tis: Claim locality before writing TPM_INT_ENABLE
    register (bsc#1012628).
  - tpm, tpm_tis: Do not skip reset of original interrupt vector
    (bsc#1012628).
  - selinux: ensure av_permissions.h is built when needed
    (bsc#1012628).
  - selinux: fix Makefile dependencies of flask.h (bsc#1012628).
  - ARM: 9293/1: vfp: Pass successful return address via register R3
    (bsc#1012628).
  - ARM: 9292/1: vfp: Pass thread_info pointer to vfp_support_entry
    (bsc#1012628).
  - selftests/resctrl: Check for return value after write_schemata()
    (bsc#1012628).
  - selftests/resctrl: Allow ->setup() to return errors
    (bsc#1012628).
  - selftests/resctrl: Move ->setup() call outside of test specific
    branches (bsc#1012628).
  - selftests/resctrl: Return NULL if malloc_and_init_memory()
    did not alloc mem (bsc#1012628).
  - rcu: Fix missing TICK_DEP_MASK_RCU_EXP dependency check
    (bsc#1012628).
  - kunit: fix bug in the order of lines in debugfs logs
    (bsc#1012628).
  - clk: rockchip: rk3399: allow clk_cifout to force clk_cifout_src
    to reparent (bsc#1012628).
  - clk: microchip: fix potential UAF in auxdev release callback
    (bsc#1012628).
  - wifi: rtw89: fix potential race condition between napi_init
    and napi_enable (bsc#1012628).
  - wifi: rtw88: rtw8821c: Fix rfe_option field width (bsc#1012628).
  - wifi: rtl8xxxu: RTL8192EU always needs full init (bsc#1012628).
  - nfp: fix incorrect pointer deference when offloading IPsec
    with bonding (bsc#1012628).
  - mailbox: zynqmp: Fix typo in IPI documentation (bsc#1012628).
  - mailbox: zynqmp: Fix counts of child nodes (bsc#1012628).
  - kcsan: Avoid READ_ONCE() in read_instrumented_memory()
    (bsc#1012628).
  - mailbox: zynqmp: Fix IPI isr handling (bsc#1012628).
  - drivers: remoteproc: xilinx: Fix carveout names (bsc#1012628).
  - mtd: spi-nor: core: Update flash's current address mode when
    changing address mode (bsc#1012628).
  - mtd: core: fix error path for nvmem provider (bsc#1012628).
  - mtd: core: fix nvmem error reporting (bsc#1012628).
  - mtd: core: provide unique name for nvmem device, take two
    (bsc#1012628).
  - kasan: hw_tags: avoid invalid virt_to_page() (bsc#1012628).
  - md/raid5: Improve performance for sequential IO (bsc#1012628).
  - md/raid10: fix null-ptr-deref in raid10_sync_request
    (bsc#1012628).
  - drbd: correctly submit flush bio on barrier (bsc#1012628).
  - mm: do not reclaim private data from pinned page (bsc#1012628).
  - nilfs2: fix infinite loop in nilfs_mdt_get_block()
    (bsc#1012628).
  - nilfs2: do not write dirty data after degenerating to read-only
    (bsc#1012628).
  - ASoC: codecs: wcd938x: fix accessing regmap on unattached
    devices (bsc#1012628).
  - ALSA: hda/realtek: Fix mute and micmute LEDs for an HP laptop
    (bsc#1012628).
  - ALSA: hda/realtek: support HP Pavilion Aero 13-be0xxx Mute LED
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for ASUS UM3402YAR using CS35L41
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for ThinkPad P1 Gen 6
    (bsc#1012628).
  - ALSA: usb-audio: Add quirk for Pioneer DDJ-800 (bsc#1012628).
  - parisc: Ensure page alignment in flush functions (bsc#1012628).
  - parisc: Fix argument pointer in real64_call_asm() (bsc#1012628).
  - ASoC: dt-bindings: qcom,lpass-rx-macro: correct minItems for
    clocks (bsc#1012628).
  - bus: mhi: host: Range check CHDBOFF and ERDBOFF (bsc#1012628).
  - bus: mhi: host: Use mhi_tryset_pm_state() for setting fw error
    state (bsc#1012628).
  - bus: mhi: host: Remove duplicate ee check for syserr
    (bsc#1012628).
  - cxl/port: Scan single-target ports for decoders (bsc#1012628).
  - cxl/hdm: Use 4-byte reads to retrieve HDM decoder base+limit
    (bsc#1012628).
  - cxl/hdm: Fail upon detecting 0-sized decoders (bsc#1012628).
  - xfs: don't consider future format versions valid (bsc#1012628).
  - fs: dlm: fix DLM_IFL_CB_PENDING gets overwritten (bsc#1012628).
  - ceph: fix potential use-after-free bug when trimming caps
    (bsc#1012628).
  - ubifs: Fix memory leak in do_rename (bsc#1012628).
  - ubifs: Free memory for tmpfile name (bsc#1012628).
  - ubi: Fix return value overwrite issue in
    try_write_vid_and_data() (bsc#1012628).
  - ubifs: Fix memleak when insert_old_idx() failed (bsc#1012628).
  - Revert "ubifs: dirty_cow_znode: Fix memleak in error handling
    path" (bsc#1012628).
  - RISC-V: Align SBI probe implementation with spec (bsc#1012628).
  - iommu/amd: Fix "Guest Virtual APIC Table Root Pointer"
    configuration in IRTE (bsc#1012628).
  - drm/amd/pm: re-enable the gfx imu when smu resume (bsc#1012628).
  - tracing: Fix permissions for the buffer_percent file
    (bsc#1012628).
  - thermal: intel: powerclamp: Fix NULL pointer access issue
    (bsc#1012628).
  - riscv: mm: remove redundant parameter of
    create_fdt_early_page_table (bsc#1012628).
  - i2c: omap: Fix standard mode false ACK readings (bsc#1012628).
  - igc: read before write to SRRCTL register (bsc#1012628).
  - ACPI: video: Remove acpi_backlight=video quirk for Lenovo
    ThinkPad W530 (bsc#1012628).
  - ksmbd: fix racy issue from smb2 close and logoff with
    multichannel (bsc#1012628).
  - ksmbd: destroy expired sessions (bsc#1012628).
  - ksmbd: block asynchronous requests when making a delay on
    session setup (bsc#1012628).
  - ksmbd: fix racy issue from session setup and logoff
    (bsc#1012628).
  - ksmbd: fix deadlock in ksmbd_find_crypto_ctx() (bsc#1012628).
  - ksmbd: not allow guest user on multichannel (bsc#1012628).
  - ksmbd: fix memleak in session setup (bsc#1012628).
  - ksmbd: fix NULL pointer dereference in
    smb2_get_info_filesystem() (bsc#1012628).
  - ksmbd: call rcu_barrier() in ksmbd_server_exit() (bsc#1012628).
  - ksmbd: fix racy issue under cocurrent smb2 tree disconnect
    (bsc#1012628).
  - KVM: x86: Preserve TDP MMU roots until they are explicitly
    invalidated (bsc#1012628).
  - KVM: RISC-V: Retry fault if vma_lookup() results become invalid
    (bsc#1012628).
  - relayfs: fix out-of-bounds access in relay_file_read
    (bsc#1012628).
  - KVM: arm64: vgic: Don't acquire its_lock before config_lock
    (bsc#1012628).
  - KVM: arm64: Use config_lock to protect vgic state (bsc#1012628).
  - KVM: arm64: Use config_lock to protect data ordered against
    KVM_RUN (bsc#1012628).
  - KVM: arm64: Avoid lock inversion when setting the VM register
    width (bsc#1012628).
  - KVM: arm64: Avoid vcpu->mutex v. kvm->lock inversion in CPU_ON
    (bsc#1012628).
  - KVM: nVMX: Emulate NOPs in L2, and PAUSE if it's not intercepted
    (bsc#1012628).
  - KVM: x86/pmu: Disallow legacy LBRs if architectural LBRs are
    available (bsc#1012628).
  - cpufreq: qcom-cpufreq-hw: fix double IO unmap and resource
    release on exit (bsc#1012628).
  - reiserfs: Add security prefix to xattr name in
    reiserfs_security_write() (bsc#1012628).
  - rcu: Avoid stack overflow due to __rcu_irq_enter_check_tick()
    being kprobe-ed (bsc#1012628).
  - crypto: ccp - Don't initialize CCP for PSP 0x1649 (bsc#1012628).
  - crypto: testmgr - fix RNG performance in fuzz tests
    (bsc#1012628).
  - crypto: arm64/aes-neonbs - fix crash with CFI enabled
    (bsc#1012628).
  - crypto: safexcel - Cleanup ring IRQ workqueues on load failure
    (bsc#1012628).
  - crypto: api - Demote BUG_ON() in crypto_unregister_alg()
    to a WARN_ON() (bsc#1012628).
  - ring-buffer: Sync IRQ works before buffer destruction
    (bsc#1012628).
  - ring-buffer: Ensure proper resetting of atomic variables in
    ring_buffer_reset_online_cpus (bsc#1012628).
  - pinctrl: qcom: lpass-lpi: set output value before enabling
    output (bsc#1012628).
  - soundwire: qcom: correct setting ignore bit on v1.5.1
    (bsc#1012628).
  - pwm: meson: Fix g12a ao clk81 name (bsc#1012628).
  - pwm: meson: Fix axg ao mux parents (bsc#1012628).
  - wifi: rtw89: correct 5 MHz mask setting (bsc#1012628).
  - wifi: mt76: add missing locking to protect against concurrent
    rx/status calls (bsc#1012628).
  - kheaders: Use array declaration instead of char (bsc#1012628).
  - iio: addac: stx104: Fix race condition for stx104_write_raw()
    (bsc#1012628).
  - iio: addac: stx104: Fix race condition when converting
    analog-to-digital (bsc#1012628).
  - ipmi: fix SSIF not responding under certain cond (bsc#1012628).
  - ipmi:ssif: Add send_retries increment (bsc#1012628).
  - MIPS: fw: Allow firmware to pass a empty env (bsc#1012628).
  - fs: fix sysctls.c built (bsc#1012628).
  - tick/nohz: Fix cpu_is_hotpluggable() by checking with nohz
    subsystem (bsc#1012628).
  - serial: max310x: fix IO data corruption in batched operations
    (bsc#1012628).
  - serial: 8250: Fix serial8250_tx_empty() race with DMA Tx
    (bsc#1012628).
  - serial: fix TIOCSRS485 locking (bsc#1012628).
  - xhci: fix debugfs register accesses while suspended
    (bsc#1012628).
  - tty: Prevent writing chars during tcsetattr TCSADRAIN/FLUSH
    (bsc#1012628).
  - staging: iio: resolver: ads1210: fix config mode (bsc#1012628).
  - blk-crypto: make blk_crypto_evict_key() more robust
    (bsc#1012628).
  - blk-crypto: make blk_crypto_evict_key() return void
    (bsc#1012628).
  - blk-mq: release crypto keyslot before reporting I/O complete
    (bsc#1012628).
  - blk-stat: fix QUEUE_FLAG_STATS clear (bsc#1012628).
  - drm/vmwgfx: Fix Legacy Display Unit atomic drm support
    (bsc#1012628).
  - media: ov8856: Do not check for for module version
    (bsc#1012628).
  - posix-cpu-timers: Implement the missing timer_wait_running
    callback (bsc#1012628).
  - tpm: Add !tpm_amd_is_rng_defective() to the hwrng_unregister()
    call site (bsc#1012628).
  - hwmon: (adt7475) Use device_property APIs when configuring
    polarity (bsc#1012628).
  - hwmon: (k10temp) Check range scale when CUR_TEMP register is
    read-write (bsc#1012628).
  - USB: dwc3: fix runtime pm imbalance on unbind (bsc#1012628).
  - USB: dwc3: fix runtime pm imbalance on probe errors
    (bsc#1012628).
  - usb: dwc3: gadget: Stall and restart EP0 if host is unresponsive
    (bsc#1012628).
  - usb: gadget: udc: core: Prevent redundant calls to pullup
    (bsc#1012628).
  - usb: gadget: udc: core: Invoke usb_gadget_connect only when
    started (bsc#1012628).
  - wifi: rtw88: usb: fix priority queue to endpoint mapping
    (bsc#1012628).
  - IMA: allow/fix UML builds (bsc#1012628).
  - phy: qcom-qmp-pcie: sc8180x PCIe PHY has 2 lanes (bsc#1012628).
  - bus: mhi: host: pci_generic: Revert "Add a secondary AT port
    to Telit FN990" (bsc#1012628).
  - PCI: qcom: Fix the incorrect register usage in v2.7.0 config
    (bsc#1012628).
  - PCI: pciehp: Fix AB-BA deadlock between reset_lock and
    device_lock (bsc#1012628).
  - PCI: kirin: Select REGMAP_MMIO (bsc#1012628).
  - powerpc/boot: Fix boot wrapper code generation with
    CONFIG_POWER10_CPU (bsc#1012628).
  - ASoC: amd: ps: update the acp clock source (bsc#1012628).
  - wifi: mt76: mt7921e: Set memory space enable in PCI_COMMAND
    if unset (bsc#1012628).
  - commit bcfb900
* Sun May 14 2023 mkubecek@suse.cz
  - Update to 6.4-rc2
  - eliminate 1 patch
    - patches.suse/0001-firmware-sysfb-Fix-VESA-format-selection.patch
  - commit 679133f
* Fri May 12 2023 tiwai@suse.de
  - HID: microsoft: Add rumble support to latest xbox controllers
    (bsc#1211280).
  - commit 512d474
* Fri May 12 2023 dmueller@suse.com
  - config: align all architectures on CONFIG_HZ=300 (bsc#1196438)
  - commit 9b7c645
* Thu May 11 2023 tzimmermann@suse.com
  - firmware/sysfb: Fix VESA format selection (bsc#1211119)
  - commit 26ec1ca
* Tue May 09 2023 schwab@suse.de
  - rpm/constraints.in: Increase disk size constraint for riscv64 to 52GB
  - commit 1c1a4cd
* Tue May 09 2023 jslaby@suse.cz
  - Revert "Update config files." (bsc#1211166)
    This reverts commit 90a46594a115a4abf9381bd4c327fd875ac0da0b.
    Lockdown is not ready and was disabled in stable. Since this is still
    not resolved in 6.3/6.4-rc, let's disable it in master completely too.
    And let's retry once everything is ready.
  - commit 944713a
* Mon May 08 2023 mkubecek@suse.cz
  - config: use ARCH_FORCE_MAX_ORDER=8 on ppc64/ppc64le
    Mainline commit 23baf831a32c ("mm, treewide: redefine MAX_ORDER sanely")
    redefined the meaning of MAX_ORDER, and therefore also related
    ARCH_FORCE_MAX_ORDER config option to be one lower than the old value so
    that having ARCH_FORCE_MAX_ORDER=9 with 64KB pages results in build time
    error "Allocator MAX_ORDER exceeds SECTION_SIZE".
    Update the values on ppc64 and ppc64le architectures from 9 to 8 to
    preserve the old behaviour and fix the build error.
  - commit 668187d
* Sun May 07 2023 mkubecek@suse.cz
  - Update to 6.4-rc1
  - drop 14 patches (12 stable, 2 mainline)
    - patches.kernel.org/*
    - patches.suse/ath11k-pci-Add-more-MODULE_FIRMWARE-entries.patch
    - patches.suse/usbtv-usbtv_set_regs-the-pipe-is-output.patch
  - refresh
    - patches.suse/add-suse-supported-flag.patch
    - patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch
    - patches.suse/0001-regulator-mt6360-Add-OF-match-table.patch
    - patches.suse/0001-security-lockdown-expose-a-hook-to-lock-the-kernel-down.patch
    - patches.suse/0002-regulator-mt6358-Add-OF-match-table.patch
    - patches.suse/0003-regulator-mt6323-Add-OF-match-table.patch
    - patches.suse/iwlwifi-cfg-Add-missing-MODULE_FIRMWARE-for-pnvm.patch
  - disable ARM architectures (need config update)
  - new config options
    - Processor type and features
    - ADDRESS_MASKING=y
    - Enable loadable module support
    - MODULE_DEBUG=n
    - Memory Management options
    - DMAPOOL_TEST=n
    - Networking support
    - MAX_SKB_FRAGS=17
    - BT_NXPUART=m
    - File systems
    - XFS_SUPPORT_ASCII_CI=y
    - Security options
    - INTEGRITY_CA_MACHINE_KEYRING=n
    - Kernel hacking
    - PER_VMA_LOCK_STATS=y
    - USER_EVENTS=n
    - FAULT_INJECTION_CONFIGFS=n
    - Generic Driver Options
    - FW_LOADER_DEBUG=y
    - FW_DEVLINK_SYNC_STATE_TIMEOUT=n
    - Block devices
    - BLKDEV_UBLK_LEGACY_OPCODES=y
    - Serial ATA and Parallel ATA drivers (libata)
    - PATA_PARPORT_BPCK6=m
    - Generic Target Core Mod (TCM) and ConfigFS Infrastructure
    - REMOTE_TARGET=m
    - Network device support
    - NET_DSA_MT7530_MDIO=m
    - NET_DSA_MT7530_MMIO=m
    - NET_DSA_QCA8K_LEDS_SUPPORT=y
    - PDS_CORE=m
    - MICROCHIP_T1S_PHY=m
    - NXP_CBTX_PHY=m
    - RTW88_8822BS=m
    - RTW88_8822CS=m
    - RTW88_8821CS=m
    - GPIO Support
    - GPIO_FXL6408=m
    - GPIO_ELKHARTLAKE=m
    - Voltage and Current Regulator Support
    - REGULATOR_RT4803=m
    - REGULATOR_RT5739=m
    - Sound card support
    - SND_SOC_CS35L56_I2C=m
    - SND_SOC_CS35L56_SPI=m
    - SND_SOC_CS35L56_SDW=m
    - SND_SOC_MAX98363=m
    - SND_SOC_RT712_SDCA_DMIC_SDW=m
    - X86 Platform Specific Device Drivers
    - LENOVO_YMC=m
    - INTEL_BYTCRC_PWRSRC=m
    - MSI_EC=m
    - Industrial I/O support
    - TI_ADS1100=n
    - ROHM_BU27034=n
    - NVMEM Support
    - NVMEM_LAYOUT_SL28_VPD=m
    - NVMEM_LAYOUT_ONIE_TLV=m
    - Misc drivers
    - TOUCHSCREEN_NOVATEK_NVT_TS=m
    - PTP_DFL_TOD=m
    - SENSORS_ACBEL_FSG032=m
    - DRM_VIRTIO_GPU_KMS=y
    - DRM_ACCEL_QAIC=m
    - I2C_HID_OF=m
    - LEDS_BD2606MVV=m
    - HYPERV_VTL_MODE=n
    - SOUNDWIRE_AMD=m
    - OF dependent (i386, ppc64/ppc64le, riscv64)
    - MFD_MAX597X=m
    - REGULATOR_MAX597X=m
    - DRM_PANEL_MAGNACHIP_D53E6EA8966=n
    - DRM_PANEL_NOVATEK_NT36523=n
    - DRM_PANEL_SONY_TD4353_JDI=n
    - DRM_SAMSUNG_DSIM=n
    - UCSI_PMIC_GLINK=m
    - COMMON_CLK_SI521XX=m
    - i386
    - CAN_BXCAN=m
    - ppc64le
    - CRYPTO_AES_GCM_P10=m
    - s390x
    - SECRETMEM=y
    - SCSI_IPR=m
    - SCSI_IPR_TRACE=y
    - SCSI_IPR_DUMP=y
    - GCC_PLUGIN_STACKLEAK=n
    - DEBUG_FORCE_FUNCTION_ALIGN_64B=n
    - riscv64
    - SCHED_MC=y
    - RISCV_ISA_SVNAPOT=y
    - RISCV_ISA_ZICBOZ=y
    - RELOCATABLE=y
    - HIBERNATION=y
    - HIBERNATION_SNAPSHOT_DEV=y
    - PM_STD_PARTITION=""
    - PM_AUTOSLEEP=n
    - PM_USERSPACE_AUTOSLEEP=n
    - PM_WAKELOCKS=n
    - FW_CACHE=y
    - DWMAC_STARFIVE=m
    - CAN_BXCAN=m
    - AIRO=m
    - SPI_CADENCE_QUADSPI=m
    - SENSORS_SFCTEMP=m
    - STARFIVE_WATCHDOG=m
    - RZ_MTU3=n
    - SND_SOC_MAX98090=n
    - CLK_STARFIVE_JH7110_SYS=y
    - CLK_STARFIVE_JH7110_AON=m
  - commit 5685b1d
* Fri May 05 2023 msuchanek@suse.de
  - Remove obsolete rpm spec constructs
    defattr does not need to be specified anymore
    buildroot does not need to be specified anymore
  - commit c963185
* Fri May 05 2023 msuchanek@suse.de
  - kernel-spec-macros: Fix up obsolete_rebuilds_subpackage to generate
    obsoletes correctly (boo#1172073 bsc#1191731).
    rpm only supports full length release, no provides
  - commit c9b5bc4
* Thu May 04 2023 msuchanek@suse.de
  - kernel-binary: install expoline.o (boo#1210791 bsc#1211089)
  - commit d6c8c20
* Wed May 03 2023 oneukum@suse.com
  - usbtv: usbtv_set_regs: the pipe is output (bsc#1209334).
  - commit 98c1e01
* Wed May 03 2023 jslaby@suse.cz
  - SUNRPC: Fix encoding of rejected RPCs (bsc#1210995).
  - commit 9aec45d
* Mon May 01 2023 jslaby@suse.cz
  - Linux 6.3.1 (bsc#1012628).
  - wifi: brcmfmac: slab-out-of-bounds read in brcmf_get_assoc_ies()
    (bsc#1012628).
  - fsverity: reject FS_IOC_ENABLE_VERITY on mode 3 fds
    (bsc#1012628).
  - drm/fb-helper: set x/yres_virtual in drm_fb_helper_check_var
    (bsc#1012628).
  - fsverity: explicitly check for buffer overflow in
    build_merkle_tree() (bsc#1012628).
  - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xNU
    (bsc#1012628).
  - bluetooth: Perform careful capability checks in hci_sock_ioctl()
    (bsc#1012628).
  - wifi: brcmfmac: add Cypress 43439 SDIO ids (bsc#1012628).
  - btrfs: fix uninitialized variable warnings (bsc#1012628).
  - USB: serial: option: add UNISOC vendor and TOZED LT70C product
    (bsc#1012628).
  - driver core: Don't require dynamic_debug for initcall_debug
    probe timing (bsc#1012628).
  - commit 4fd5b5c
* Fri Apr 28 2023 jslaby@suse.cz
  - mm/mremap: fix vm_pgoff in vma_merge() case 3 (bsc#1210903).
    Update upstream status.
  - commit 602ef9b
* Thu Apr 27 2023 vbabka@suse.cz
  - [PATCH for v6.3 regression] mm/mremap: fix vm_pgoff in
    vma_merge() case 3 (bsc#1210903).
  - commit 1fc982b
* Thu Apr 27 2023 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream reference and move into sorted section
    - patches.suse/ath11k-pci-Add-more-MODULE_FIRMWARE-entries.patch
  - commit 5408aa8
* Thu Apr 27 2023 mkubecek@suse.cz
  - update and reenable armv6hl configs
    New values are copied from arvm7hl.
  - commit 1d2204b
* Thu Apr 27 2023 mkubecek@suse.cz
  - update and reenable armv7hl configs
    Where possible, new values are copied from arm64. The rest is guessed,
    mostly based on existing values of similar config options.
  - commit 6bca092
* Thu Apr 27 2023 mkubecek@suse.cz
  - update and reenable arm64 configs
    Where possible, new values are copied from x86_64, i386 or riscv64. The
    rest is guessed, mostly based on existing values of similar config options.
  - commit 3f00e19
* Wed Apr 26 2023 jslaby@suse.cz
  - config.sh: add :LegacyX86
    To pull i586 and build against that.
  - commit 723ba5c
* Mon Apr 24 2023 jslaby@suse.cz
  - wifi: ath11k: pci: Add more MODULE_FIRMWARE() entries
    (bsc#1209965).
  - Refresh
    patches.suse/wifi-ath11k-reduce-the-MHI-timeout-to-20s.patch.
    Update to upstream versions.
  - commit 996f7a1
* Sun Apr 23 2023 mkubecek@suse.cz
  - Update to 6.3 final
  - update configs
  - commit 9cc1a40
* Thu Apr 20 2023 jslaby@suse.cz
  - Linux 6.2.12 (bsc#1012628).
  - nvme-pci: add NVME_QUIRK_BOGUS_NID for T-FORCE Z330 SSD
    (bsc#1012628).
  - nvme-pci: mark Lexar NM760 as IGNORE_DEV_SUBNQN (bsc#1012628).
  - cifs: fix negotiate context parsing (bsc#1012628).
  - i2c: ocores: generate stop condition after timeout in polling
    mode (bsc#1012628).
  - x86/rtc: Remove __init for runtime functions (bsc#1012628).
  - sched/fair: Fix imbalance overflow (bsc#1012628).
  - powerpc/papr_scm: Update the NUMA distance table for the target
    node (bsc#1012628).
  - i2c: mchp-pci1xxxx: Update Timing registers (bsc#1012628).
  - ubi: Fix deadlock caused by recursively holding work_sem
    (bsc#1012628).
  - ubi: Fix failure attaching when vid_hdr offset equals to
    (sub)page size (bsc#1012628).
  - selftests: mptcp: userspace pm: uniform verify events
    (bsc#1012628).
  - mptcp: fix NULL pointer dereference on fastopen early fallback
    (bsc#1012628).
  - mptcp: stricter state check in mptcp_worker (bsc#1012628).
  - mptcp: use mptcp_schedule_work instead of open-coding it
    (bsc#1012628).
  - drm/amd/pm: correct SMU13.0.7 max shader clock reporting
    (bsc#1012628).
  - drm/amd/pm: correct SMU13.0.7 pstate profiling clock settings
    (bsc#1012628).
  - cgroup/cpuset: Add cpuset_can_fork() and cpuset_cancel_fork()
    methods (bsc#1012628).
  - cgroup/cpuset: Make cpuset_fork() handle CLONE_INTO_CGROUP
    properly (bsc#1012628).
  - cgroup/cpuset: Wake up cpuset_attach_wq tasks in
    cpuset_cancel_attach() (bsc#1012628).
  - cgroup/cpuset: Fix partition root's cpuset.cpus update bug
    (bsc#1012628).
  - cgroup: fix display of forceidle time at root (bsc#1012628).
  - x86/PCI: Add quirk for AMD XHCI controller that loses MSI-X
    state in D3hot (bsc#1012628).
  - PCI/MSI: Provide missing stub for pci_msix_can_alloc_dyn()
    (bsc#1012628).
  - PCI: Fix use-after-free in pci_bus_release_domain_nr()
    (bsc#1012628).
  - drm/amd/pm: correct the pcie link state check for SMU13
    (bsc#1012628).
  - thermal: intel: Avoid updating unsupported THERM_STATUS_CLEAR
    mask bits (bsc#1012628).
  - scsi: ses: Handle enclosure with just a primary component
    gracefully (bsc#1012628).
  - net: phy: nxp-c45-tja11xx: fix unsigned long multiplication
    overflow (bsc#1012628).
  - net: phy: nxp-c45-tja11xx: add remove callback (bsc#1012628).
  - net: sfp: initialize sfp->i2c_block_size at sfp allocation
    (bsc#1012628).
  - HID: intel-ish-hid: Fix kernel panic during warm reset
    (bsc#1012628).
  - riscv: add icache flush for nommu sigreturn trampoline
    (bsc#1012628).
  - ksmbd: avoid out of bounds access in decode_preauth_ctxt()
    (bsc#1012628).
  - maple_tree: fix write memory barrier of nodes once dead for
    RCU mode (bsc#1012628).
  - tracing: Have tracing_snapshot_instance_cond() write errors
    to the appropriate instance (bsc#1012628).
  - tracing: Add trace_array_puts() to write into instance
    (bsc#1012628).
  - ACPI: resource: Add Medion S17413 to IRQ override quirk
    (bsc#1012628).
  - drm/amdgpu/gfx: set cg flags to enter/exit safe mode
    (bsc#1012628).
  - drm/amdgpu: Force signal hw_fences that are embedded in
    non-sched jobs (bsc#1012628).
  - drm/amdgpu: add mes resume when do gfx post soft reset
    (bsc#1012628).
  - wifi: iwlwifi: mvm: protect TXQ list manipulation (bsc#1012628).
  - wifi: iwlwifi: mvm: fix mvmtxq->stopped handling (bsc#1012628).
  - nvme: send Identify with CNS 06h only to I/O controllers
    (bsc#1012628).
  - asymmetric_keys: log on fatal failures in PE/pkcs7
    (bsc#1012628).
  - verify_pefile: relax wrapper length check (bsc#1012628).
  - hwmon: (xgene) Fix ioremap and memremap leak (bsc#1012628).
  - hwmon: (peci/cputemp) Fix miscalculated DTS for SKX
    (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirk for Lenovo Yoga Book
    X90F (bsc#1012628).
  - ACPI: video: Add backlight=native DMI quirk for Acer Aspire
    3830TG (bsc#1012628).
  - block: ublk_drv: mark device as LIVE before adding disk
    (bsc#1012628).
  - efi: sysfb_efi: Add quirk for Lenovo Yoga Book X91F/L
    (bsc#1012628).
  - i2c: hisi: Avoid redundant interrupts (bsc#1012628).
  - i2c: imx-lpi2c: clean rx/tx buffers upon new message
    (bsc#1012628).
  - wifi: mwifiex: mark OF related data as maybe unused
    (bsc#1012628).
  - selftests/bpf: Fix progs/find_vma_fail1.c build error
    (bsc#1012628).
  - power: supply: axp288_fuel_gauge: Added check for negative
    values (bsc#1012628).
  - power: supply: cros_usbpd: reclassify "default case!" as debug
    (bsc#1012628).
  - power: supply: rk817: Fix unsigned comparison with less than
    zero (bsc#1012628).
  - arm64: dts: qcom: sa8540p-ride: correct name of remoteproc_nsp0
    firmware (bsc#1012628).
  - ARM: dts: qcom: apq8026-lg-lenok: add missing reserved memory
    (bsc#1012628).
  - ARM: 9290/1: uaccess: Fix KASAN false-positives (bsc#1012628).
  - skbuff: Fix a race between coalescing and releasing SKBs
    (bsc#1012628).
  - net: macb: fix a memory corruption in extended buffer descriptor
    mode (bsc#1012628).
  - selftests: add the missing CONFIG_IP_SCTP in net config
    (bsc#1012628).
  - udp6: fix potential access to stale information (bsc#1012628).
  - selftests: openvswitch: adjust datapath NL message declaration
    (bsc#1012628).
  - RDMA/core: Fix GID entry ref leak when create_ah fails
    (bsc#1012628).
  - sctp: fix a potential overflow in sctp_ifwdtsn_skip
    (bsc#1012628).
  - net: qrtr: Fix an uninit variable access bug in qrtr_tx_resume()
    (bsc#1012628).
  - rtnetlink: Restore RTM_NEW/DELLINK notification behavior
    (bsc#1012628).
  - cgroup,freezer: hold cpu_hotplug_lock before freezer_mutex
    (bsc#1012628).
  - net: wwan: iosm: Fix error handling path in ipc_pcie_probe()
    (bsc#1012628).
  - smc: Fix use-after-free in tcp_write_timer_handler()
    (bsc#1012628).
  - qlcnic: check pci_reset_function result (bsc#1012628).
  - drm/armada: Fix a potential double free in an error handling
    path (bsc#1012628).
  - drm/nouveau/fb: add missing sysmen flush callbacks
    (bsc#1012628).
  - Bluetooth: Set ISO Data Path on broadcast sink (bsc#1012628).
  - Bluetooth: SCO: Fix possible circular locking dependency
    sco_sock_getsockopt (bsc#1012628).
  - Bluetooth: Fix printing errors if LE Connection times out
    (bsc#1012628).
  - Bluetooth: hci_conn: Fix not cleaning up on LE Connection
    failure (bsc#1012628).
  - net: openvswitch: fix race on port output (bsc#1012628).
  - iavf: remove active_cvlans and active_svlans bitmaps
    (bsc#1012628).
  - iavf: refactor VLAN filter states (bsc#1012628).
  - bonding: fix ns validation on backup slaves (bsc#1012628).
  - tcp: restrict net.ipv4.tcp_app_win (bsc#1012628).
  - niu: Fix missing unwind goto in niu_alloc_channels()
    (bsc#1012628).
  - KVM: arm64: Advertise ID_AA64PFR0_EL1.CSV2/3 to protected VMs
    (bsc#1012628).
  - bpf, arm64: Fixed a BTI error on returning to patched function
    (bsc#1012628).
  - 9p/xen : Fix use after free bug in xen_9pfs_front_remove due
    to race condition (bsc#1012628).
  - dmaengine: apple-admac: Fix 'current_tx' not getting freed
    (bsc#1012628).
  - dmaengine: apple-admac: Set src_addr_widths capability
    (bsc#1012628).
  - dmaengine: apple-admac: Handle 'global' interrupt flags
    (bsc#1012628).
  - LoongArch, bpf: Fix jit to skip speculation barrier opcode
    (bsc#1012628).
  - bpf: tcp: Use sock_gen_put instead of sock_put in bpf_iter_tcp
    (bsc#1012628).
  - RDMA/cma: Allow UD qp_type to join multicast only (bsc#1012628).
  - clk: rs9: Fix suspend/resume (bsc#1012628).
  - RDMA/erdma: Defer probing if netdevice can not be found
    (bsc#1012628).
  - RDMA/erdma: Inline mtt entries into WQE if supported
    (bsc#1012628).
  - RDMA/erdma: Update default EQ depth to 4096 and max_send_wr
    to 8192 (bsc#1012628).
  - RDMA/erdma: Fix some typos (bsc#1012628).
  - IB/mlx5: Add support for 400G_8X lane speed (bsc#1012628).
  - RDMA/irdma: Add ipv4 check to irdma_find_listener()
    (bsc#1012628).
  - RDMA/irdma: Increase iWARP CM default rexmit count
    (bsc#1012628).
  - RDMA/irdma: Fix memory leak of PBLE objects (bsc#1012628).
  - RDMA/irdma: Do not generate SW completions for NOPs
    (bsc#1012628).
  - clk: sprd: set max_register according to mapping range
    (bsc#1012628).
  - io_uring: complete request via task work in case of
    DEFER_TASKRUN (bsc#1012628).
  - drm/i915/dsi: fix DSS CTL register offsets for TGL+
    (bsc#1012628).
  - fbcon: set_con2fb_map needs to set con2fb_map! (bsc#1012628).
  - fbcon: Fix error paths in set_con2fb_map (bsc#1012628).
  - KVM: arm64: PMU: Restore the guest's EL0 event counting after
    migration (bsc#1012628).
  - mtd: rawnand: stm32_fmc2: use timings.mode instead of checking
    tRC_min (bsc#1012628).
  - mtd: rawnand: stm32_fmc2: remove unsupported EDO mode
    (bsc#1012628).
  - mtd: rawnand: meson: fix bitmask for length in command word
    (bsc#1012628).
  - mtdblock: tolerate corrected bit-flips (bsc#1012628).
  - fbmem: Reject FB_ACTIVATE_KD_TEXT from userspace (bsc#1012628).
  - btrfs: fix fast csum implementation detection (bsc#1012628).
  - btrfs: restore the thread_pool= behavior in remount for the
    end I/O workqueues (bsc#1012628).
  - Bluetooth: hci_conn: Fix possible UAF (bsc#1012628).
  - Bluetooth: Free potentially unfreed SCO connection
    (bsc#1012628).
  - bluetooth: btbcm: Fix logic error in forming the board name
    (bsc#1012628).
  - Bluetooth: Fix race condition in hidp_session_thread
    (bsc#1012628).
  - Bluetooth: L2CAP: Fix use-after-free in
    l2cap_disconnect_{req,rsp} (bsc#1012628).
  - ALSA: hda/hdmi: disable KAE for Intel DG2 (bsc#1012628).
  - ALSA: hda/sigmatel: fix S/PDIF out on Intel D*45* motherboards
    (bsc#1012628).
  - ALSA: emu10k1: don't create old pass-through playback device
    on Audigy (bsc#1012628).
  - ALSA: firewire-tascam: add missing unwind goto in
    snd_tscm_stream_start_duplex() (bsc#1012628).
  - ALSA: hda/realtek: Add quirks for Lenovo Z13/Z16 Gen2
    (bsc#1012628).
  - ALSA: hda: patch_realtek: add quirk for Asus N7601ZM
    (bsc#1012628).
  - ALSA: i2c/cs8427: fix iec958 mixer control deactivation
    (bsc#1012628).
  - ALSA: hda/sigmatel: add pin overrides for Intel DP45SG
    motherboard (bsc#1012628).
  - ALSA: emu10k1: fix capture interrupt handler unlinking
    (bsc#1012628).
  - drm/i915: Workaround ICL CSC_MODE sticky arming (bsc#1012628).
  - drm/amd/display: Pass the right info to drm_dp_remove_payload
    (bsc#1012628).
  - Revert "pinctrl: amd: Disable and mask interrupts on resume"
    (bsc#1012628).
  - commit eb3255d
* Mon Apr 17 2023 mkoutny@suse.com
  - supported.conf: Add a guard for unsupported DVB module(s)
  - commit 7a3054e
* Mon Apr 17 2023 mkubecek@suse.cz
  - Update to 6.3-rc7
  - commit 9e073da
* Fri Apr 14 2023 msuchanek@suse.de
  - k-m-s: Drop Linux 2.6 support
  - commit 22b2304
* Fri Apr 14 2023 msuchanek@suse.de
  - Remove obsolete KMP obsoletes (bsc#1210469).
  - commit 7f325c6
* Thu Apr 13 2023 jslaby@suse.cz
  - Linux 6.2.11 (bsc#1012628).
  - mm: enable maple tree RCU mode by default (bsc#1012628).
  - maple_tree: add RCU lock checking to rcu callback functions
    (bsc#1012628).
  - maple_tree: add smp_rmb() to dead node detection (bsc#1012628).
  - maple_tree: remove extra smp_wmb() from mas_dead_leaves()
    (bsc#1012628).
  - maple_tree: fix freeing of nodes in rcu mode (bsc#1012628).
  - maple_tree: detect dead nodes in mas_start() (bsc#1012628).
  - maple_tree: refine ma_state init from mas_start() (bsc#1012628).
  - maple_tree: be more cautious about dead nodes (bsc#1012628).
  - maple_tree: fix mas_prev() and mas_find() state handling
    (bsc#1012628).
  - maple_tree: fix handle of invalidated state in
    mas_wr_store_setup() (bsc#1012628).
  - maple_tree: reduce user error potential (bsc#1012628).
  - maple_tree: fix potential rcu issue (bsc#1012628).
  - maple_tree: remove GFP_ZERO from kmem_cache_alloc() and
    kmem_cache_alloc_bulk() (bsc#1012628).
  - mm: take a page reference when removing device exclusive entries
    (bsc#1012628).
  - drm/bridge: lt9611: Fix PLL being unable to lock (bsc#1012628).
  - drm/amdgpu: skip psp suspend for IMU enabled ASICs mode2 reset
    (bsc#1012628).
  - drm/amdgpu: for S0ix, skip SDMA 5.x+ suspend/resume
    (bsc#1012628).
  - drm/amd/display: Clear MST topology if it fails to resume
    (bsc#1012628).
  - maple_tree: fix a potential concurrency bug in RCU mode
    (bsc#1012628).
  - maple_tree: fix get wrong data_end in mtree_lookup_walk()
    (bsc#1012628).
  - mm/hugetlb: fix uffd wr-protection for CoW optimization path
    (bsc#1012628).
  - mm/swap: fix swap_info_struct race between swapoff and
    get_swap_pages() (bsc#1012628).
  - ring-buffer: Fix race while reader and writer are on the same
    page (bsc#1012628).
  - drm/i915: fix race condition UAF in i915_perf_add_config_ioctl
    (bsc#1012628).
  - drm/i915: Fix context runtime accounting (bsc#1012628).
  - drm/nouveau/disp: Support more modes by checking with lower bpc
    (bsc#1012628).
  - drm/panfrost: Fix the panfrost_mmu_map_fault_addr() error path
    (bsc#1012628).
  - ublk: read any SQE values upfront (bsc#1012628).
  - wifi: mt76: ignore key disable commands (bsc#1012628).
  - wifi: mt76: mt7921: fix fw used for offload check for mt7922
    (bsc#1012628).
  - mm: vmalloc: avoid warn_alloc noise caused by fatal signal
    (bsc#1012628).
  - zsmalloc: document freeable stats (bsc#1012628).
  - tracing/synthetic: Make lastcmd_mutex static (bsc#1012628).
  - perf/core: Fix the same task check in perf_event_set_output
    (bsc#1012628).
  - perf: Optimize perf_pmu_migrate_context() (bsc#1012628).
  - block: don't set GD_NEED_PART_SCAN if scan partition failed
    (bsc#1012628).
  - block: ublk: make sure that block size is set correctly
    (bsc#1012628).
  - cifs: sanitize paths in cifs_update_super_prepath (bsc#1012628).
  - nvme: fix discard support without oncs (bsc#1012628).
  - scsi: iscsi_tcp: Check that sock is valid before
    iscsi_set_param() (bsc#1012628).
  - scsi: qla2xxx: Fix memory leak in qla2x00_probe_one()
    (bsc#1012628).
  - io_uring: fix memory leak when removing provided buffers
    (bsc#1012628).
  - io_uring: fix return value when removing provided buffers
    (bsc#1012628).
  - iio: adc: ad7791: fix IRQ flags (bsc#1012628).
  - ASoC: SOF: avoid a NULL dereference with unsupported widgets
    (bsc#1012628).
  - ASoC: hdac_hdmi: use set_stream() instead of set_tdm_slots()
    (bsc#1012628).
  - iommufd: Do not corrupt the pfn list when doing batch carry
    (bsc#1012628).
  - iommufd: Fix unpinning of pages when an access is present
    (bsc#1012628).
  - iommufd: Check for uptr overflow (bsc#1012628).
  - tracing: Free error logs of tracing instances (bsc#1012628).
  - tracing/osnoise: Fix notify new tracing_max_latency
    (bsc#1012628).
  - tracing/timerlat: Notify new max thread latency (bsc#1012628).
  - tracing/synthetic: Fix races on freeing last_cmd (bsc#1012628).
  - net: stmmac: Add queue reset into stmmac_xdp_open() function
    (bsc#1012628).
  - ACPI: video: Add acpi_backlight=video quirk for Lenovo ThinkPad
    W530 (bsc#1012628).
  - ACPI: video: Add acpi_backlight=video quirk for Apple iMac14,1
    and iMac14,2 (bsc#1012628).
  - ACPI: video: Make acpi_backlight=video work independent from
    GPU driver (bsc#1012628).
  - ACPI: video: Add auto_detect arg to
    __acpi_video_get_backlight_type() (bsc#1012628).
  - can: isotp: isotp_recvmsg(): use sock_recv_cmsgs() to get
    SOCK_RXQ_OVFL infos (bsc#1012628).
  - can: isotp: isotp_ops: fix poll() to not report false EPOLLOUT
    events (bsc#1012628).
  - can: isotp: fix race between isotp_sendsmg() and isotp_release()
    (bsc#1012628).
  - can: j1939: j1939_tp_tx_dat_new(): fix out-of-bounds memory
    access (bsc#1012628).
  - fs: drop peer group ids under namespace lock (bsc#1012628).
  - ftrace: Fix issue that 'direct->addr' not restored in
    modify_ftrace_direct() (bsc#1012628).
  - ftrace: Mark get_lock_parent_ip() __always_inline (bsc#1012628).
  - blk-mq: directly poll requests (bsc#1012628).
  - counter: 104-quad-8: Fix Synapse action reported for Index
    signals (bsc#1012628).
  - counter: 104-quad-8: Fix race condition between FLAG and CNTR
    reads (bsc#1012628).
  - coresight-etm4: Fix for() loop drvdata->nr_addr_cmp range bug
    (bsc#1012628).
  - coresight: etm4x: Do not access TRCIDR1 for identification
    (bsc#1012628).
  - mm: kfence: fix handling discontiguous page (bsc#1012628).
  - mm: kfence: fix PG_slab and memcg_data clearing (bsc#1012628).
  - KVM: SVM: Flush Hyper-V TLB when required (bsc#1012628).
  - KVM: nVMX: Do not report error code when synthesizing VM-Exit
    from Real Mode (bsc#1012628).
  - KVM: x86: Clear "has_error_code", not "error_code", for RM
    exception injection (bsc#1012628).
  - x86/ACPI/boot: Use FADT version to check support for online
    capable (bsc#1012628).
  - x86/acpi/boot: Correct acpi_is_processor_usable() check
    (bsc#1012628).
  - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Clevo X370SNW (bsc#1012628).
  - ksmbd: fix slab-out-of-bounds in init_smb2_rsp_hdr
    (bsc#1012628).
  - ksmbd: do not call kvmalloc() with __GFP_NORETRY | __GFP_NO_WARN
    (bsc#1012628).
  - serial: 8250: Prevent starting up DMA Rx on THRI interrupt
    (bsc#1012628).
  - dt-bindings: serial: renesas,scif: Fix 4th IRQ for 4-IRQ SCIFs
    (bsc#1012628).
  - fsdax: force clear dirty mark if CoW (bsc#1012628).
  - fsdax: unshare: zero destination if srcmap is HOLE or UNWRITTEN
    (bsc#1012628).
  - fsdax: dedupe should compare the min of two iters' length
    (bsc#1012628).
  - nilfs2: fix sysfs interface lifetime (bsc#1012628).
  - nilfs2: fix potential UAF of struct nilfs_sc_info in
    nilfs_segctor_thread() (bsc#1012628).
  - tty: serial: fsl_lpuart: fix crash in lpuart_uport_is_active
    (bsc#1012628).
  - tty: serial: fsl_lpuart: avoid checking for transfer
    complete when UARTCTRL_SBK is asserted in lpuart32_tx_empty
    (bsc#1012628).
  - tty: serial: sh-sci: Fix Rx on RZ/G2L SCI (bsc#1012628).
  - tty: serial: sh-sci: Fix transmit end interrupt handler
    (bsc#1012628).
  - iio: light: vcnl4000: Fix WARN_ON on uninitialized lock
    (bsc#1012628).
  - iio: light: cm32181: Unregister second I2C client if present
    (bsc#1012628).
  - iio: buffer: make sure O_NONBLOCK is respected (bsc#1012628).
  - iio: buffer: correctly return bytes written in output buffers
    (bsc#1012628).
  - iio: accel: kionix-kx022a: Get the timestamp from the driver's
    private data in the trigger_handler (bsc#1012628).
  - iio: adc: max11410: fix read_poll_timeout() usage (bsc#1012628).
  - iio: dac: cio-dac: Fix max DAC write value check for 12-bit
    (bsc#1012628).
  - iio: adc: ti-ads7950: Set `can_sleep` flag for GPIO chip
    (bsc#1012628).
  - iio: adc: qcom-spmi-adc5: Fix the channel name (bsc#1012628).
  - iio: adis16480: select CONFIG_CRC32 (bsc#1012628).
  - drivers: iio: adc: ltc2497: fix LSB shift (bsc#1012628).
  - USB: serial: option: add Quectel RM500U-CN modem (bsc#1012628).
  - USB: serial: option: add Telit FE990 compositions (bsc#1012628).
  - usb: typec: altmodes/displayport: Fix configure initial pin
    assignment (bsc#1012628).
  - USB: serial: cp210x: add Silicon Labs IFS-USB-DATACABLE IDs
    (bsc#1012628).
  - usb: dwc3: pci: add support for the Intel Meteor Lake-S
    (bsc#1012628).
  - usb: cdnsp: Fixes error: uninitialized symbol 'len'
    (bsc#1012628).
  - xhci: also avoid the XHCI_ZERO_64B_REGS quirk with a passthrough
    iommu (bsc#1012628).
  - xhci: Free the command allocated for setting LPM if we return
    early (bsc#1012628).
  - usb: xhci: tegra: fix sleep in atomic call (bsc#1012628).
  - Revert "usb: xhci-pci: Set PROBE_PREFER_ASYNCHRONOUS"
    (bsc#1012628).
  - PCI/DOE: Fix memory leak with CONFIG_DEBUG_OBJECTS=y
    (bsc#1012628).
  - PCI/DOE: Silence WARN splat with CONFIG_DEBUG_OBJECTS=y
    (bsc#1012628).
  - cxl/pci: Handle excessive CDAT length (bsc#1012628).
  - cxl/pci: Handle truncated CDAT entries (bsc#1012628).
  - cxl/pci: Handle truncated CDAT header (bsc#1012628).
  - cxl/pci: Fix CDAT retrieval on big endian (bsc#1012628).
  - net: stmmac: check fwnode for phy device before scanning for
    phy (bsc#1012628).
  - arm64: compat: Work around uninitialized variable warning
    (bsc#1012628).
  - gve: Secure enough bytes in the first TX desc for all TCP pkts
    (bsc#1012628).
  - netlink: annotate lockless accesses to nlk->max_recvmsg_len
    (bsc#1012628).
  - ethtool: reset #lanes when lanes is omitted (bsc#1012628).
  - ping: Fix potentail NULL deref for /proc/net/icmp (bsc#1012628).
  - raw: Fix NULL deref in raw_get_next() (bsc#1012628).
  - raw: use net_hash_mix() in hash function (bsc#1012628).
  - ice: Reset FDIR counter in FDIR init stage (bsc#1012628).
  - ice: fix wrong fallback logic for FDIR (bsc#1012628).
  - NFSD: callback request does not use correct credential for
    AUTH_SYS (bsc#1012628).
  - sunrpc: only free unix grouplist after RCU settles
    (bsc#1012628).
  - net: stmmac: fix up RX flow hash indirection table when setting
    channels (bsc#1012628).
  - net: ethernet: ti: am65-cpsw: Fix mdio cleanup in probe
    (bsc#1012628).
  - gpio: davinci: Add irq chip flag to skip set wake (bsc#1012628).
  - gpio: davinci: Do not clear the bank intr enable bit in
    save_context (bsc#1012628).
  - platform/x86: think-lmi: Clean up display of current_value on
    Thinkstation (bsc#1012628).
  - platform/x86: think-lmi: Fix memory leaks when parsing
    ThinkStation WMI strings (bsc#1012628).
  - platform/x86: think-lmi: Fix memory leak when showing current
    settings (bsc#1012628).
  - ipv6: Fix an uninit variable access bug in __ip6_make_skb()
    (bsc#1012628).
  - net: qrtr: Do not do DEL_SERVER broadcast after DEL_CLIENT
    (bsc#1012628).
  - drm/i915/huc: Cancel HuC delayed load timer on reset
    (bsc#1012628).
  - sctp: check send stream number after wait_for_sndbuf
    (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix remaining throughput regression
    (bsc#1012628).
  - net: dsa: mv88e6xxx: Reset mv88e6393x force WD event bit
    (bsc#1012628).
  - net: don't let netpoll invoke NAPI if in xmit context
    (bsc#1012628).
  - ALSA: hda/hdmi: Preserve the previous PCM device upon
    re-enablement (bsc#1012628).
  - icmp: guard against too small mtu (bsc#1012628).
  - nfsd: call op_release, even when op_func returns an error
    (bsc#1012628).
  - NFSD: Avoid calling OPDESC() with ops->opnum == OP_ILLEGAL
    (bsc#1012628).
  - wifi: brcmfmac: Fix SDIO suspend/resume regression
    (bsc#1012628).
  - l2tp: generate correct module alias strings (bsc#1012628).
  - net: stmmac: remove redundant fixup to support fixed-link mode
    (bsc#1012628).
  - net: stmmac: check if MAC needs to attach to a PHY
    (bsc#1012628).
  - net: phylink: add phylink_expects_phy() method (bsc#1012628).
  - net: qrtr: Fix a refcount bug in qrtr_recvmsg() (bsc#1012628).
  - wifi: mac80211: fix invalid drv_sta_pre_rcu_remove calls for
    non-uploaded sta (bsc#1012628).
  - wifi: mac80211: fix the size calculation of
    ieee80211_ie_len_eht_cap() (bsc#1012628).
  - KVM: s390: pv: fix external interruption loop not always
    detected (bsc#1012628).
  - ASoC: codecs: lpass: fix the order or clks turn off during
    suspend (bsc#1012628).
  - pwm: meson: Explicitly set .polarity in .get_state()
    (bsc#1012628).
  - pwm: sprd: Explicitly set .polarity in .get_state()
    (bsc#1012628).
  - pwm: iqs620a: Explicitly set .polarity in .get_state()
    (bsc#1012628).
  - pwm: cros-ec: Explicitly set .polarity in .get_state()
    (bsc#1012628).
  - pwm: hibvt: Explicitly set .polarity in .get_state()
    (bsc#1012628).
  - ASoC: SOF: ipc4: Ensure DSP is in D0I0 during
    sof_ipc4_set_get_data() (bsc#1012628).
  - Drivers: vmbus: Check for channel allocation before looking
    up relids (bsc#1012628).
  - gpio: GPIO_REGMAP: select REGMAP instead of depending on it
    (bsc#1012628).
  - drm/i915: Add a .color_post_update() hook (bsc#1012628).
  - drm/i915: Move the DSB setup/cleaup into the color code
    (bsc#1012628).
  - dm: fix improper splitting for abnormal bios (bsc#1012628).
  - dm: change "unsigned" to "unsigned int" (bsc#1012628).
  - dm integrity: Remove bi_sector that's only used by commented
    debug code (bsc#1012628).
  - dm cache: Add some documentation to
    dm-cache-background-tracker.h (bsc#1012628).
  - commit 19dcda6
* Thu Apr 13 2023 jslaby@suse.cz
  - net: wwan: t7xx: do not compile with -Werror (bsc#1209724).
    Update upstream status.
  - commit ad61c68
* Thu Apr 13 2023 tiwai@suse.de
  - iwlwifi: cfg: Add missing MODULE_FIRMWARE() for *.pnvm
    (bsc#1207553).
  - commit 2a07952
* Tue Apr 11 2023 msuchanek@suse.de
  - Update patches.kernel.org/6.2.10-153-powerpc-pseries-vas-Ignore-VAS-update-for-DLPA.patch
    (bsc#1012628 bsc#1210216 ltc#202189).
  - commit 4e944ba
* Sun Apr 09 2023 mkubecek@suse.cz
  - Update to 6.3-rc6
  - commit 97dd3d4
* Thu Apr 06 2023 jslaby@suse.cz
  - Linux 6.2.10 (bsc#1012628).
  - thunderbolt: Limit USB3 bandwidth of certain Intel USB4 host
    routers (bsc#1012628).
  - cifs: update ip_addr for ses only for primary chan setup
    (bsc#1012628).
  - cifs: prevent data race in cifs_reconnect_tcon() (bsc#1012628).
  - cifs: avoid race conditions with parallel reconnects
    (bsc#1012628).
  - zonefs: Reorganize code (bsc#1012628).
  - zonefs: Simplify IO error handling (bsc#1012628).
  - zonefs: Reduce struct zonefs_inode_info size (bsc#1012628).
  - zonefs: Separate zone information from inode information
    (bsc#1012628).
  - zonefs: Fix error message in zonefs_file_dio_append()
    (bsc#1012628).
  - btrfs: rename BTRFS_FS_NO_OVERCOMMIT to
    BTRFS_FS_ACTIVE_ZONE_TRACKING (bsc#1012628).
  - btrfs: zoned: count fresh BG region as zone unusable
    (bsc#1012628).
  - btrfs: zoned: drop space_info->active_total_bytes (bsc#1012628).
  - fsverity: don't drop pagecache at end of FS_IOC_ENABLE_VERITY
    (bsc#1012628).
  - cifs: fix missing unload_nls() in smb2_reconnect()
    (bsc#1012628).
  - xfrm: Zero padding when dumping algos and encap (bsc#1012628).
  - ASoC: codecs: tx-macro: Fix for KASAN: slab-out-of-bounds
    (bsc#1012628).
  - ASoC: Intel: avs: max98357a: Explicitly define codec format
    (bsc#1012628).
  - ASoC: Intel: avs: da7219: Explicitly define codec format
    (bsc#1012628).
  - ASoC: Intel: avs: rt5682: Explicitly define codec format
    (bsc#1012628).
  - ASoC: Intel: avs: ssm4567: Remove nau8825 bits (bsc#1012628).
  - ASoC: Intel: avs: nau8825: Adjust clock control (bsc#1012628).
  - lib: zstd: Backport fix for in-place decompression
    (bsc#1012628).
  - zstd: Fix definition of assert() (bsc#1012628).
  - ACPI: video: Add backlight=native DMI quirk for Dell Vostro
    15 3535 (bsc#1012628).
  - ACPI: x86: Introduce an acpi_quirk_skip_gpio_event_handlers()
    helper (bsc#1012628).
  - ACPI: x86: Add skip i2c clients quirk for Acer Iconia One 7
    B1-750 (bsc#1012628).
  - ACPI: x86: Add skip i2c clients quirk for Lenovo Yoga Book X90
    (bsc#1012628).
  - ASoC: SOF: ipc3: Check for upper size limit for the received
    message (bsc#1012628).
  - ASoC: SOF: ipc4-topology: Fix incorrect sample rate print unit
    (bsc#1012628).
  - ASoC: SOF: Intel: pci-tng: revert invalid bar size setting
    (bsc#1012628).
  - ASoC: SOF: Intel: hda-dsp: harden D0i3 programming sequence
    (bsc#1012628).
  - ASoC: SOF: Intel: hda-ctrl: re-add sleep after entering and
    exiting reset (bsc#1012628).
  - ASoC: SOF: IPC4: update gain ipc msg definition to align with fw
    (bsc#1012628).
  - ASoC: hdmi-codec: only startup/shutdown on supported streams
    (bsc#1012628).
  - wifi: mac80211: check basic rates validity (bsc#1012628).
  - md: avoid signed overflow in slot_store() (bsc#1012628).
  - x86/PVH: obtain VGA console info in Dom0 (bsc#1012628).
  - drm/amdkfd: Fix BO offset for multi-VMA page migration
    (bsc#1012628).
  - drm/amdkfd: fix a potential double free in pqm_create_queue
    (bsc#1012628).
  - drm/amdgpu/vcn: custom video info caps for sriov (bsc#1012628).
  - drm/amdkfd: fix potential kgd_mem UAFs (bsc#1012628).
  - drm/amd/display: Fix HDCP failing to enable after suspend
    (bsc#1012628).
  - net: hsr: Don't log netdev_err message on unknown prp dst node
    (bsc#1012628).
  - ALSA: asihpi: check pao in control_message() (bsc#1012628).
  - ALSA: hda/ca0132: fixup buffer overrun at tuning_ctl_set()
    (bsc#1012628).
  - fbdev: tgafb: Fix potential divide by zero (bsc#1012628).
  - ACPI: tools: pfrut: Check if the input of level and type is
    in the right numeric range (bsc#1012628).
  - sched_getaffinity: don't assume 'cpumask_size()' is fully
    initialized (bsc#1012628).
  - nvme-pci: fixing memory leak in probe teardown path
    (bsc#1012628).
  - nvme-pci: add NVME_QUIRK_BOGUS_NID for Lexar NM620
    (bsc#1012628).
  - drm/amdkfd: Fixed kfd_process cleanup on module exit
    (bsc#1012628).
  - net/mlx5e: Lower maximum allowed MTU in XSK to match XDP
    prerequisites (bsc#1012628).
  - fbdev: nvidia: Fix potential divide by zero (bsc#1012628).
  - fbdev: intelfb: Fix potential divide by zero (bsc#1012628).
  - fbdev: lxfb: Fix potential divide by zero (bsc#1012628).
  - fbdev: au1200fb: Fix potential divide by zero (bsc#1012628).
  - tools/power turbostat: Fix /dev/cpu_dma_latency warnings
    (bsc#1012628).
  - tools/power turbostat: fix decoding of HWP_STATUS (bsc#1012628).
  - tracing: Fix wrong return in kprobe_event_gen_test.c
    (bsc#1012628).
  - btrfs: fix uninitialized variable warning in
    btrfs_update_block_group (bsc#1012628).
  - btrfs: use temporary variable for space_info in
    btrfs_update_block_group (bsc#1012628).
  - mtd: rawnand: meson: initialize struct with zeroes
    (bsc#1012628).
  - mtd: nand: mxic-ecc: Fix
    mxic_ecc_data_xfer_wait_for_completion() when irq is used
    (bsc#1012628).
  - ca8210: Fix unsigned mac_len comparison with zero in
    ca8210_skb_tx() (bsc#1012628).
  - riscv/kvm: Fix VM hang in case of timer delta being zero
    (bsc#1012628).
  - mips: bmips: BCM6358: disable RAC flush for TP1 (bsc#1012628).
  - ALSA: usb-audio: Fix recursive locking at XRUN during syncing
    (bsc#1012628).
  - PCI: dwc: Fix PORT_LINK_CONTROL update when CDM check enabled
    (bsc#1012628).
  - platform/x86: think-lmi: add missing type attribute
    (bsc#1012628).
  - platform/x86: think-lmi: use correct possible_values delimiters
    (bsc#1012628).
  - platform/x86: think-lmi: only display possible_values if
    available (bsc#1012628).
  - platform/x86: think-lmi: Add possible_values for ThinkStation
    (bsc#1012628).
  - platform/surface: aggregator: Add missing fwnode_handle_put()
    (bsc#1012628).
  - mtd: rawnand: meson: invalidate cache on polling ECC bit
    (bsc#1012628).
  - SUNRPC: fix shutdown of NFS TCP client socket (bsc#1012628).
  - sfc: ef10: don't overwrite offload features at NIC reset
    (bsc#1012628).
  - scsi: megaraid_sas: Fix crash after a double completion
    (bsc#1012628).
  - scsi: mpt3sas: Don't print sense pool info twice (bsc#1012628).
  - net: dsa: realtek: fix out-of-bounds access (bsc#1012628).
  - ptp_qoriq: fix memory leak in probe() (bsc#1012628).
  - net: dsa: microchip: ksz8: fix ksz8_fdb_dump() (bsc#1012628).
  - net: dsa: microchip: ksz8: fix ksz8_fdb_dump() to extract all
    1024 entries (bsc#1012628).
  - net: dsa: microchip: ksz8: fix offset for the timestamp filed
    (bsc#1012628).
  - net: dsa: microchip: ksz8: ksz8_fdb_dump: avoid extracting
    ghost entry from empty dynamic MAC table (bsc#1012628).
  - net: dsa: microchip: ksz8863_smi: fix bulk access (bsc#1012628).
  - net: dsa: microchip: ksz8: fix MDB configuration with non-zero
    VID (bsc#1012628).
  - r8169: fix RTL8168H and RTL8107E rx crc error (bsc#1012628).
  - regulator: Handle deferred clk (bsc#1012628).
  - net/net_failover: fix txq exceeding warning (bsc#1012628).
  - net: stmmac: don't reject VLANs when IFF_PROMISC is set
    (bsc#1012628).
  - drm/i915/pmu: Use functions common with sysfs to read actual
    freq (bsc#1012628).
  - drm/i915/tc: Fix the ICL PHY ownership check in TC-cold state
    (bsc#1012628).
  - drm/i915/perf: Drop wakeref on GuC RC error (bsc#1012628).
  - platform/x86/intel/pmc: Alder Lake PCH slp_s0_residency fix
    (bsc#1012628).
  - can: bcm: bcm_tx_setup(): fix KMSAN uninit-value in vfs_write
    (bsc#1012628).
  - s390/vfio-ap: fix memory leak in vfio_ap device driver
    (bsc#1012628).
  - ACPI: bus: Rework system-level device notification handling
    (bsc#1012628).
  - loop: LOOP_CONFIGURE: send uevents for partitions (bsc#1012628).
  - net: mvpp2: classifier flow fix fragmentation flags
    (bsc#1012628).
  - net: mvpp2: parser fix QinQ (bsc#1012628).
  - net: mvpp2: parser fix PPPoE (bsc#1012628).
  - smsc911x: avoid PHY being resumed when interface is not up
    (bsc#1012628).
  - ice: Fix ice_cfg_rdma_fltr() to only update relevant fields
    (bsc#1012628).
  - ice: add profile conflict check for AVF FDIR (bsc#1012628).
  - ice: fix invalid check for empty list in
    ice_sched_assoc_vsi_to_agg() (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix tx throughput regression with
    direct 1G links (bsc#1012628).
  - ALSA: ymfpci: Create card with device-managed
    snd_devm_card_new() (bsc#1012628).
  - ALSA: ymfpci: Fix BUG_ON in probe function (bsc#1012628).
  - net: wwan: iosm: fixes 7560 modem crash (bsc#1012628).
  - net: ipa: compute DMA pool size properly (bsc#1012628).
  - bnx2x: use the right build_skb() helper (bsc#1012628).
  - i40e: fix registers dump after run ethtool adapter self test
    (bsc#1012628).
  - bnxt_en: Fix reporting of test result in ethtool selftest
    (bsc#1012628).
  - bnxt_en: Fix typo in PCI id to device description string mapping
    (bsc#1012628).
  - bnxt_en: Add missing 200G link speed reporting (bsc#1012628).
  - net: dsa: mv88e6xxx: Enable IGMP snooping on user ports only
    (bsc#1012628).
  - net: dsa: sync unicast and multicast addresses for VLAN filters
    too (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix flow block refcounting logic
    (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix L2 offloading with DSA untag
    offload (bsc#1012628).
  - net: ethernet: mtk_eth_soc: add missing ppe cache flush when
    deleting a flow (bsc#1012628).
  - pinctrl: ocelot: Fix alt mode for ocelot (bsc#1012628).
  - Input: xpad - fix incorrectly applied patch for
    MAP_PROFILE_BUTTON (bsc#1012628).
  - iommu/vt-d: Allow zero SAGAW if second-stage not supported
    (bsc#1012628).
  - Revert "venus: firmware: Correct non-pix start and end
    addresses" (bsc#1012628).
  - Input: i8042 - add TUXEDO devices to i8042 quirk tables for
    partial fix (bsc#1012628).
  - Input: focaltech - use explicitly signed char type
    (bsc#1012628).
  - cifs: prevent infinite recursion in CIFSGetDFSRefer()
    (bsc#1012628).
  - cifs: fix DFS traversal oops without CONFIG_CIFS_DFS_UPCALL
    (bsc#1012628).
  - Input: i8042 - add quirk for Fujitsu Lifebook A574/H
    (bsc#1012628).
  - Input: goodix - add Lenovo Yoga Book X90F to nine_bytes_report
    DMI table (bsc#1012628).
  - btrfs: fix deadlock when aborting transaction during relocation
    with scrub (bsc#1012628).
  - btrfs: fix race between quota disable and quota assign ioctls
    (bsc#1012628).
  - btrfs: scan device in non-exclusive mode (bsc#1012628).
  - btrfs: ignore fiemap path cache when there are multiple paths
    for a node (bsc#1012628).
  - zonefs: Do not propagate iomap_dio_rw() ENOTBLK error to user
    space (bsc#1012628).
  - io_uring/poll: clear single/double poll flags on poll arming
    (bsc#1012628).
  - io_uring/rsrc: fix rogue rsrc node grabbing (bsc#1012628).
  - io_uring: fix poll/netmsg alloc caches (bsc#1012628).
  - vmxnet3: use gro callback when UPT is enabled (bsc#1012628).
  - zonefs: Always invalidate last cached page on append write
    (bsc#1012628).
  - dm: fix __send_duplicate_bios() to always allow for splitting IO
    (bsc#1012628).
  - can: j1939: prevent deadlock by moving j1939_sk_errqueue()
    (bsc#1012628).
  - xen/netback: don't do grant copy across page boundary
    (bsc#1012628).
  - net: phy: dp83869: fix default value for tx-/rx-internal-delay
    (bsc#1012628).
  - modpost: Fix processing of CRCs on 32-bit build machines
    (bsc#1012628).
  - pinctrl: amd: Disable and mask interrupts on resume
    (bsc#1012628).
  - pinctrl: at91-pio4: fix domain name assignment (bsc#1012628).
  - platform/x86: ideapad-laptop: Stop sending KEY_TOUCHPAD_TOGGLE
    (bsc#1012628).
  - thermal: intel: int340x: processor_thermal: Fix additional
    deadlock (bsc#1012628).
  - powerpc: Don't try to copy PPR for task with NULL pt_regs
    (bsc#1012628).
  - powerpc/pseries/vas: Ignore VAS update for DLPAR if copy/paste
    is not enabled (bsc#1012628).
  - powerpc/64s: Fix __pte_needs_flush() false positive warning
    (bsc#1012628).
  - NFSv4: Fix hangs when recovering open state after a server
    reboot (bsc#1012628).
  - ALSA: hda/conexant: Partial revert of a quirk for Lenovo
    (bsc#1012628).
  - ALSA: usb-audio: Fix regression on detection of Roland VS-100
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirks for some Clevo laptops
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Lenovo ZhaoYang CF4620Z
    (bsc#1012628).
  - xtensa: fix KASAN report for show_stack (bsc#1012628).
  - rcu: Fix rcu_torture_read ftrace event (bsc#1012628).
  - dt-bindings: mtd: jedec,spi-nor: Document CPOL/CPHA support
    (bsc#1012628).
  - s390/uaccess: add missing earlyclobber annotations to
    __clear_user() (bsc#1012628).
  - drm/etnaviv: fix reference leak when mmaping imported buffer
    (bsc#1012628).
  - drm/amdgpu: allow more APUs to do mode2 reset when go to S4
    (bsc#1012628).
  - drm/amd/display: Add DSC Support for Synaptics Cascaded MST Hub
    (bsc#1012628).
  - drm/amd/display: Take FEC Overhead into Timeslot Calculation
    (bsc#1012628).
  - drm/i915/gem: Flush lmem contents after construction
    (bsc#1012628).
  - drm/i915/dpt: Treat the DPT BO as a framebuffer (bsc#1012628).
  - drm/i915: Disable DC states for all commits (bsc#1012628).
  - drm/i915: Split icl_color_commit_noarm() from
    skl_color_commit_noarm() (bsc#1012628).
  - drm/i915: Move CSC load back into .color_commit_arm() when
    PSR is enabled on skl/glk (bsc#1012628).
  - KVM: arm64: PMU: Fix GET_ONE_REG for vPMC regs to return the
    current value (bsc#1012628).
  - KVM: arm64: PMU: Don't save PMCR_EL0.{C,P} for the vCPU
    (bsc#1012628).
  - KVM: arm64: Retry fault if vma_lookup() results become invalid
    (bsc#1012628).
  - KVM: arm64: Disable interrupts while walking userspace PTs
    (bsc#1012628).
  - KVM: arm64: Check for kvm_vma_mte_allowed in the critical
    section (bsc#1012628).
  - usb: ucsi: Fix ucsi->connector race (bsc#1012628).
  - libbpf: Fix BTF-to-C converter's padding logic (bsc#1012628).
  - selftests/bpf: Add few corner cases to test padding handling
    of btf_dump (bsc#1012628).
  - libbpf: Fix btf_dump's packed struct determination
    (bsc#1012628).
  - drm/amdkfd: Get prange->offset after svm_range_vram_node_new
    (bsc#1012628).
  - hsr: ratelimit only when errors are printed (bsc#1012628).
  - x86/PVH: avoid 32-bit build warning when obtaining VGA console
    info (bsc#1012628).
  - Revert "cpuidle, intel_idle: Fix CPUIDLE_FLAG_IRQ_ENABLE
    * again*" (bsc#1012628).
  - commit ba7816e
* Tue Apr 04 2023 msuchanek@suse.de
  - Define kernel-vanilla as source variant
    The vanilla_only macro is overloaded. It is used for determining if
    there should be two kernel sources built as well as for the purpose of
    determmioning if vanilla kernel should be used for kernel-obs-build.
    While the former can be determined at build time the latter needs to be
    baked into the spec file template. Separate the two while also making
    the latter more generic.
    $build_dtbs is enabled on every single rt and azure branch since 15.3
    when the setting was introduced, gate on the new $obs_build_variant
    setting as well.
  - commit 36ba909
* Tue Apr 04 2023 jdelvare@suse.de
  - Update config files: disable CONFIG_SENSORS_OCC_P8_I2C and CONFIG_SENSORS_OCC_P9_SBE
    These drivers are intended to run on the BMC of Power systems, not on
    the host, so they are useless in our distribution.
  - commit 8dba174
* Tue Apr 04 2023 jslaby@suse.cz
  - Refresh
    patches.suse/drm-nouveau-kms-Fix-backlight-registration.patch.
    Update upstream status.
  - commit 8db91ce
* Mon Apr 03 2023 tiwai@suse.de
  - rpm/constraints.in: increase the disk size for armv6/7 to 24GB
    It grows and the build fails recently on SLE15-SP4/5.
  - commit 41ac816
* Mon Apr 03 2023 jslaby@suse.cz
  - Refresh
    patches.rpmify/s390-reintroduce-expoline-dependence-to-scripts.patch.
    Update upstream status.
  - commit 549d742
* Sun Apr 02 2023 mkubecek@suse.cz
  - Update to 6.3-rc5
  - eliminate 1 patch
    - patches.rpmify/s390-reintroduce-expoline-dependence-to-scripts.patch (7bb2107e63d8)
  - commit e8c15b9
* Sat Apr 01 2023 schwab@suse.de
  - rpm/check-for-config-changes: add TOOLCHAIN_NEEDS_* to IGNORED_CONFIGS_RE
    This new form was added in commit e89c2e815e76 ("riscv: Handle
    zicsr/zifencei issues between clang and binutils").
  - commit 234baea
* Fri Mar 31 2023 msuchanek@suse.de
  - Disable compat options on ppc64le (jsc#PED-3184).
    CONFIG_PPC_TRANSACTIONAL_MEM=n
    CONFIG_COMPAT=n
  - commit 427a93d
* Fri Mar 31 2023 tiwai@suse.de
  - ath11k: pci: Add more MODULE_FIRMWARE() entries (bsc#1209965).
    [js] update upstream status
  - ath11k: pci: Add more MODULE_FIRMWARE() entries (bsc#1209965).
  - commit 33c2186
* Fri Mar 31 2023 jslaby@suse.cz
  - Update config files.
    (Only oldconfig, RISCV fails.)
  - commit f0487ac
* Thu Mar 30 2023 tiwai@suse.de
  - wifi: ath11k: reduce the MHI timeout to 20s (bsc#1207948).
  - commit 343f603
* Thu Mar 30 2023 jslaby@suse.cz
  - Linux 6.2.9 (bsc#1012628).
  - interconnect: qcom: osm-l3: fix icc_onecell_data allocation
    (bsc#1012628).
  - interconnect: qcom: sm8450: switch to qcom_icc_rpmh_* function
    (bsc#1012628).
  - interconnect: qcom: qcm2290: Fix MASTER_SNOC_BIMC_NRT
    (bsc#1012628).
  - perf/core: Fix perf_output_begin parameter is incorrectly
    invoked in perf_event_bpf_output (bsc#1012628).
  - perf: fix perf_event_context->time (bsc#1012628).
  - tracing/hwlat: Replace sched_setaffinity with
    set_cpus_allowed_ptr (bsc#1012628).
  - drm/amd/display: fix k1 k2 divider programming for phantom
    streams (bsc#1012628).
  - drm/amd/display: Remove OTG DIV register write for Virtual
    signals (bsc#1012628).
  - drm/amd/display: Fix DP MST sinks removal issue (bsc#1012628).
  - arm64: dts: freescale: imx8-ss-lsio: Fix flexspi clock order
    (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: Add label property to vadc channel
    nodes (bsc#1012628).
  - arm64: dts: qcom: sm6375: Add missing power-domain-named to CDSP
    (bsc#1012628).
  - arm64: dts: qcom: sm8450: correct WSA2 assigned clocks
    (bsc#1012628).
  - arm64: dts: qcom: sm8450: Mark UFS controller as cache coherent
    (bsc#1012628).
  - power: supply: bq24190: Fix use after free bug in bq24190_remove
    due to race condition (bsc#1012628).
  - power: supply: da9150: Fix use after free bug in
    da9150_charger_remove due to race condition (bsc#1012628).
  - wifi: mt76: do not run mt76_unregister_device() on unregistered
    hw (bsc#1012628).
  - wifi: mt76: connac: do not check WED status for non-mmio devices
    (bsc#1012628).
  - efi: earlycon: Reprobe after parsing config tables
    (bsc#1012628).
  - arm64: dts: imx8dxl-evk: Disable hibernation mode of AR8031
    for EQOS (bsc#1012628).
  - arm64: dts: imx8dxl-evk: Fix eqos phy reset gpio (bsc#1012628).
  - ARM: dts: imx6sll: e70k02: fix usbotg1 pinctrl (bsc#1012628).
  - ARM: dts: imx6sll: e60k02: fix usbotg1 pinctrl (bsc#1012628).
  - ARM: dts: imx6sl: tolino-shine2hd: fix usbotg1 pinctrl
    (bsc#1012628).
  - arm64: dts: imx8mn: specify #sound-dai-cells for SAI nodes
    (bsc#1012628).
  - arm64: dts: imx93: add missing #address-cells and #size-cells
    to i2c nodes (bsc#1012628).
  - NFS: Fix /proc/PID/io read_bytes for buffered reads
    (bsc#1012628).
  - NFS: Correct timing for assigning access cache timestamp
    (bsc#1012628).
  - xsk: Add missing overflow check in xdp_umem_reg (bsc#1012628).
  - iavf: fix inverted Rx hash condition leading to disabled hash
    (bsc#1012628).
  - iavf: fix non-tunneled IPv6 UDP packet type and hashing
    (bsc#1012628).
  - iavf: do not track VLAN 0 filters (bsc#1012628).
  - intel/igbvf: free irq on the error path in igbvf_request_msix()
    (bsc#1012628).
  - igbvf: Regard vf reset nack as success (bsc#1012628).
  - igc: fix the validation logic for taprio's gate list
    (bsc#1012628).
  - i2c: imx-lpi2c: check only for enabled interrupt flags
    (bsc#1012628).
  - i2c: mxs: ensure that DMA buffers are safe for DMA
    (bsc#1012628).
  - i2c: hisi: Only use the completion interrupt to finish the
    transfer (bsc#1012628).
  - scsi: scsi_dh_alua: Fix memleak for 'qdata' in alua_activate()
    (bsc#1012628).
  - nfsd: don't replace page in rq_pages if it's a continuation
    of last page (bsc#1012628).
  - net: dsa: b53: mmap: fix device tree support (bsc#1012628).
  - net: usb: smsc95xx: Limit packet length to skb->len
    (bsc#1012628).
  - qed/qed_sriov: guard against NULL derefs from
    qed_iov_get_vf_info (bsc#1012628).
  - xirc2ps_cs: Fix use after free bug in xirc2ps_detach
    (bsc#1012628).
  - net: phy: Ensure state transitions are processed from phy_stop()
    (bsc#1012628).
  - net: mdio: fix owner field for mdio buses registered using
    device-tree (bsc#1012628).
  - net: mdio: fix owner field for mdio buses registered using ACPI
    (bsc#1012628).
  - net: stmmac: Fix for mismatched host/device DMA address width
    (bsc#1012628).
  - thermal/drivers/mellanox: Use generic thermal_zone_get_trip()
    function (bsc#1012628).
  - mlxsw: core_thermal: Fix fan speed in maximum cooling state
    (bsc#1012628).
  - drm/i915/fbdev: lock the fbdev obj before vma pin (bsc#1012628).
  - drm/i915/mtl: Disable MC6 for MTL A step (bsc#1012628).
  - drm/i915/guc: Rename GuC register state capture node to be
    more obvious (bsc#1012628).
  - drm/i915/guc: Fix missing ecodes (bsc#1012628).
  - drm/i915/gt: perform uc late init after probe error injection
    (bsc#1012628).
  - drm/i915: Fix format for perf_limit_reasons (bsc#1012628).
  - drm/i915: Update vblank timestamping stuff on seamless M/N
    change (bsc#1012628).
  - net: dsa: report rx_bytes unadjusted for ETH_HLEN (bsc#1012628).
  - net: qcom/emac: Fix use after free bug in emac_remove due to
    race condition (bsc#1012628).
  - net: usb: lan78xx: Limit packet length to skb->len
    (bsc#1012628).
  - net/ps3_gelic_net: Fix RX sk_buff length (bsc#1012628).
  - net/ps3_gelic_net: Use dma_mapping_error (bsc#1012628).
  - octeontx2-vf: Add missing free for alloc_percpu (bsc#1012628).
  - bootconfig: Fix testcase to increase max node (bsc#1012628).
  - keys: Do not cache key in task struct if key is requested from
    kernel thread (bsc#1012628).
  - ice: check if VF exists before mode check (bsc#1012628).
  - iavf: fix hang on reboot with ice (bsc#1012628).
  - i40e: fix flow director packet filter programming (bsc#1012628).
  - bpf: Adjust insufficient default bpf_jit_limit (bsc#1012628).
  - net/mlx5e: Set uplink rep as NETNS_LOCAL (bsc#1012628).
  - net/mlx5e: Block entering switchdev mode with ns inconsistency
    (bsc#1012628).
  - net/mlx5: Fix steering rules cleanup (bsc#1012628).
  - net/mlx5e: Overcome slow response for first macsec ASO WQE
    (bsc#1012628).
  - net/mlx5: Read the TC mapping of all priorities on ETS query
    (bsc#1012628).
  - net/mlx5: E-Switch, Fix an Oops in error handling code
    (bsc#1012628).
  - net: dsa: tag_brcm: legacy: fix daisy-chained switches
    (bsc#1012628).
  - atm: idt77252: fix kmemleak when rmmod idt77252 (bsc#1012628).
  - erspan: do not use skb_mac_header() in ndo_start_xmit()
    (bsc#1012628).
  - net: mscc: ocelot: fix stats region batching (bsc#1012628).
  - net/sonic: use dma_mapping_error() for error check
    (bsc#1012628).
  - nvme-tcp: fix nvme_tcp_term_pdu to match spec (bsc#1012628).
  - mlxsw: spectrum_fid: Fix incorrect local port type
    (bsc#1012628).
  - hvc/xen: prevent concurrent accesses to the shared ring
    (bsc#1012628).
  - ksmbd: add low bound validation to FSCTL_SET_ZERO_DATA
    (bsc#1012628).
  - ksmbd: add low bound validation to FSCTL_QUERY_ALLOCATED_RANGES
    (bsc#1012628).
  - ksmbd: fix possible refcount leak in smb2_open() (bsc#1012628).
  - Bluetooth: hci_sync: Resume adv with no RPA when active scan
    (bsc#1012628).
  - Bluetooth: hci_core: Detect if an ACL packet is in fact an
    ISO packet (bsc#1012628).
  - Bluetooth: btusb: Remove detection of ISO packets over bulk
    (bsc#1012628).
  - Bluetooth: ISO: fix timestamped HCI ISO data packet parsing
    (bsc#1012628).
  - Bluetooth: Remove "Power-on" check from Mesh feature
    (bsc#1012628).
  - gve: Cache link_speed value from device (bsc#1012628).
  - net: asix: fix modprobe "sysfs: cannot create duplicate
    filename" (bsc#1012628).
  - net: dsa: mt7530: move enabling disabling core clock to
    mt7530_pll_setup() (bsc#1012628).
  - net: dsa: mt7530: move lowering TRGMII driving to mt7530_setup()
    (bsc#1012628).
  - net: dsa: mt7530: move setting ssc_delta to
    PHY_INTERFACE_MODE_TRGMII case (bsc#1012628).
  - net: mdio: thunder: Add missing fwnode_handle_put()
    (bsc#1012628).
  - efi/libstub: Use relocated version of kernel's struct
    screen_info (bsc#1012628).
  - drm/amd/display: Set dcn32 caps.seamless_odm (bsc#1012628).
  - Bluetooth: btqcomsmd: Fix command timeout after setting BD
    address (bsc#1012628).
  - Bluetooth: L2CAP: Fix responding with wrong PDU type
    (bsc#1012628).
  - Bluetooth: btsdio: fix use after free bug in btsdio_remove
    due to unfinished work (bsc#1012628).
  - Bluetooth: mgmt: Fix MGMT add advmon with RSSI command
    (bsc#1012628).
  - Bluetooth: HCI: Fix global-out-of-bounds (bsc#1012628).
  - platform/chrome: cros_ec_chardev: fix kernel data leak from
    ioctl (bsc#1012628).
  - entry: Fix noinstr warning in __enter_from_user_mode()
    (bsc#1012628).
  - perf/x86/amd/core: Always clear status for idx (bsc#1012628).
  - entry/rcu: Check TIF_RESCHED _after_ delayed RCU wake-up
    (bsc#1012628).
  - hwmon: fix potential sensor registration fail if of_node is
    missing (bsc#1012628).
  - hwmon (it87): Fix voltage scaling for chips with 10.9mV ADCs
    (bsc#1012628).
  - scsi: qla2xxx: Synchronize the IOCB count to be in order
    (bsc#1012628).
  - scsi: qla2xxx: Perform lockless command completion in abort path
    (bsc#1012628).
  - smb3: lower default deferred close timeout to address perf
    regression (bsc#1012628).
  - smb3: fix unusable share after force unmount failure
    (bsc#1012628).
  - uas: Add US_FL_NO_REPORT_OPCODES for JMicron JMS583Gen 2
    (bsc#1012628).
  - thunderbolt: Use scale field when allocating USB3 bandwidth
    (bsc#1012628).
  - thunderbolt: Call tb_check_quirks() after initializing adapters
    (bsc#1012628).
  - thunderbolt: Add quirk to disable CLx (bsc#1012628).
  - thunderbolt: Fix memory leak in margining (bsc#1012628).
  - thunderbolt: Disable interrupt auto clear for rings
    (bsc#1012628).
  - thunderbolt: Add missing UNSET_INBOUND_SBTX for retimer access
    (bsc#1012628).
  - thunderbolt: Use const qualifier for `ring_interrupt_index`
    (bsc#1012628).
  - thunderbolt: Rename shadowed variables bit to interrupt_bit
    and auto_clear_bit (bsc#1012628).
  - ASoC: amd: yp: Add OMEN by HP Gaming Laptop 16z-n000 to quirks
    (bsc#1012628).
  - ASoC: Intel: sof_rt5682: Add quirk for Rex board with mx98360a
    amplifier (bsc#1012628).
  - ASoC: amd: yc: Add DMI entries to support HP OMEN 16-n0xxx
    (8A43) (bsc#1012628).
  - ACPI: x86: Drop quirk for HP Elitebook (bsc#1012628).
  - ACPI: x86: utils: Add Cezanne to the list for forcing
    StorageD3Enable (bsc#1012628).
  - riscv: Bump COMMAND_LINE_SIZE value to 1024 (bsc#1012628).
  - drm/cirrus: NULL-check pipe->plane.state->fb in
    cirrus_pipe_update() (bsc#1012628).
  - HID: cp2112: Fix driver not registering GPIO IRQ chip as
    threaded (bsc#1012628).
  - ca8210: fix mac_len negative array access (bsc#1012628).
  - HID: logitech-hidpp: Add support for Logitech MX Master 3S mouse
    (bsc#1012628).
  - HID: intel-ish-hid: ipc: Fix potential use-after-free in work
    function (bsc#1012628).
  - m68k: mm: Fix systems with memory at end of 32-bit address space
    (bsc#1012628).
  - m68k: Only force 030 bus error if PC not in exception table
    (bsc#1012628).
  - selftests/bpf: check that modifier resolves after pointer
    (bsc#1012628).
  - cpumask: fix incorrect cpumask scanning result checks
    (bsc#1012628).
  - scsi: target: iscsi: Fix an error message in iscsi_check_key()
    (bsc#1012628).
  - scsi: qla2xxx: Add option to disable FC2 Target support
    (bsc#1012628).
  - scsi: hisi_sas: Check devm_add_action() return value
    (bsc#1012628).
  - scsi: ufs: core: Add soft dependency on governor_simpleondemand
    (bsc#1012628).
  - scsi: lpfc: Check kzalloc() in lpfc_sli4_cgn_params_read()
    (bsc#1012628).
  - scsi: lpfc: Avoid usage of list iterator variable after loop
    (bsc#1012628).
  - scsi: mpi3mr: Driver unload crashes host when enhanced logging
    is enabled (bsc#1012628).
  - scsi: mpi3mr: Wait for diagnostic save during controller init
    (bsc#1012628).
  - scsi: mpi3mr: NVMe command size greater than 8K fails
    (bsc#1012628).
  - scsi: mpi3mr: Bad drive in topology results kernel crash
    (bsc#1012628).
  - scsi: storvsc: Handle BlockSize change in Hyper-V VHD/VHDX file
    (bsc#1012628).
  - platform/x86: int3472: Add GPIOs to Surface Go 3 Board data
    (bsc#1012628).
  - net: usb: cdc_mbim: avoid altsetting toggling for Telit FE990
    (bsc#1012628).
  - net: usb: qmi_wwan: add Telit 0x1080 composition (bsc#1012628).
  - drm/amd/display: Update clock table to include highest clock
    setting (bsc#1012628).
  - sh: sanitize the flags on sigreturn (bsc#1012628).
  - drm/amdgpu: Fix call trace warning and hang when removing
    amdgpu device (bsc#1012628).
  - drm/amd: Fix initialization mistake for NBIO 7.3.0
    (bsc#1012628).
  - net/sched: act_mirred: better wording on protection against
    excessive stack growth (bsc#1012628).
  - act_mirred: use the backlog for nested calls to mirred ingress
    (bsc#1012628).
  - cifs: lock chan_lock outside match_session (bsc#1012628).
  - cifs: append path to open_enter trace event (bsc#1012628).
  - cifs: do not poll server interfaces too regularly (bsc#1012628).
  - cifs: empty interface list when server doesn't support query
    interfaces (bsc#1012628).
  - cifs: dump pending mids for all channels in DebugData
    (bsc#1012628).
  - cifs: print session id while listing open files (bsc#1012628).
  - cifs: fix dentry lookups in directory handle cache
    (bsc#1012628).
  - x86/mm: Do not shuffle CPU entry areas without KASLR
    (bsc#1012628).
  - x86/fpu/xstate: Prevent false-positive warning in
    __copy_xstate_uabi_buf() (bsc#1012628).
  - selftests/x86/amx: Add a ptrace test (bsc#1012628).
  - scsi: core: Add BLIST_SKIP_VPD_PAGES for SKhynix H28U74301AMR
    (bsc#1012628).
  - usb: misc: onboard-hub: add support for Microchip USB2517 USB
    2.0 hub (bsc#1012628).
  - usb: dwc2: fix a race, don't power off/on phy for dual-role mode
    (bsc#1012628).
  - usb: dwc2: drd: fix inconsistent mode if
    role-switch-default-mode="host" (bsc#1012628).
  - usb: dwc2: fix a devres leak in hw_enable upon suspend resume
    (bsc#1012628).
  - block/io_uring: pass in issue_flags for uring_cmd task_work
    handling (bsc#1012628).
  - usb: gadget: u_audio: don't let userspace block driver unbind
    (bsc#1012628).
  - btrfs: zoned: fix btrfs_can_activate_zone() to support DUP
    profile (bsc#1012628).
  - Bluetooth: Fix race condition in hci_cmd_sync_clear
    (bsc#1012628).
  - efi: sysfb_efi: Fix DMI quirks not working for simpledrm
    (bsc#1012628).
  - mm/slab: Fix undefined init_cache_node_node() for NUMA and !SMP
    (bsc#1012628).
  - efi/libstub: zboot: Mark zboot EFI application as NX compatible
    (bsc#1012628).
  - arm64: efi: Set NX compat flag in PE/COFF header (bsc#1012628).
  - fscrypt: destroy keyring after security_sb_delete()
    (bsc#1012628).
  - fsverity: Remove WQ_UNBOUND from fsverity read workqueue
    (bsc#1012628).
  - lockd: set file_lock start and end when decoding nlm4 testargs
    (bsc#1012628).
  - arm64: dts: imx8mm-nitrogen-r2: fix WM8960 clock name
    (bsc#1012628).
  - igb: revert rtnl_lock() that causes deadlock (bsc#1012628).
  - dm thin: fix deadlock when swapping to thin device
    (bsc#1012628).
  - usb: typec: tcpm: fix create duplicate source-capabilities file
    (bsc#1012628).
  - usb: typec: tcpm: fix warning when handle discover_identity
    message (bsc#1012628).
  - usb: cdns3: Fix issue with using incorrect PCI device function
    (bsc#1012628).
  - usb: cdnsp: Fixes issue with redundant Status Stage
    (bsc#1012628).
  - usb: cdnsp: changes PCI Device ID to fix conflict with CNDS3
    driver (bsc#1012628).
  - usb: chipdea: core: fix return -EINVAL if request role is the
    same with current role (bsc#1012628).
  - usb: chipidea: core: fix possible concurrent when switch role
    (bsc#1012628).
  - usb: dwc3: gadget: Add 1ms delay after end transfer command
    without IOC (bsc#1012628).
  - usb: ucsi: Fix NULL pointer deref in ucsi_connector_change()
    (bsc#1012628).
  - usb: ucsi_acpi: Increase the command completion timeout
    (bsc#1012628).
  - mm: kfence: fix using kfence_metadata without initialization
    in show_object() (bsc#1012628).
  - kfence: avoid passing -g for test (bsc#1012628).
  - io_uring/net: avoid sending -ECONNABORTED on repeated connection
    requests (bsc#1012628).
  - io_uring/rsrc: fix null-ptr-deref in io_file_bitmap_get()
    (bsc#1012628).
  - Revert "kasan: drop skip_kasan_poison variable in
    free_pages_prepare" (bsc#1012628).
  - kcsan: avoid passing -g for test (bsc#1012628).
  - test_maple_tree: add more testing for mas_empty_area()
    (bsc#1012628).
  - maple_tree: fix mas_skip_node() end slot detection
    (bsc#1012628).
  - ksmbd: fix wrong signingkey creation when encryption is AES256
    (bsc#1012628).
  - ksmbd: set FILE_NAMED_STREAMS attribute in
    FS_ATTRIBUTE_INFORMATION (bsc#1012628).
  - ksmbd: don't terminate inactive sessions after a few seconds
    (bsc#1012628).
  - ksmbd: return STATUS_NOT_SUPPORTED on unsupported smb2.0 dialect
    (bsc#1012628).
  - ksmbd: return unsupported error on smb1 mount (bsc#1012628).
  - wifi: mac80211: fix qos on mesh interfaces (bsc#1012628).
  - wifi: mac80211: Serialize ieee80211_handle_wake_tx_queue()
    (bsc#1012628).
  - nilfs2: fix kernel-infoleak in nilfs_ioctl_wrap_copy()
    (bsc#1012628).
  - drm/bridge: lt8912b: return EPROBE_DEFER if bridge is not found
    (bsc#1012628).
  - drm/amd/display: fix wrong index used in dccg32_set_dpstreamclk
    (bsc#1012628).
  - drm/meson: fix missing component unbind on bind errors
    (bsc#1012628).
  - drm/amdgpu/nv: Apply ASPM quirk on Intel ADL + AMD Navi
    (bsc#1012628).
  - drm/i915/active: Fix missing debug object activation
    (bsc#1012628).
  - drm/i915: Preserve crtc_state->inherited during state clearing
    (bsc#1012628).
  - drm/amdgpu: skip ASIC reset for APUs when go to S4
    (bsc#1012628).
  - drm/amdgpu: reposition the gpu reset checking for reuse
    (bsc#1012628).
  - riscv: mm: Fix incorrect ASID argument when flushing TLB
    (bsc#1012628).
  - riscv: Handle zicsr/zifencei issues between clang and binutils
    (bsc#1012628).
  - tee: amdtee: fix race condition in amdtee_open_session
    (bsc#1012628).
  - firmware: arm_scmi: Fix device node validation for mailbox
    transport (bsc#1012628).
  - arm64: dts: qcom: sc8280xp-x13s: mark s11b regulator as
    always-on (bsc#1012628).
  - arm64: dts: qcom: sc7280: Mark PCIe controller as cache coherent
    (bsc#1012628).
  - arm64: dts: qcom: sm8150: Fix the iommu mask used for PCIe
    controllers (bsc#1012628).
  - soc: qcom: llcc: Fix slice configuration values for SC8280XP
    (bsc#1012628).
  - mm/ksm: fix race with VMA iteration and mm_struct teardown
    (bsc#1012628).
  - bus: imx-weim: fix branch condition evaluates to a garbage value
    (bsc#1012628).
  - i2c: xgene-slimpro: Fix out-of-bounds bug in
    xgene_slimpro_i2c_xfer() (bsc#1012628).
  - dm stats: check for and propagate alloc_percpu failure
    (bsc#1012628).
  - dm crypt: add cond_resched() to dmcrypt_write() (bsc#1012628).
  - dm crypt: avoid accessing uninitialized tasklet (bsc#1012628).
  - sched/fair: sanitize vruntime of entity being placed
    (bsc#1012628).
  - sched/fair: Sanitize vruntime of entity being migrated
    (bsc#1012628).
  - commit 7a187a3
* Wed Mar 29 2023 ykaukab@suse.de
  - supported.conf: fix typos in module names
  - commit cbe8816
* Wed Mar 29 2023 tiwai@suse.de
  - Input: alps - fix compatibility with -funsigned-char
    (bsc#1209805).
  - commit fb69353
* Wed Mar 29 2023 jslaby@suse.cz
  - net/wwan/t7xx: disable Werror (bsc#1209724).
  - commit fd61888
* Wed Mar 29 2023 jslaby@suse.cz
  - efi/libstub: smbios: Use length member instead of record struct
    size (bsc#1208750).
    Use the patches from upstream to fix the issue.
  - commit 94a1030
* Tue Mar 28 2023 tiwai@suse.de
  - drm/nouveau/kms: Fix backlight registration (bsc#1209296).
  - commit f84ef02
* Tue Mar 28 2023 ykaukab@suse.de
  - supported.conf: add missing modules
    Mark most modules as unsupported by default
  - commit 994ed9c
* Mon Mar 27 2023 mkubecek@suse.cz
  - Update to 6.3-rc4
  - eliminate 1 patch
    - patches.suse/arm64-efi-Use-SMBIOS-processor-ID-to-key-off-Altra-q.patch (eb684408f3ea)
  - refresh configs
  - commit f77c350
* Wed Mar 22 2023 jslaby@suse.cz
  - Linux 6.2.8 (bsc#1012628).
  - xfrm: Allow transport-mode states with AF_UNSPEC selector
    (bsc#1012628).
  - drm/virtio: Pass correct device to dma_sync_sgtable_for_device()
    (bsc#1012628).
  - drm/msm/gem: Prevent blocking within shrinker loop
    (bsc#1012628).
  - drm/panfrost: Don't sync rpm suspension after mmu flushing
    (bsc#1012628).
  - fbdev: chipsfb: Fix error codes in chipsfb_pci_init()
    (bsc#1012628).
  - cifs: Move the in_send statistic to __smb_send_rqst()
    (bsc#1012628).
  - drm/meson: fix 1px pink line on GXM when scaling video overlay
    (bsc#1012628).
  - clk: HI655X: select REGMAP instead of depending on it
    (bsc#1012628).
  - selftests: amd-pstate: fix TEST_FILES (bsc#1012628).
  - ASoC: SOF: Intel: MTL: Fix the device description (bsc#1012628).
  - ASoC: SOF: Intel: HDA: Fix device description (bsc#1012628).
  - ASoC: SOF: Intel: SKL: Fix device description (bsc#1012628).
  - ASOC: SOF: Intel: pci-tgl: Fix device description (bsc#1012628).
  - ASoC: SOF: ipc4-topology: set dmic dai index from copier
    (bsc#1012628).
  - docs: Correct missing "d_" prefix for dentry_operations member
    d_weak_revalidate (bsc#1012628).
  - scsi: mpt3sas: Fix NULL pointer access in
    mpt3sas_transport_port_add() (bsc#1012628).
  - scsi: mpi3mr: Fix throttle_groups memory leak (bsc#1012628).
  - scsi: mpi3mr: Fix config page DMA memory leak (bsc#1012628).
  - scsi: mpi3mr: Fix mpi3mr_hba_port memory leak in mpi3mr_remove()
    (bsc#1012628).
  - scsi: mpi3mr: Fix sas_hba.phy memory leak in mpi3mr_remove()
    (bsc#1012628).
  - scsi: mpi3mr: Return proper values for failures in firmware
    init path (bsc#1012628).
  - scsi: mpi3mr: Fix memory leaks in mpi3mr_init_ioc()
    (bsc#1012628).
  - scsi: mpi3mr: ioctl timeout when disabling/enabling interrupt
    (bsc#1012628).
  - scsi: mpi3mr: Fix expander node leak in mpi3mr_remove()
    (bsc#1012628).
  - ALSA: hda: Match only Intel devices with CONTROLLER_IN_GPU()
    (bsc#1012628).
  - netfilter: nft_nat: correct length for loading protocol
    registers (bsc#1012628).
  - netfilter: nft_masq: correct length for loading protocol
    registers (bsc#1012628).
  - netfilter: nft_redir: correct length for loading protocol
    registers (bsc#1012628).
  - netfilter: nft_redir: correct value of inet type `.maxattrs`
    (bsc#1012628).
  - scsi: core: Add BLIST_NO_VPD_SIZE for some VDASD (bsc#1012628).
  - scsi: core: Fix a procfs host directory removal regression
    (bsc#1012628).
  - ftrace,kcfi: Define ftrace_stub_graph conditionally
    (bsc#1012628).
  - tcp: tcp_make_synack() can be called from process context
    (bsc#1012628).
  - vdpa/mlx5: should not activate virtq object when suspended
    (bsc#1012628).
  - wifi: nl80211: fix NULL-ptr deref in offchan check
    (bsc#1012628).
  - wifi: cfg80211: fix MLO connection ownership (bsc#1012628).
  - selftests: fix LLVM build for i386 and x86_64 (bsc#1012628).
  - nfc: pn533: initialize struct pn533_out_arg properly
    (bsc#1012628).
  - ipvlan: Make skb->skb_iif track skb->dev for l3s mode
    (bsc#1012628).
  - i40e: Fix kernel crash during reboot when adapter is in recovery
    mode (bsc#1012628).
  - vhost-vdpa: free iommu domain after last use during cleanup
    (bsc#1012628).
  - vdpa_sim: not reset state in vdpasim_queue_ready (bsc#1012628).
  - vdpa_sim: set last_used_idx as last_avail_idx in
    vdpasim_queue_ready (bsc#1012628).
  - PCI: s390: Fix use-after-free of PCI resources with per-function
    hotplug (bsc#1012628).
  - drm/i915/psr: Use calculated io and fast wake lines
    (bsc#1012628).
  - drm/i915/sseu: fix max_subslices array-index-out-of-bounds
    access (bsc#1012628).
  - bnxt_en: reset PHC frequency in free-running mode (bsc#1012628).
  - net/smc: fix NULL sndbuf_desc in smc_cdc_tx_handler()
    (bsc#1012628).
  - qed/qed_dev: guard against a possible division by zero
    (bsc#1012628).
  - net: dsa: mt7530: remove now incorrect comment regarding port 5
    (bsc#1012628).
  - net: dsa: mt7530: set PLL frequency and trgmii only when trgmii
    is used (bsc#1012628).
  - block: do not reverse request order when flushing plug list
    (bsc#1012628).
  - loop: Fix use-after-free issues (bsc#1012628).
  - blk-mq: fix "bad unlock balance detected" on q->srcu in
    __blk_mq_run_dispatch_ops (bsc#1012628).
  - net: tunnels: annotate lockless accesses to dev->needed_headroom
    (bsc#1012628).
  - net: phy: smsc: bail out in lan87xx_read_status if
    genphy_read_status fails (bsc#1012628).
  - tcp: Fix bind() conflict check for dual-stack wildcard address
    (bsc#1012628).
  - nfc: st-nci: Fix use after free bug in ndlc_remove due to race
    condition (bsc#1012628).
  - mlxsw: spectrum: Fix incorrect parsing depth after reload
    (bsc#1012628).
  - net/smc: fix deadlock triggered by cancel_delayed_work_syn()
    (bsc#1012628).
  - net: usb: smsc75xx: Limit packet length to skb->len
    (bsc#1012628).
  - net: ethernet: mtk_eth_soc: reset PCS state (bsc#1012628).
  - net: ethernet: mtk_eth_soc: only write values if needed
    (bsc#1012628).
  - drm/bridge: Fix returned array size name for
    atomic_get_input_bus_fmts kdoc (bsc#1012628).
  - block: null_blk: Fix handling of fake timeout request
    (bsc#1012628).
  - nvme: fix handling single range discard request (bsc#1012628).
  - nvmet: avoid potential UAF in nvmet_req_complete()
    (bsc#1012628).
  - block: sunvdc: add check for mdesc_grab() returning NULL
    (bsc#1012628).
  - block: count 'ios' and 'sectors' when io is done for bio-based
    device (bsc#1012628).
  - net/mlx5e: Fix macsec ASO context alignment (bsc#1012628).
  - net/mlx5e: Don't cache tunnel offloads capability (bsc#1012628).
  - net/mlx5: Fix setting ec_function bit in MANAGE_PAGES
    (bsc#1012628).
  - net/mlx5: Disable eswitch before waiting for VF pages
    (bsc#1012628).
  - net/mlx5: E-switch, Fix wrong usage of source port rewrite in
    split rules (bsc#1012628).
  - net/mlx5: E-switch, Fix missing set of split_count when forward
    to ovs internal port (bsc#1012628).
  - net/mlx5e: Fix cleanup null-ptr deref on encap lock
    (bsc#1012628).
  - net/mlx5: Set BREAK_FW_WAIT flag first when removing driver
    (bsc#1012628).
  - veth: Fix use after free in XDP_REDIRECT (bsc#1012628).
  - ice: xsk: disable txq irq before flushing hw (bsc#1012628).
  - net: dsa: don't error out when drivers return ETH_DATA_LEN in
    .port_max_mtu() (bsc#1012628).
  - net: dsa: mv88e6xxx: fix max_mtu of 1492 on 6165, 6191, 6220,
    6250, 6290 (bsc#1012628).
  - ravb: avoid PHY being resumed when interface is not up
    (bsc#1012628).
  - sh_eth: avoid PHY being resumed when interface is not up
    (bsc#1012628).
  - ipv4: Fix incorrect table ID in IOCTL path (bsc#1012628).
  - net: usb: smsc75xx: Move packet length check to prevent kernel
    panic in skb_pull (bsc#1012628).
  - net: atlantic: Fix crash when XDP is enabled but no program
    is loaded (bsc#1012628).
  - net/iucv: Fix size of interrupt data (bsc#1012628).
  - i825xx: sni_82596: use eth_hw_addr_set() (bsc#1012628).
  - selftests: net: devlink_port_split.py: skip test if no suitable
    device available (bsc#1012628).
  - qed/qed_mng_tlv: correctly zero out ->min instead of ->hour
    (bsc#1012628).
  - net: dsa: microchip: fix RGMII delay configuration on
    KSZ8765/KSZ8794/KSZ8795 (bsc#1012628).
  - ethernet: sun: add check for the mdesc_grab() (bsc#1012628).
  - net: renesas: rswitch: Rename rings in struct rswitch_gwca_queue
    (bsc#1012628).
  - net: renesas: rswitch: Fix the output value of quote from
    rswitch_rx() (bsc#1012628).
  - bonding: restore IFF_MASTER/SLAVE flags on bond enslave ether
    type change (bsc#1012628).
  - bonding: restore bond's IFF_SLAVE flag if a non-eth dev enslave
    fails (bsc#1012628).
  - hwmon: (adt7475) Display smoothing attributes in correct order
    (bsc#1012628).
  - hwmon: (adt7475) Fix masking of hysteresis registers
    (bsc#1012628).
  - hwmon: (xgene) Fix use after free bug in xgene_hwmon_remove
    due to race condition (bsc#1012628).
  - hwmon: (ina3221) return prober error code (bsc#1012628).
  - hwmon: (ucd90320) Add minimum delay between bus accesses
    (bsc#1012628).
  - hwmon: tmp512: drop of_match_ptr for ID table (bsc#1012628).
  - kconfig: Update config changed flag before calling callback
    (bsc#1012628).
  - hwmon: (adm1266) Set `can_sleep` flag for GPIO chip
    (bsc#1012628).
  - hwmon: (ltc2992) Set `can_sleep` flag for GPIO chip
    (bsc#1012628).
  - media: m5mols: fix off-by-one loop termination error
    (bsc#1012628).
  - ext4: update s_journal_inum if it changes after journal replay
    (bsc#1012628).
  - ext4: fix task hung in ext4_xattr_delete_inode (bsc#1012628).
  - drm/amdkfd: Fix an illegal memory access (bsc#1012628).
  - net/9p: fix bug in client create for .L (bsc#1012628).
  - LoongArch: Only call get_timer_irq() once in
    constant_clockevent_init() (bsc#1012628).
  - sh: intc: Avoid spurious sizeof-pointer-div warning
    (bsc#1012628).
  - drm/amdgpu: fix ttm_bo calltrace warning in psp_hw_fini
    (bsc#1012628).
  - drm/amd/display: fix shift-out-of-bounds in
    CalculateVMAndRowBytes (bsc#1012628).
  - ext4: fix possible double unlock when moving a directory
    (bsc#1012628).
  - Revert "tty: serial: fsl_lpuart: adjust
    SERIAL_FSL_LPUART_CONSOLE config dependency" (bsc#1012628).
  - tty: serial: fsl_lpuart: fix race on RX DMA shutdown
    (bsc#1012628).
  - tty: serial: fsl_lpuart: skip waiting for transmission complete
    when UARTCTRL_SBK is asserted (bsc#1012628).
  - serial: 8250_em: Fix UART port type (bsc#1012628).
  - serial: 8250_fsl: fix handle_irq locking (bsc#1012628).
  - serial: 8250: ASPEED_VUART: select REGMAP instead of depending
    on it (bsc#1012628).
  - firmware: xilinx: don't make a sleepable memory allocation
    from an atomic context (bsc#1012628).
  - memory: tegra: fix interconnect registration race (bsc#1012628).
  - memory: tegra20-emc: fix interconnect registration race
    (bsc#1012628).
  - memory: tegra124-emc: fix interconnect registration race
    (bsc#1012628).
  - memory: tegra30-emc: fix interconnect registration race
    (bsc#1012628).
  - drm/ttm: Fix a NULL pointer dereference (bsc#1012628).
  - s390/ipl: add missing intersection check to ipl_report handling
    (bsc#1012628).
  - interconnect: fix icc_provider_del() error handling
    (bsc#1012628).
  - interconnect: fix provider registration API (bsc#1012628).
  - interconnect: imx: fix registration race (bsc#1012628).
  - interconnect: fix mem leak when freeing nodes (bsc#1012628).
  - interconnect: qcom: osm-l3: fix registration race (bsc#1012628).
  - interconnect: qcom: rpm: fix probe child-node error handling
    (bsc#1012628).
  - interconnect: qcom: rpm: fix registration race (bsc#1012628).
  - interconnect: qcom: rpmh: fix probe child-node error handling
    (bsc#1012628).
  - interconnect: qcom: rpmh: fix registration race (bsc#1012628).
  - interconnect: qcom: msm8974: fix registration race
    (bsc#1012628).
  - interconnect: exynos: fix node leak in probe PM QoS error path
    (bsc#1012628).
  - interconnect: exynos: fix registration race (bsc#1012628).
  - md: select BLOCK_LEGACY_AUTOLOAD (bsc#1012628).
  - cifs: generate signkey for the channel that's reconnecting
    (bsc#1012628).
  - tracing: Make splice_read available again (bsc#1012628).
  - tracing: Do not let histogram values have some modifiers
    (bsc#1012628).
  - tracing: Check field value in hist_field_name() (bsc#1012628).
  - tracing: Make tracepoint lockdep check actually test something
    (bsc#1012628).
  - cifs: Fix smb2_set_path_size() (bsc#1012628).
  - cifs: set DFS root session in cifs_get_smb_ses() (bsc#1012628).
  - cifs: fix use-after-free bug in refresh_cache_worker()
    (bsc#1012628).
  - cifs: return DFS root session id in DebugData (bsc#1012628).
  - cifs: use DFS root session instead of tcon ses (bsc#1012628).
  - KVM: SVM: Fix a benign off-by-one bug in AVIC physical table
    mask (bsc#1012628).
  - KVM: SVM: Modify AVIC GATag to support max number of 512 vCPUs
    (bsc#1012628).
  - KVM: nVMX: add missing consistency checks for CR0 and CR4
    (bsc#1012628).
  - ALSA: hda: intel-dsp-config: add MTL PCI id (bsc#1012628).
  - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy
    Book2 Pro (bsc#1012628).
  - ALSA: hda/realtek: fix speaker, mute/micmute LEDs not work on
    a HP platform (bsc#1012628).
  - Revert "riscv: mm: notify remote harts about mmu cache updates"
    (bsc#1012628).
  - riscv: asid: Fixup stale TLB entry cause application crash
    (bsc#1012628).
  - drm/edid: fix info leak when failing to get panel id
    (bsc#1012628).
  - drm/shmem-helper: Remove another errant put in error path
    (bsc#1012628).
  - drm/sun4i: fix missing component unbind on bind errors
    (bsc#1012628).
  - drm/i915/active: Fix misuse of non-idle barriers as fence
    trackers (bsc#1012628).
  - drm/i915/dg2: Add HDMI pixel clock frequencies 267.30 and
    319.89 MHz (bsc#1012628).
  - drm/amdgpu: Don't resume IOMMU after incomplete init
    (bsc#1012628).
  - drm/amd/pm: Fix sienna cichlid incorrect OD volage after resume
    (bsc#1012628).
  - drm/amdgpu/vcn: Disable indirect SRAM on Vangogh broken BIOSes
    (bsc#1012628).
  - drm/amd/pm: bump SMU 13.0.4 driver_if header version
    (bsc#1012628).
  - drm/amd/display: Do not set DRR on pipe Commit (bsc#1012628).
  - drm/amd/display: disconnect MPCC only on OTG change
    (bsc#1012628).
  - drm/amd/display: Write to correct dirty_rect (bsc#1012628).
  - mptcp: fix possible deadlock in subflow_error_report
    (bsc#1012628).
  - mptcp: refactor passive socket initialization (bsc#1012628).
  - mptcp: use the workqueue to destroy unaccepted sockets
    (bsc#1012628).
  - mptcp: fix UaF in listener shutdown (bsc#1012628).
  - mptcp: add ro_after_init for tcp{,v6}_prot_override
    (bsc#1012628).
  - mptcp: avoid setting TCP_CLOSE state twice (bsc#1012628).
  - mptcp: fix lockdep false positive in
    mptcp_pm_nl_create_listen_socket() (bsc#1012628).
  - ftrace: Fix invalid address access in lookup_rec() when index
    is 0 (bsc#1012628).
  - ocfs2: fix data corruption after failed write (bsc#1012628).
  - nvme-pci: add NVME_QUIRK_BOGUS_NID for Netac NV3000
    (bsc#1012628).
  - ice: avoid bonding causing auxiliary plug/unplug under RTNL lock
    (bsc#1012628).
  - vp_vdpa: fix the crash in hot unplug with vp_vdpa (bsc#1012628).
  - mm/userfaultfd: propagate uffd-wp bit when PTE-mapping the
    huge zeropage (bsc#1012628).
  - mm: teach mincore_hugetlb about pte markers (bsc#1012628).
  - powerpc/64: Set default CPU in Kconfig (bsc#1012628).
  - powerpc/boot: Don't always pass -mcpu=powerpc when building
    32-bit uImage (bsc#1012628).
  - mmc: sdhci_am654: lower power-on failed message severity
    (bsc#1012628).
  - fbdev: stifb: Provide valid pixelclock and add fb_check_var()
    checks (bsc#1012628).
  - trace/hwlat: Do not wipe the contents of per-cpu thread data
    (bsc#1012628).
  - trace/hwlat: Do not start per-cpu thread if it is already
    running (bsc#1012628).
  - ACPI: PPTT: Fix to avoid sleep in the atomic context when PPTT
    is absent (bsc#1012628).
  - net: phy: nxp-c45-tja11xx: fix MII_BASIC_CONFIG_REV bit
    (bsc#1012628).
  - fbdev: Fix incorrect page mapping clearance at
    fb_deferred_io_release() (bsc#1012628).
  - RISC-V: mm: Support huge page in vmalloc_fault() (bsc#1012628).
  - io_uring/msg_ring: let target know allocated index
    (bsc#1012628).
  - cpuidle: psci: Iterate backwards over list in psci_pd_remove()
    (bsc#1012628).
  - ASoC: Intel: soc-acpi: fix copy-paste issue in topology names
    (bsc#1012628).
  - ASoC: qcom: q6prm: fix incorrect clk_root passed to ADSP
    (bsc#1012628).
  - x86/mce: Make sure logged MCEs are processed after sysfs update
    (bsc#1012628).
  - x86/mm: Fix use of uninitialized buffer in sme_enable()
    (bsc#1012628).
  - x86/resctrl: Clear staged_config[] before and after it is used
    (bsc#1012628).
  - powerpc: Pass correct CPU reference to assembler (bsc#1012628).
  - virt/coco/sev-guest: Check SEV_SNP attribute at probe time
    (bsc#1012628).
  - virt/coco/sev-guest: Simplify extended guest request handling
    (bsc#1012628).
  - virt/coco/sev-guest: Remove the disable_vmpck label in
    handle_guest_request() (bsc#1012628).
  - virt/coco/sev-guest: Carve out the request issuing logic into
    a helper (bsc#1012628).
  - virt/coco/sev-guest: Do some code style cleanups (bsc#1012628).
  - virt/coco/sev-guest: Convert the sw_exit_info_2 checking to
    a switch-case (bsc#1012628).
  - virt/coco/sev-guest: Add throttling awareness (bsc#1012628).
  - perf: Fix check before add_event_to_groups() in
    perf_group_detach() (bsc#1012628).
  - powerpc: Disable CPU unknown by CLANG when CC_IS_CLANG
    (bsc#1012628).
  - powerpc/64: Replace -mcpu=e500mc64 by -mcpu=e5500 (bsc#1012628).
  - Update config files.
  - commit 221c28f
* Mon Mar 20 2023 msuchanek@suse.de
  - Refresh patches.suse/objtool-Check-that-module-init-exit-function-is-an-i.patch.
  - commit 0845652
* Mon Mar 20 2023 msuchanek@suse.de
  - Refresh patches.suse/powerpc-mm-Fix-false-detection-of-read-faults.patch.
  - commit 8c46a8f
* Sun Mar 19 2023 mkubecek@suse.cz
  - Update to 6.3-rc3
  - eliminate 1 patch
    - patches.suse/powerpc-mm-Fix-false-detection-of-read-faults.patch (f2c7e3562b4c)
  - refresh configs
  - commit d72bdba
* Fri Mar 17 2023 jslaby@suse.cz
  - Linux 6.2.7 (bsc#1012628).
  - UML: define RUNTIME_DISCARD_EXIT (bsc#1012628).
  - RISC-V: fix taking the text_mutex twice during sifive errata
    patching (bsc#1012628).
  - Revert "bpf, test_run: fix &xdp_frame misplacement for
    LIVE_FRAMES" (bsc#1012628).
  - filelocks: use mount idmapping for setlease permission check
    (bsc#1012628).
  - drm/amd/display: adjust MALL size available for DCN32 and DCN321
    (bsc#1012628).
  - drm/amd/display: Allow subvp on vactive pipes that are
    2560x1440@60 (bsc#1012628).
  - media: rc: gpio-ir-recv: add remove function (bsc#1012628).
  - media: ov5640: Fix analogue gain control (bsc#1012628).
  - scripts: handle BrokenPipeError for python scripts
    (bsc#1012628).
  - PCI: Add SolidRun vendor ID (bsc#1012628).
  - macintosh: windfarm: Use unsigned type for 1-bit bitfields
    (bsc#1012628).
  - alpha: fix R_ALPHA_LITERAL reloc for large modules
    (bsc#1012628).
  - powerpc/kcsan: Exclude udelay to prevent recursive
    instrumentation (bsc#1012628).
  - powerpc/64: Move paca allocation to early_setup() (bsc#1012628).
  - powerpc/64: Fix task_cpu in early boot when booting non-zero
    cpuid (bsc#1012628).
  - powerpc/bpf/32: Only set a stack frame when necessary
    (bsc#1012628).
  - powerpc: Remove __kernel_text_address() in show_instructions()
    (bsc#1012628).
  - clk: renesas: rcar-gen3: Disable R-Car H3 ES1.* (bsc#1012628).
  - powerpc/iommu: fix memory leak with using debugfs_lookup()
    (bsc#1012628).
  - powerpc/64: Don't recurse irq replay (bsc#1012628).
  - MIPS: Fix a compilation issue (bsc#1012628).
  - tpm/eventlog: Don't abort tpm_read_log on faulty ACPI address
    (bsc#1012628).
  - watch_queue: fix IOC_WATCH_QUEUE_SET_SIZE alloc error paths
    (bsc#1012628).
  - drm/amdgpu/soc21: Add video cap query support for VCN_4_0_4
    (bsc#1012628).
  - drm/amdgpu/soc21: don't expose AV1 if VCN0 is harvested
    (bsc#1012628).
  - RISC-V: take text_mutex during alternative patching
    (bsc#1012628).
  - ext4: Fix deadlock during directory rename (bsc#1012628).
  - drm/amdgpu: fix return value check in kfd (bsc#1012628).
  - RISC-V: Don't check text_mutex during stop_machine
    (bsc#1012628).
  - riscv: Use READ_ONCE_NOCHECK in imprecise unwinding stack mode
    (bsc#1012628).
  - erofs: Revert "erofs: fix kvcalloc() misuse with __GFP_NOFAIL"
    (bsc#1012628).
  - af_unix: fix struct pid leaks in OOB support (bsc#1012628).
  - net: dsa: mt7530: permit port 5 to work without port 6 on
    MT7621 SoC (bsc#1012628).
  - SUNRPC: Fix a server shutdown leak (bsc#1012628).
  - octeontx2-af: Unlock contexts in the queue context cache in
    case of fault detection (bsc#1012628).
  - net/smc: fix fallback failed while sendmsg with fastopen
    (bsc#1012628).
  - ethernet: ice: avoid gcc-9 integer overflow warning
    (bsc#1012628).
  - ice: Fix DSCP PFC TLV creation (bsc#1012628).
  - NFSD: Protect against filesystem freezing (bsc#1012628).
  - block: fix wrong mode for blkdev_put() from
    disk_scan_partitions() (bsc#1012628).
  - platform: x86: MLX_PLATFORM: select REGMAP instead of depending
    on it (bsc#1012628).
  - platform: mellanox: select REGMAP instead of depending on it
    (bsc#1012628).
  - platform/x86: dell-ddv: Fix temperature scaling (bsc#1012628).
  - platform/x86: dell-ddv: Return error if buffer is empty
    (bsc#1012628).
  - netfilter: conntrack: adopt safer max chain length
    (bsc#1012628).
  - scsi: sd: Fix wrong zone_write_granularity value during
    revalidate (bsc#1012628).
  - scsi: megaraid_sas: Update max supported LD IDs to 240
    (bsc#1012628).
  - net: tls: fix device-offloaded sendpage straddling records
    (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix RX data corruption issue
    (bsc#1012628).
  - net: phy: smsc: fix link up detection in forced irq mode
    (bsc#1012628).
  - btf: fix resolving BTF_KIND_VAR after ARRAY, STRUCT, UNION,
    PTR (bsc#1012628).
  - bpf, test_run: fix &xdp_frame misplacement for LIVE_FRAMES
    (bsc#1012628).
  - btrfs: fix extent map logging bit not cleared for split maps
    after dropping range (bsc#1012628).
  - m68k: mm: Move initrd phys_to_virt handling after paging_init()
    (bsc#1012628).
  - netfilter: tproxy: fix deadlock due to missing BH disable
    (bsc#1012628).
  - netfilter: ctnetlink: revert to dumping mark regardless of
    event type (bsc#1012628).
  - bnxt_en: Avoid order-5 memory allocation for TPA data
    (bsc#1012628).
  - net: phylib: get rid of unnecessary locking (bsc#1012628).
  - net: stmmac: add to set device wake up flag when stmmac init
    phy (bsc#1012628).
  - drm/msm/dpu: clear DSPP reservations in rm release
    (bsc#1012628).
  - drm/msm/disp/dpu: fix sc7280_pp base offset (bsc#1012628).
  - drm/msm/dpu: fix clocks settings for msm8998 SSPP blocks
    (bsc#1012628).
  - drm/msm/dpu: drop DPU_DIM_LAYER from MIXER_MSM8998_MASK
    (bsc#1012628).
  - drm/msm/dpu: correct sm6115 scaler (bsc#1012628).
  - drm/msm/dpu: correct sm8250 and sm8350 scaler (bsc#1012628).
  - drm/msm/dpu: fix sm6115 and qcm2290 mixer width limits
    (bsc#1012628).
  - drm/msm/dpu: fix len of sc7180 ctl blocks (bsc#1012628).
  - bpf, sockmap: Fix an infinite loop error when len is 0 in
    tcp_bpf_recvmsg_parser() (bsc#1012628).
  - nfp: fix esp-tx-csum-offload doesn't take effect (bsc#1012628).
  - nfp: fix incorrectly set csum flag for nfd3 path (bsc#1012628).
  - ice: copy last block omitted in ice_get_module_eeprom()
    (bsc#1012628).
  - net: caif: Fix use-after-free in cfusbl_device_notify()
    (bsc#1012628).
  - net: lan78xx: fix accessing the LAN7800's internal phy specific
    registers from the MAC driver (bsc#1012628).
  - perf stat: Fix counting when initial delay configured
    (bsc#1012628).
  - net: use indirect calls helpers for sk_exit_memory_pressure()
    (bsc#1012628).
  - net: tls: fix possible race condition between
    do_tls_getsockopt_conf() and do_tls_setsockopt_conf()
    (bsc#1012628).
  - netfilter: nft_quota: copy content when cloning expression
    (bsc#1012628).
  - netfilter: nft_last: copy content when cloning expression
    (bsc#1012628).
  - selftests: nft_nat: ensuring the listening side is up before
    starting the client (bsc#1012628).
  - net: lan966x: Fix port police support using tc-matchall
    (bsc#1012628).
  - ila: do not generate empty messages in
    ila_xlat_nl_cmd_get_mapping() (bsc#1012628).
  - drm/msm/dpu: disable features unsupported by QCM2290
    (bsc#1012628).
  - tls: rx: fix return value for async crypto (bsc#1012628).
  - powerpc: dts: t1040rdb: fix compatible string for Rev A boards
    (bsc#1012628).
  - nfc: fdp: add null check of devm_kmalloc_array in
    fdp_nci_i2c_read_device_properties (bsc#1012628).
  - bgmac: fix *initial* chip reset to support BCM5358
    (bsc#1012628).
  - drm/msm/a5xx: fix context faults during ring switch
    (bsc#1012628).
  - drm/msm/a5xx: fix the emptyness check in the preempt code
    (bsc#1012628).
  - drm/msm/a5xx: fix highest bank bit for a530 (bsc#1012628).
  - drm/msm/a5xx: fix setting of the CP_PREEMPT_ENABLE_LOCAL
    register (bsc#1012628).
  - drm/msm: Fix potential invalid ptr free (bsc#1012628).
  - drm/nouveau/fb/gp102-: cache scrubber binary on first load
    (bsc#1012628).
  - drm/nouveau/kms/nv50: fix nv50_wndw_new_ prototype
    (bsc#1012628).
  - ext4: Fix possible corruption when moving a directory
    (bsc#1012628).
  - scsi: core: Remove the /proc/scsi/${proc_name} directory earlier
    (bsc#1012628).
  - riscv: Add header include guards to insn.h (bsc#1012628).
  - block: fix scan partition for exclusively open device again
    (bsc#1012628).
  - block: Revert "block: Do not reread partition table on
    exclusively open device" (bsc#1012628).
  - drm/i915: Populate encoder->devdata for DSI on icl+
    (bsc#1012628).
  - drm/i915: Do panel VBT init early if the VBT declares an
    explicit panel type (bsc#1012628).
  - drm/i915: Introduce intel_panel_init_alloc() (bsc#1012628).
  - bus: mhi: ep: Change state_lock to mutex (bsc#1012628).
  - bus: mhi: ep: Power up/down MHI stack during MHI RESET
    (bsc#1012628).
  - udf: Fix off-by-one error when discarding preallocation
    (bsc#1012628).
  - KVM: VMX: Do _all_ initialization before exposing /dev/kvm to
    userspace (bsc#1012628).
  - KVM: x86: Move guts of kvm_arch_init() to standalone helper
    (bsc#1012628).
  - KVM: VMX: Don't bother disabling eVMCS static key on module exit
    (bsc#1012628).
  - KVM: VMX: Reset eVMCS controls in VP assist page during hardware
    disabling (bsc#1012628).
  - nfc: change order inside nfc_se_io error path (bsc#1012628).
  - HID: uhid: Over-ride the default maximum data buffer value
    with our own (bsc#1012628).
  - HID: core: Provide new max_buffer_size attribute to over-ride
    the default (bsc#1012628).
  - ext4: zero i_disksize when initializing the bootloader inode
    (bsc#1012628).
  - ext4: fix WARNING in ext4_update_inline_data (bsc#1012628).
  - ext4: move where set the MAY_INLINE_DATA flag is set
    (bsc#1012628).
  - ext4: fix another off-by-one fsmap error on 1k block filesystems
    (bsc#1012628).
  - ext4: fix RENAME_WHITEOUT handling for inline directories
    (bsc#1012628).
  - ext4: fix cgroup writeback accounting with fs-layer encryption
    (bsc#1012628).
  - staging: rtl8723bs: Pass correct parameters to
    cfg80211_get_bss() (bsc#1012628).
  - staging: rtl8723bs: Fix key-store index handling (bsc#1012628).
  - drm/msm/adreno: fix runtime PM imbalance at unbind
    (bsc#1012628).
  - drm/connector: print max_requested_bpc in state debugfs
    (bsc#1012628).
  - drm/display: Don't block HDR_OUTPUT_METADATA on unknown EOTF
    (bsc#1012628).
  - drm/amdgpu: fix error checking in amdgpu_read_mm_registers
    for nv (bsc#1012628).
  - drm/amdgpu: fix error checking in amdgpu_read_mm_registers
    for soc21 (bsc#1012628).
  - drm/amdgpu: fix error checking in amdgpu_read_mm_registers
    for soc15 (bsc#1012628).
  - x86/CPU/AMD: Disable XSAVES on AMD family 0x17 (bsc#1012628).
  - thermal: intel: int340x: processor_thermal: Fix deadlock
    (bsc#1012628).
  - RISC-V: Stop emitting attributes (bsc#1012628).
  - fork: allow CLONE_NEWTIME in clone3 flags (bsc#1012628).
  - perf inject: Fix --buildid-all not to eat up MMAP2
    (bsc#1012628).
  - erofs: fix wrong kunmap when using LZMA on HIGHMEM platforms
    (bsc#1012628).
  - io_uring/uring_cmd: ensure that device supports IOPOLL
    (bsc#1012628).
  - btrfs: fix block group item corruption after inserting new
    block group (bsc#1012628).
  - btrfs: fix percent calculation for bg reclaim message
    (bsc#1012628).
  - btrfs: fix unnecessary increment of read error stat on write
    error (bsc#1012628).
  - fs: prevent out-of-bounds array speculation when closing a
    file descriptor (bsc#1012628).
  - commit e2e7685
* Thu Mar 16 2023 jslaby@suse.cz
  - s390: reintroduce expoline dependence to scripts (s390 expolines
    & fixdep).
  - commit a0e8ac4
* Thu Mar 16 2023 shung-hsi.yu@suse.com
  - rpm/group-source-files.pl: Fix output difference when / is in location
    While previous attempt to fix group-source-files.pl in 6d651362c38
    "rpm/group-source-files.pl: Deal with {pre,post}fixed / in location"
    breaks the infinite loop, it does not properly address the issue. Having
    prefixed and/or postfixed forward slash still result in different
    output.
    This commit changes the script to use the Perl core module File::Spec
    for proper path manipulation to give consistent output.
  - commit 4161bf9
* Tue Mar 14 2023 msuchanek@suse.de
  - Require suse-kernel-rpm-scriptlets at all times.
    The kernel packages call scriptlets for each stage, add the dependency
    to make it clear to libzypp that the scriptlets are required.
    There is no special dependency for posttrans, these scriptlets run when
    transactions are resolved. The plain dependency has to be used to
    support posttrans.
  - commit 56c4dbe
* Tue Mar 14 2023 msuchanek@suse.de
  - Replace mkinitrd dependency with dracut (bsc#1202353).
    Also update mkinitrd refrences in documentation and comments.
  - commit e356c9b
* Tue Mar 14 2023 msuchanek@suse.de
  - rpm/kernel-obs-build.spec.in: Remove SLE11 cruft
  - commit 871eeb4
* Tue Mar 14 2023 mfranc@suse.cz
  - Update
    patches.kernel.org/6.2.3-277-rds-rds_rm_zerocopy_callback-correct-order-for-.patch
    (bsc#1012628 bsc#1208601 CVE-2023-1078).
  - commit 581e0e0
* Mon Mar 13 2023 mkubecek@suse.cz
  - series.conf: whitespace cleanup
  - commit af164d0
* Mon Mar 13 2023 jslaby@suse.cz
  - Linux 6.2.6 (bsc#1012628).
  - staging: rtl8192e: Remove call_usermodehelper starting
    RadioPower.sh (bsc#1012628).
  - staging: rtl8192e: Remove function ..dm_check_ac_dc_power
    calling a script (bsc#1012628).
  - wifi: cfg80211: Partial revert "wifi: cfg80211: Fix use after
    free for wext" (bsc#1012628).
  - tpm: disable hwrng for fTPM on some AMD designs (bsc#1012628).
  - commit fa1a4c6
* Mon Mar 13 2023 mkubecek@suse.cz
  - Update to 6.3-rc2
  - eliminate 1 patch
    - patches.suse/cpumask-fix-incorrect-cpumask-scanning-result-checks.patch
  - update configs
    - FEALNX=m (x86, riscv64), =n otherwise (restored from < 6.2-rc1)
  - commit 4015adb
* Sat Mar 11 2023 jslaby@suse.cz
  - Linux 6.2.5 (bsc#1012628).
  - usb: gadget: uvc: fix missing mutex_unlock() if kstrtou8()
    fails (bsc#1012628).
  - arm64: efi: Make efi_rt_lock a raw_spinlock (bsc#1012628).
  - media: uvcvideo: Fix race condition with usb_kill_urb
    (bsc#1012628).
  - drm/i915: Fix system suspend without fbdev being initialized
    (bsc#1012628).
  - drm/i915/dp_mst: Fix payload removal during output disabling
    (bsc#1012628).
  - drm/display/dp_mst: Handle old/new payload states in
    drm_dp_remove_payload() (bsc#1012628).
  - drm/i915/dp_mst: Add the MST topology state for modesetted CRTCs
    (bsc#1012628).
  - drm/display/dp_mst: Fix payload addition on a disconnected sink
    (bsc#1012628).
  - drm/display/dp_mst: Fix down message handling after a packet
    reception error (bsc#1012628).
  - drm/display/dp_mst: Fix down/up message handling after sink
    disconnect (bsc#1012628).
  - drm/display/dp_mst: Add drm_atomic_get_old_mst_topology_state()
    (bsc#1012628).
  - vDPA/ifcvf: allocate the adapter in dev_add() (bsc#1012628).
  - vDPA/ifcvf: manage ifcvf_hw in the mgmt_dev (bsc#1012628).
  - vDPA/ifcvf: ifcvf_request_irq works on ifcvf_hw (bsc#1012628).
  - vDPA/ifcvf: decouple config/dev IRQ requester and vectors
    allocator from the adapter (bsc#1012628).
  - vDPA/ifcvf: decouple vq irq requester from the adapter
    (bsc#1012628).
  - vDPA/ifcvf: decouple config IRQ releaser from the adapter
    (bsc#1012628).
  - vDPA/ifcvf: decouple vq IRQ releasers from the adapter
    (bsc#1012628).
  - vDPA/ifcvf: alloc the mgmt_dev before the adapter (bsc#1012628).
  - vDPA/ifcvf: decouple config space ops from the adapter
    (bsc#1012628).
  - vDPA/ifcvf: decouple hw features manipulators from the adapter
    (bsc#1012628).
  - x86/resctl: fix scheduler confusion with 'current'
    (bsc#1012628).
  - net: tls: avoid hanging tasks on the tx_lock (bsc#1012628).
  - eth: fealnx: bring back this old driver (bsc#1012628).
  - Update config files. (set to pre-6.2 state)
  - soundwire: cadence: Drain the RX FIFO after an IO timeout
    (bsc#1012628).
  - soundwire: cadence: Remove wasted space in response_buf
    (bsc#1012628).
  - RDMA/cma: Distinguish between sockaddr_in and sockaddr_in6 by
    size (bsc#1012628).
  - phy: rockchip-typec: Fix unsigned comparison with less than zero
    (bsc#1012628).
  - PCI: pciehp: Add Qualcomm quirk for Command Completed erratum
    (bsc#1012628).
  - PCI: Add ACS quirk for Wangxun NICs (bsc#1012628).
  - PCI: loongson: Add more devices that need MRRS quirk
    (bsc#1012628).
  - kernel/fail_function: fix memory leak with using
    debugfs_lookup() (bsc#1012628).
  - drivers: base: dd: fix memory leak with using debugfs_lookup()
    (bsc#1012628).
  - drivers: base: component: fix memory leak with using
    debugfs_lookup() (bsc#1012628).
  - misc: vmw_balloon: fix memory leak with using debugfs_lookup()
    (bsc#1012628).
  - tty: pcn_uart: fix memory leak with using debugfs_lookup()
    (bsc#1012628).
  - PCI: Distribute available resources for root buses, too
    (bsc#1012628).
  - PCI: Take other bus devices into account when distributing
    resources (bsc#1012628).
  - PCI: Align extra resources for hotplug bridges properly
    (bsc#1012628).
  - usb: gadget: uvc: Make bSourceID read/write (bsc#1012628).
  - usb: uvc: Enumerate valid values for color matching
    (bsc#1012628).
  - USB: ene_usb6250: Allocate enough memory for full object
    (bsc#1012628).
  - usb: host: xhci: mvebu: Iterate over array indexes instead of
    using pointer math (bsc#1012628).
  - USB: gadget: pxa27x_udc: fix memory leak with using
    debugfs_lookup() (bsc#1012628).
  - USB: gadget: pxa25x_udc: fix memory leak with using
    debugfs_lookup() (bsc#1012628).
  - USB: gadget: lpc32xx_udc: fix memory leak with using
    debugfs_lookup() (bsc#1012628).
  - USB: gadget: bcm63xx_udc: fix memory leak with using
    debugfs_lookup() (bsc#1012628).
  - USB: gadget: gr_udc: fix memory leak with using debugfs_lookup()
    (bsc#1012628).
  - USB: isp1362: fix memory leak with using debugfs_lookup()
    (bsc#1012628).
  - USB: isp116x: fix memory leak with using debugfs_lookup()
    (bsc#1012628).
  - USB: fotg210: fix memory leak with using debugfs_lookup()
    (bsc#1012628).
  - USB: sl811: fix memory leak with using debugfs_lookup()
    (bsc#1012628).
  - USB: uhci: fix memory leak with using debugfs_lookup()
    (bsc#1012628).
  - USB: ULPI: fix memory leak with using debugfs_lookup()
    (bsc#1012628).
  - USB: chipidea: fix memory leak with using debugfs_lookup()
    (bsc#1012628).
  - USB: dwc3: fix memory leak with using debugfs_lookup()
    (bsc#1012628).
  - staging: pi433: fix memory leak with using debugfs_lookup()
    (bsc#1012628).
  - PCI: loongson: Prevent LS7A MRRS increases (bsc#1012628).
  - PCI/portdrv: Prevent LS7A Bus Master clearing on shutdown
    (bsc#1012628).
  - soundwire: bus_type: Avoid lockdep assert in sdw_drv_probe()
    (bsc#1012628).
  - media: uvcvideo: Add GUID for BGRA/X 8:8:8:8 (bsc#1012628).
  - iio: accel: mma9551_core: Prevent uninitialized variable in
    mma9551_read_config_word() (bsc#1012628).
  - iio: accel: mma9551_core: Prevent uninitialized variable in
    mma9551_read_status_word() (bsc#1012628).
  - bus: mhi: ep: Fix the debug message for
    MHI_PKT_TYPE_RESET_CHAN_CMD cmd (bsc#1012628).
  - tools/iio/iio_utils:fix memory leak (bsc#1012628).
  - mei: bus-fixup:upon error print return values of send and
    receive (bsc#1012628).
  - serial: sc16is7xx: setup GPIO controller later in probe
    (bsc#1012628).
  - tty: serial: fsl_lpuart: disable the CTS when send break signal
    (bsc#1012628).
  - tty: fix out-of-bounds access in tty_driver_lookup_tty()
    (bsc#1012628).
  - staging: emxx_udc: Add checks for dma_alloc_coherent()
    (bsc#1012628).
  - dt-bindings: usb: Add device id for Genesys Logic hub controller
    (bsc#1012628).
  - usb: fotg210: List different variants (bsc#1012628).
  - cacheinfo: Fix shared_cpu_map to handle shared caches at
    different levels (bsc#1012628).
  - USB: fix memory leak with using debugfs_lookup() (bsc#1012628).
  - media: uvcvideo: Silence memcpy() run-time false positive
    warnings (bsc#1012628).
  - media: uvcvideo: Quirk for autosuspend in Logitech B910 and C910
    (bsc#1012628).
  - media: uvcvideo: Handle errors from calls to usb_string
    (bsc#1012628).
  - media: uvcvideo: Handle cameras with invalid descriptors
    (bsc#1012628).
  - media: uvcvideo: Remove format descriptions (bsc#1012628).
  - PCI/ACPI: Account for _S0W of the target bridge in
    acpi_pci_bridge_d3() (bsc#1012628).
  - iommu: Remove deferred attach check from __iommu_detach_device()
    (bsc#1012628).
  - IB/hfi1: Update RMT size calculation (bsc#1012628).
  - mfd: arizona: Use pm_runtime_resume_and_get() to prevent refcnt
    leak (bsc#1012628).
  - bootconfig: Increase max nodes of bootconfig from 1024 to 8192
    for DCC support (bsc#1012628).
  - firmware/efi sysfb_efi: Add quirk for Lenovo IdeaPad Duet 3
    (bsc#1012628).
  - kernel/printk/index.c: fix memory leak with using
    debugfs_lookup() (bsc#1012628).
  - tracing: Add NULL checks for buffer in
    ring_buffer_free_read_page() (bsc#1012628).
  - cpufreq: apple-soc: Fix an IS_ERR() vs NULL check (bsc#1012628).
  - thermal: intel: BXT_PMIC: select REGMAP instead of depending
    on it (bsc#1012628).
  - thermal: intel: quark_dts: fix error pointer dereference
    (bsc#1012628).
  - ASoC: mediatek: mt8195: add missing initialization
    (bsc#1012628).
  - ASoC: zl38060 add gpiolib dependency (bsc#1012628).
  - nvme-fabrics: show well known discovery name (bsc#1012628).
  - nvme-tcp: don't access released socket during error recovery
    (bsc#1012628).
  - nvme: bring back auto-removal of deleted namespaces during
    sequential scan (bsc#1012628).
  - ASoC: apple: mca: Improve handling of unavailable DMA channels
    (bsc#1012628).
  - ASoC: apple: mca: Fix SERDES reset sequence (bsc#1012628).
  - ASoC: apple: mca: Fix final status read on SERDES reset
    (bsc#1012628).
  - ASoC: adau7118: don't disable regulators on device unbind
    (bsc#1012628).
  - loop: loop_set_status_from_info() check before assignment
    (bsc#1012628).
  - io_uring: fix size calculation when registering buf ring
    (bsc#1012628).
  - rtc: allow rtc_read_alarm without read_alarm callback
    (bsc#1012628).
  - scsi: mpi3mr: Use number of bits to manage bitmap sizes
    (bsc#1012628).
  - scsi: mpi3mr: Fix an issue found by KASAN (bsc#1012628).
  - scsi: ipr: Work around fortify-string warning (bsc#1012628).
  - genirq/ipi: Fix NULL pointer deref in
    irq_data_get_affinity_mask() (bsc#1012628).
  - rtc: sun6i: Always export the internal oscillator (bsc#1012628).
  - spi: tegra210-quad: Fix iterator outside loop (bsc#1012628).
  - vc_screen: modify vcs_size() handling in vcs_read()
    (bsc#1012628).
  - tcp: tcp_check_req() can be called from process context
    (bsc#1012628).
  - ARM: dts: spear320-hmi: correct STMPE GPIO compatible
    (bsc#1012628).
  - ARM: dts: aspeed: p10bmc: Update battery node name
    (bsc#1012628).
  - net: dsa: felix: fix internal MDIO controller resource length
    (bsc#1012628).
  - net: dsa: seville: ignore mscc-miim read errors from Lynx PCS
    (bsc#1012628).
  - net/sched: act_sample: fix action bind logic (bsc#1012628).
  - net/sched: act_mpls: fix action bind logic (bsc#1012628).
  - net/sched: act_pedit: fix action bind logic (bsc#1012628).
  - net/sched: transition act_pedit to rcu and percpu stats
    (bsc#1012628).
  - nfc: fix memory leak of se_io context in nfc_genl_se_io
    (bsc#1012628).
  - ext4: fix incorrect options show of original mount_opt and
    extend mount_opt2 (bsc#1012628).
  - net/mlx5: Geneve, Fix handling of Geneve object id as error code
    (bsc#1012628).
  - net/mlx5e: Verify flow_source cap before using it (bsc#1012628).
  - net/mlx5: ECPF, wait for VF pages only after disabling host PFs
    (bsc#1012628).
  - mlx5: fix possible ptp queue fifo use-after-free (bsc#1012628).
  - mlx5: fix skb leak while fifo resync and push (bsc#1012628).
  - spi: tegra210-quad: Fix validate combined sequence
    (bsc#1012628).
  - 9p/rdma: unmap receive dma buffer in rdma_request()/post_recv()
    (bsc#1012628).
  - 9p/xen: fix connection sequence (bsc#1012628).
  - 9p/xen: fix version parsing (bsc#1012628).
  - net: fix __dev_kfree_skb_any() vs drop monitor (bsc#1012628).
  - octeontx2-pf: Use correct struct reference in test condition
    (bsc#1012628).
  - sctp: add a refcnt in sctp_stream_priorities to avoid a nested
    loop (bsc#1012628).
  - net: sunhme: Fix region request (bsc#1012628).
  - octeontx2-pf: Recalculate UDP checksum for ptp 1-step sync
    packet (bsc#1012628).
  - drm/i915/xelpmp: Consider GSI offset when doing MCR lookups
    (bsc#1012628).
  - ipv6: Add lwtunnel encap size of all siblings in nexthop
    calculation (bsc#1012628).
  - drm/i915: move a Kconfig symbol to unbreak the menu presentation
    (bsc#1012628).
  - Update config files.
  - ptp: vclock: use mutex to fix "sleep on atomic" bug
    (bsc#1012628).
  - swiotlb: mark swiotlb_memblock_alloc() as __init (bsc#1012628).
  - netfilter: x_tables: fix percpu counter block leak on error
    path when creating new netns (bsc#1012628).
  - netfilter: ctnetlink: make event listener tracking global
    (bsc#1012628).
  - netfilter: xt_length: use skb len to match in length_mt6
    (bsc#1012628).
  - netfilter: ebtables: fix table blob use-after-free
    (bsc#1012628).
  - netfilter: ip6t_rpfilter: Fix regression with VRF interfaces
    (bsc#1012628).
  - netfilter: conntrack: fix rmmod double-free race (bsc#1012628).
  - netfilter: ctnetlink: fix possible refcount leak in
    ctnetlink_create_conntrack() (bsc#1012628).
  - watchdog: sbsa_wdog: Make sure the timeout programming is
    within the limits (bsc#1012628).
  - watchdog: pcwd_usb: Fix attempting to access uninitialized
    memory (bsc#1012628).
  - watchdog: Fix kmemleak in watchdog_cdev_register (bsc#1012628).
  - watchdog: at91sam9_wdt: use devm_request_irq to avoid missing
    free_irq() in error path (bsc#1012628).
  - watchdog: rzg2l_wdt: Handle TYPE-B reset for RZ/V2M
    (bsc#1012628).
  - watchdog: rzg2l_wdt: Issue a reset before we put the PM clocks
    (bsc#1012628).
  - f2fs: synchronize atomic write aborts (bsc#1012628).
  - um: virt-pci: properly remove PCI device from bus (bsc#1012628).
  - um: virtio_uml: move device breaking into workqueue
    (bsc#1012628).
  - um: virtio_uml: mark device as unregistered when breaking it
    (bsc#1012628).
  - um: virtio_uml: free command if adding to virtqueue failed
    (bsc#1012628).
  - x86: um: vdso: Add '%rcx' and '%r11' to the syscall clobber list
    (bsc#1012628).
  - netfilter: nf_tables: allow to fetch set elements when table
    has an owner (bsc#1012628).
  - ext4: don't show commit interval if it is zero (bsc#1012628).
  - ext4: use ext4_fc_tl_mem in fast-commit replay path
    (bsc#1012628).
  - f2fs: fix to set ipu policy (bsc#1012628).
  - f2fs: introduce IS_F2FS_IPU_* macro (bsc#1012628).
  - soc: qcom: stats: Populate all subsystem debugfs files
    (bsc#1012628).
  - f2fs: fix to update age extent in f2fs_do_zero_range()
    (bsc#1012628).
  - f2fs: fix to update age extent correctly during truncation
    (bsc#1012628).
  - f2fs: fix to avoid potential memory corruption in
    __update_iostat_latency() (bsc#1012628).
  - f2fs: fix to handle F2FS_IOC_START_ATOMIC_REPLACE in
    f2fs_compat_ioctl() (bsc#1012628).
  - ubi: ubi_wl_put_peb: Fix infinite loop when wear-leveling work
    failed (bsc#1012628).
  - ubi: Fix UAF wear-leveling entry in eraseblk_count_seq_show()
    (bsc#1012628).
  - ubi: fastmap: Fix missed fm_anchor PEB in wear-leveling after
    disabling fastmap (bsc#1012628).
  - ubifs: ubifs_releasepage: Remove ubifs_assert(0) to valid this
    process (bsc#1012628).
  - ubifs: ubifs_writepage: Mark page dirty after writing inode
    failed (bsc#1012628).
  - ubifs: dirty_cow_znode: Fix memleak in error handling path
    (bsc#1012628).
  - ubifs: Re-statistic cleaned znode count if commit failed
    (bsc#1012628).
  - ubi: Fix possible null-ptr-deref in ubi_free_volume()
    (bsc#1012628).
  - ubifs: Fix memory leak in alloc_wbufs() (bsc#1012628).
  - ubi: Fix unreferenced object reported by kmemleak in
    ubi_resize_volume() (bsc#1012628).
  - ubi: Fix use-after-free when volume resizing failed
    (bsc#1012628).
  - ubifs: Reserve one leb for each journal head while doing budget
    (bsc#1012628).
  - ubifs: do_rename: Fix wrong space budget when target inode's
    nlink > 1 (bsc#1012628).
  - ubifs: Fix wrong dirty space budget for dirty inode
    (bsc#1012628).
  - ubifs: Rectify space budget for ubifs_xrename() (bsc#1012628).
  - ubifs: Rectify space budget for ubifs_symlink() if symlink is
    encrypted (bsc#1012628).
  - ubifs: Fix memory leak in ubifs_sysfs_init() (bsc#1012628).
  - ubifs: Fix build errors as symbol undefined (bsc#1012628).
  - ubi: ensure that VID header offset + VID header size <= alloc,
    size (bsc#1012628).
  - um: vector: Fix memory leak in vector_config (bsc#1012628).
  - f2fs: fix to abort atomic write only during do_exist()
    (bsc#1012628).
  - f2fs: allow set compression option of files without blocks
    (bsc#1012628).
  - fs: f2fs: initialize fsdata in pagecache_write() (bsc#1012628).
  - f2fs: fix to do sanity check on extent cache correctly
    (bsc#1012628).
  - soc: mediatek: mtk-svs: Use pm_runtime_resume_and_get() in
    svs_init01() (bsc#1012628).
  - soc: mediatek: mtk-svs: reset svs when svs_resume() fail
    (bsc#1012628).
  - soc: mediatek: mtk-svs: restore default voltages when
    svs_init02() fail (bsc#1012628).
  - f2fs: clear atomic_write_task in f2fs_abort_atomic_write()
    (bsc#1012628).
  - f2fs: introduce trace_f2fs_replace_atomic_write_block
    (bsc#1012628).
  - pwm: stm32-lp: fix the check on arr and cmp registers update
    (bsc#1012628).
  - pwm: sifive: Always let the first pwm_apply_state succeed
    (bsc#1012628).
  - soc: mediatek: mtk-svs: Enable the IRQ later (bsc#1012628).
  - memory: renesas-rpc-if: Move resource acquisition to .probe()
    (bsc#1012628).
  - memory: renesas-rpc-if: Split-off private data from struct rpcif
    (bsc#1012628).
  - soc: qcom: socinfo: Fix soc_id order (bsc#1012628).
  - soc: mediatek: mtk-pm-domains: Allow mt8186 ADSP default power
    on (bsc#1012628).
  - objtool: Fix memory leak in create_static_call_sections()
    (bsc#1012628).
  - f2fs: fix to avoid potential deadlock (bsc#1012628).
  - f2fs: don't rely on F2FS_MAP_* in f2fs_iomap_begin
    (bsc#1012628).
  - driver: soc: xilinx: fix memory leak in
    xlnx_add_cb_for_notify_event() (bsc#1012628).
  - fs/jfs: fix shift exponent db_agl2size negative (bsc#1012628).
  - auxdisplay: hd44780: Fix potential memory leak in
    hd44780_remove() (bsc#1012628).
  - net/sched: Retire tcindex classifier (bsc#1012628).
  - Update config files.
  - commit 72ee280
* Sat Mar 11 2023 jslaby@suse.cz
  - Linux 6.2.4 (bsc#1012628).
  - Revert "blk-cgroup: dropping parent refcount after pd_free_fn()
    is done" (bsc#1012628).
  - Revert "blk-cgroup: synchronize pd_free_fn() from
    blkg_free_workfn() and blkcg_deactivate_policy()" (bsc#1012628).
  - commit 0532a55
* Sat Mar 11 2023 jslaby@suse.cz
  - Update
    patches.kernel.org/6.2.3-264-tap-tap_open-correctly-initialize-socket-uid.patch
    (bsc#1012628 bsc#1208599 CVE-2023-1076).
    Add a CVE reference.
  - commit 99340b9
* Fri Mar 10 2023 mkubecek@suse.cz
  - series.conf: cleanup
  - move an unsortable patch out of sorted section
    patches.suse/powerpc-mm-Fix-false-detection-of-read-faults.patch
  - commit 60a3726
* Fri Mar 10 2023 msuchanek@suse.de
  - powerpc/mm: Fix false detection of read faults (bsc#1208864).
  - commit 6635b6f
* Fri Mar 10 2023 jslaby@suse.cz
  - Linux 6.2.3 (bsc#1012628).
  - HID: asus: use spinlock to protect concurrent accesses
    (bsc#1012628).
  - HID: asus: use spinlock to safely schedule workers
    (bsc#1012628).
  - iommu/amd: Fix error handling for pdev_pri_ats_enable()
    (bsc#1012628).
  - iommu/amd: Skip attach device domain is same as new domain
    (bsc#1012628).
  - iommu/amd: Improve page fault error reporting (bsc#1012628).
  - iommu: Attach device group to old domain in error path
    (bsc#1012628).
  - powerpc/mm: Rearrange if-else block to avoid clang warning
    (bsc#1012628).
  - ata: ahci: Revert "ata: ahci: Add Tiger Lake UP{3,4} AHCI
    controller" (bsc#1012628).
  - ARM: OMAP2+: Fix memory leak in realtime_counter_init()
    (bsc#1012628).
  - arm64: dts: qcom: qcs404: use symbol names for PCIe resets
    (bsc#1012628).
  - arm64: dts: qcom: msm8996-tone: Fix USB taking 6 minutes to
    wake up (bsc#1012628).
  - arm64: dts: qcom: sm6115: Fix UFS node (bsc#1012628).
  - arm64: dts: qcom: sm6115: Provide xo clk to rpmcc (bsc#1012628).
  - arm64: dts: qcom: sm8150-kumano: Panel framebuffer is 2.5k
    instead of 4k (bsc#1012628).
  - arm64: dts: qcom: pmi8950: Correct rev_1250v channel label to mv
    (bsc#1012628).
  - arm64: dts: qcom: sm6350: Fix up the ramoops node (bsc#1012628).
  - arm64: dts: qcom: sdm670-google-sargo: keep pm660 ldo8 on
    (bsc#1012628).
  - arm64: dts: qcom: Re-enable resin on MSM8998 and SDM845 boards
    (bsc#1012628).
  - arm64: dts: qcom: sm8350-sagami: Configure SLG51000 PMIC on
    PDX215 (bsc#1012628).
  - arm64: dts: qcom: sm8350-sagami: Add GPIO line names for PMIC
    GPIOs (bsc#1012628).
  - arm64: dts: qcom: sm8350-sagami: Rectify GPIO keys
    (bsc#1012628).
  - arm64: dts: qcom: sm6350-lena: Flatten gpio-keys pinctrl state
    (bsc#1012628).
  - arm64: dts: qcom: sm6125: Reorder HSUSB PHY clocks to match
    bindings (bsc#1012628).
  - arm64: dts: qcom: sm6125-seine: Clean up gpio-keys (volume down)
    (bsc#1012628).
  - arm64: dts: imx8m: Align SoC unique ID node unit address
    (bsc#1012628).
  - ARM: zynq: Fix refcount leak in zynq_early_slcr_init
    (bsc#1012628).
  - fs: dlm: fix return value check in dlm_memory_init()
    (bsc#1012628).
  - arm64: dts: mediatek: mt8195: Add power domain to U3PHY1 T-PHY
    (bsc#1012628).
  - arm64: dts: mediatek: mt8183: Fix systimer 13 MHz clock
    description (bsc#1012628).
  - arm64: dts: mediatek: mt8192: Fix systimer 13 MHz clock
    description (bsc#1012628).
  - arm64: dts: mediatek: mt8195: Fix systimer 13 MHz clock
    description (bsc#1012628).
  - arm64: dts: mediatek: mt8186: Fix systimer 13 MHz clock
    description (bsc#1012628).
  - arm64: dts: qcom: sdm845-db845c: fix audio codec interrupt
    pin name (bsc#1012628).
  - arm64: dts: qcom: sdm845-xiaomi-beryllium: fix audio codec
    interrupt pin name (bsc#1012628).
  - x86/acpi/boot: Do not register processors that cannot be
    onlined for x2APIC (bsc#1012628).
  - arm64: dts: qcom: sc7180: correct SPMI bus address cells
    (bsc#1012628).
  - arm64: dts: qcom: sc7280: correct SPMI bus address cells
    (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: correct SPMI bus address cells
    (bsc#1012628).
  - arm64: dts: qcom: sm8450: correct Soundwire wakeup interrupt
    name (bsc#1012628).
  - arm64: dts: qcom: sdm845: make DP node follow the schema
    (bsc#1012628).
  - arm64: dts: qcom: msm8996-oneplus-common: drop vdda-supply
    from DSI PHY (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: Vote for CX in USB controllers
    (bsc#1012628).
  - arm64: dts: meson-gxl: jethub-j80: Fix WiFi MAC address node
    (bsc#1012628).
  - arm64: dts: meson-gxl: jethub-j80: Fix Bluetooth MAC node name
    (bsc#1012628).
  - arm64: dts: meson-axg: jethub-j1xx: Fix MAC address node names
    (bsc#1012628).
  - arm64: dts: meson-gx: Fix Ethernet MAC address unit name
    (bsc#1012628).
  - arm64: dts: meson-g12a: Fix internal Ethernet PHY unit name
    (bsc#1012628).
  - arm64: dts: meson-gx: Fix the SCPI DVFS node name and unit
    address (bsc#1012628).
  - cpuidle, intel_idle: Fix CPUIDLE_FLAG_IRQ_ENABLE *again*
    (bsc#1012628).
  - arm64: dts: ti: k3-am62-main: Fix clocks for McSPI
    (bsc#1012628).
  - arm64: tegra: Fix duplicate regulator on Jetson TX1
    (bsc#1012628).
  - arm64: dts: qcom: msm8992-bullhead: Fix cont_splash_mem size
    (bsc#1012628).
  - arm64: dts: qcom: msm8992-bullhead: Disable dfps_data_mem
    (bsc#1012628).
  - arm64: dts: qcom: msm8956: use SoC-specific compat for tsens
    (bsc#1012628).
  - arm64: dts: qcom: ipq8074: correct USB3 QMP PHY-s clock output
    names (bsc#1012628).
  - arm64: dts: qcom: ipq8074: fix Gen2 PCIe QMP PHY (bsc#1012628).
  - arm64: dts: qcom: ipq8074: fix Gen3 PCIe QMP PHY (bsc#1012628).
  - arm64: dts: qcom: ipq8074: correct Gen2 PCIe ranges
    (bsc#1012628).
  - arm64: dts: qcom: ipq8074: fix Gen3 PCIe node (bsc#1012628).
  - arm64: dts: qcom: ipq8074: correct PCIe QMP PHY output clock
    names (bsc#1012628).
  - arm64: dts: meson: remove CPU opps below 1GHz for G12A boards
    (bsc#1012628).
  - ARM: OMAP1: call platform_device_put() in error case in
    omap1_dm_timer_init() (bsc#1012628).
  - arm64: dts: mediatek: mt8192: Mark scp_adsp clock as broken
    (bsc#1012628).
  - ARM: bcm2835_defconfig: Enable the framebuffer (bsc#1012628).
  - ARM: s3c: fix s3c64xx_set_timer_source prototype (bsc#1012628).
  - arm64: dts: ti: k3-j7200: Fix wakeup pinmux range (bsc#1012628).
  - ARM: dts: exynos: correct wr-active property in Exynos3250
    Rinato (bsc#1012628).
  - ARM: imx: Call ida_simple_remove() for ida_simple_get
    (bsc#1012628).
  - arm64: dts: amlogic: meson-gx: fix SCPI clock dvfs node name
    (bsc#1012628).
  - arm64: dts: amlogic: meson-axg: fix SCPI clock dvfs node name
    (bsc#1012628).
  - arm64: dts: amlogic: meson-gx: add missing SCPI sensors
    compatible (bsc#1012628).
  - arm64: dts: amlogic: meson-axg-jethome-jethub-j1xx: fix supply
    name of USB controller node (bsc#1012628).
  - arm64: dts: amlogic: meson-gxl-s905d-sml5442tw: drop invalid
    clock-names property (bsc#1012628).
  - arm64: dts: amlogic: meson-gx: add missing unit address to
    rng node name (bsc#1012628).
  - arm64: dts: amlogic: meson-gxl-s905w-jethome-jethub-j80:
    fix invalid rtc node name (bsc#1012628).
  - arm64: dts: amlogic: meson-axg-jethome-jethub-j1xx: fix invalid
    rtc node name (bsc#1012628).
  - arm64: dts: amlogic: meson-gxl: add missing unit address to
    eth-phy-mux node name (bsc#1012628).
  - arm64: dts: amlogic: meson-gx-libretech-pc: fix update button
    name (bsc#1012628).
  - arm64: dts: amlogic: meson-sm1-bananapi-m5: fix adc keys node
    names (bsc#1012628).
  - arm64: dts: amlogic: meson-gxl-s905d-phicomm-n1: fix led node
    name (bsc#1012628).
  - arm64: dts: amlogic: meson-gxbb-kii-pro: fix led node name
    (bsc#1012628).
  - arm64: dts: amlogic: meson-g12b-odroid-go-ultra: fix rk818
    pmic properties (bsc#1012628).
  - arm64: dts: amlogic: meson-sm1-odroid-hc4: fix active fan
    thermal trip (bsc#1012628).
  - locking/rwsem: Disable preemption in all down_read*() and
    up_read() code paths (bsc#1012628).
  - arm64: tegra: Mark host1x as dma-coherent on Tegra194/234
    (bsc#1012628).
  - arm64: dts: renesas: beacon-renesom: Fix gpio expander reference
    (bsc#1012628).
  - arm64: dts: meson: radxa-zero: allow usb otg mode (bsc#1012628).
  - arm64: dts: meson: bananapi-m5: switch VDDIO_C pin to OPEN_DRAIN
    (bsc#1012628).
  - ARM: dts: sun8i: nanopi-duo2: Fix regulator GPIO reference
    (bsc#1012628).
  - ublk_drv: remove nr_aborted_queues from ublk_device
    (bsc#1012628).
  - ublk_drv: don't probe partitions if the ubq daemon isn't trusted
    (bsc#1012628).
  - ARM: dts: imx7s: correct iomuxc gpr mux controller cells
    (bsc#1012628).
  - sbitmap: remove redundant check in __sbitmap_queue_get_batch
    (bsc#1012628).
  - sbitmap: correct wake_batch recalculation to avoid potential
    IO hung (bsc#1012628).
  - arm64: dts: mt8195: Fix CPU map for single-cluster SoC
    (bsc#1012628).
  - arm64: dts: mt8192: Fix CPU map for single-cluster SoC
    (bsc#1012628).
  - arm64: dts: mt8186: Fix CPU map for single-cluster SoC
    (bsc#1012628).
  - arm64: dts: mediatek: mt7622: Add missing pwm-cells to pwm node
    (bsc#1012628).
  - arm64: dts: mediatek: mt8186: Fix watchdog compatible
    (bsc#1012628).
  - arm64: dts: mediatek: mt8195: Fix watchdog compatible
    (bsc#1012628).
  - arm64: dts: mediatek: mt7986: Fix watchdog compatible
    (bsc#1012628).
  - ARM: dts: stm32: Update part number NVMEM description on
    stm32mp131 (bsc#1012628).
  - arm64: dts: qcom: sm8450-nagara: Correct firmware paths
    (bsc#1012628).
  - blk-mq: avoid sleep in blk_mq_alloc_request_hctx (bsc#1012628).
  - blk-mq: remove stale comment for blk_mq_sched_mark_restart_hctx
    (bsc#1012628).
  - blk-mq: wait on correct sbitmap_queue in blk_mq_mark_tag_wait
    (bsc#1012628).
  - blk-mq: Fix potential io hung for shared sbitmap per tagset
    (bsc#1012628).
  - blk-mq: correct stale comment of .get_budget (bsc#1012628).
  - arm64: dts: qcom: msm8996: support using GPLL0 as kryocc input
    (bsc#1012628).
  - arm64: dts: qcom: msm8996 switch from RPM_SMD_BB_CLK1 to
    RPM_SMD_XO_CLK_SRC (bsc#1012628).
  - arm64: dts: qcom: sm8350: drop incorrect cells from serial
    (bsc#1012628).
  - arm64: dts: qcom: sm8450: drop incorrect cells from serial
    (bsc#1012628).
  - arm64: dts: qcom: msm8992-lg-bullhead: Correct memory overlaps
    with the SMEM and MPSS memory regions (bsc#1012628).
  - arm64: dts: qcom: msm8953: correct TLMM gpio-ranges
    (bsc#1012628).
  - arm64: dts: qcom: sm6115: correct TLMM gpio-ranges
    (bsc#1012628).
  - arm64: dts: qcom: msm8992-lg-bullhead: Enable regulators
    (bsc#1012628).
  - s390/dasd: Fix potential memleak in dasd_eckd_init()
    (bsc#1012628).
  - io_uring,audit: don't log IORING_OP_MADVISE (bsc#1012628).
  - sched/rt: pick_next_rt_entity(): check list_entry (bsc#1012628).
  - perf/x86/intel/ds: Fix the conversion from TSC to perf time
    (bsc#1012628).
  - x86/perf/zhaoxin: Add stepping check for ZXC (bsc#1012628).
  - KEYS: asymmetric: Fix ECDSA use via keyctl uapi (bsc#1012628).
  - block: ublk: check IO buffer based on flag need_get_data
    (bsc#1012628).
  - arm64: dts: qcom: pmk8350: Use the correct PON compatible
    (bsc#1012628).
  - erofs: relinquish volume with mutex held (bsc#1012628).
  - block: sync mixed merged request's failfast with 1st bio's
    (bsc#1012628).
  - block: Fix io statistics for cgroup in throttle path
    (bsc#1012628).
  - block: bio-integrity: Copy flags when bio_integrity_payload
    is cloned (bsc#1012628).
  - block: use proper return value from bio_failfast()
    (bsc#1012628).
  - wifi: mt76: mt7915: add missing of_node_put() (bsc#1012628).
  - wifi: mt76: mt7921s: fix slab-out-of-bounds access in sdio host
    (bsc#1012628).
  - wifi: mt76: mt7915: fix mt7915_rate_txpower_get() resource leaks
    (bsc#1012628).
  - wifi: mt76: mt7996: fix insecure data handling of
    mt7996_mcu_ie_countdown() (bsc#1012628).
  - wifi: mt76: mt7996: fix insecure data handling of
    mt7996_mcu_rx_radar_detected() (bsc#1012628).
  - wifi: mt76: mt7996: fix integer handling issue of
    mt7996_rf_regval_set() (bsc#1012628).
  - wifi: mt76: mt7915: check return value before accessing
    free_block_num (bsc#1012628).
  - wifi: mt76: mt7996: check return value before accessing
    free_block_num (bsc#1012628).
  - wifi: mt76: mt7915: drop always true condition of
    __mt7915_reg_addr() (bsc#1012628).
  - wifi: mt76: mt7996: drop always true condition of
    __mt7996_reg_addr() (bsc#1012628).
  - wifi: mt76: mt7996: fix endianness warning in
    mt7996_mcu_sta_he_tlv (bsc#1012628).
  - wifi: mt76: mt76x0: fix oob access in
    mt76x0_phy_get_target_power (bsc#1012628).
  - wifi: mt76: mt7996: fix unintended sign extension of
    mt7996_hw_queue_read() (bsc#1012628).
  - wifi: mt76: mt7915: fix unintended sign extension of
    mt7915_hw_queue_read() (bsc#1012628).
  - wifi: mt76: fix coverity uninit_use_in_call in
    mt76_connac2_reverse_frag0_hdr_trans() (bsc#1012628).
  - wifi: mt76: mt7921: resource leaks at
    mt7921_check_offload_capability() (bsc#1012628).
  - wifi: rsi: Fix memory leak in rsi_coex_attach() (bsc#1012628).
  - wifi: rtlwifi: rtl8821ae: don't call kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - wifi: rtlwifi: rtl8188ee: don't call kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - wifi: rtlwifi: rtl8723be: don't call kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - wifi: iwlegacy: common: don't call dev_kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - wifi: libertas: fix memory leak in lbs_init_adapter()
    (bsc#1012628).
  - wifi: rtl8xxxu: Fix assignment to bit field priv->pi_enabled
    (bsc#1012628).
  - wifi: rtl8xxxu: Fix assignment to bit field
    priv->cck_agc_report_type (bsc#1012628).
  - wifi: rtl8xxxu: don't call dev_kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - wifi: rtw89: 8852c: rfk: correct DACK setting (bsc#1012628).
  - wifi: rtw89: 8852c: rfk: correct DPK settings (bsc#1012628).
  - wifi: rtlwifi: Fix global-out-of-bounds bug in
    _rtl8812ae_phy_set_txpower_limit() (bsc#1012628).
  - libbpf: Fix single-line struct definition output in btf_dump
    (bsc#1012628).
  - libbpf: Fix btf__align_of() by taking into account field offsets
    (bsc#1012628).
  - wifi: ipw2x00: don't call dev_kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - wifi: ipw2200: fix memory leak in ipw_wdev_init() (bsc#1012628).
  - wifi: wilc1000: fix potential memory leak in wilc_mac_xmit()
    (bsc#1012628).
  - wifi: wilc1000: add missing unregister_netdev() in
    wilc_netdev_ifc_init() (bsc#1012628).
  - wifi: brcmfmac: fix potential memory leak in
    brcmf_netdev_start_xmit() (bsc#1012628).
  - wifi: brcmfmac: unmap dma buffer in brcmf_msgbuf_alloc_pktid()
    (bsc#1012628).
  - wifi: libertas_tf: don't call kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - wifi: libertas: if_usb: don't call kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - wifi: libertas: main: don't call kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - wifi: libertas: cmdresp: don't call kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - wifi: wl3501_cs: don't call kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - libbpf: Fix invalid return address register in s390
    (bsc#1012628).
  - crypto: x86/ghash - fix unaligned access in ghash_setkey()
    (bsc#1012628).
  - crypto: ux500 - update debug config after ux500 cryp driver
    removal (bsc#1012628).
  - ACPICA: Drop port I/O validation for some regions (bsc#1012628).
  - genirq: Fix the return type of kstat_cpu_irqs_sum()
    (bsc#1012628).
  - rcu-tasks: Improve comments explaining tasks_rcu_exit_srcu
    purpose (bsc#1012628).
  - rcu-tasks: Remove preemption disablement around
    srcu_read_[un]lock() calls (bsc#1012628).
  - rcu-tasks: Fix synchronize_rcu_tasks() VS zap_pid_ns_processes()
    (bsc#1012628).
  - lib/mpi: Fix buffer overrun when SG is too long (bsc#1012628).
  - crypto: ccp - Avoid page allocation failure warning for
    SEV_GET_ID2 (bsc#1012628).
  - platform/chrome: cros_ec_typec: Update port DP VDO
    (bsc#1012628).
  - ACPICA: nsrepair: handle cases without a return value correctly
    (bsc#1012628).
  - libbpf: Fix map creation flags sanitization (bsc#1012628).
  - selftests/xsk: print correct payload for packet dump
    (bsc#1012628).
  - selftests/xsk: print correct error codes when exiting
    (bsc#1012628).
  - arm64/cpufeature: Fix field sign for DIT hwcap detection
    (bsc#1012628).
  - arm64/sysreg: Fix errors in 32 bit enumeration values
    (bsc#1012628).
  - kselftest/arm64: Fix syscall-abi for systems without 128 bit
    SME (bsc#1012628).
  - workqueue: Protects wq_unbound_cpumask with wq_pool_attach_mutex
    (bsc#1012628).
  - s390/early: fix sclp_early_sccb variable lifetime (bsc#1012628).
  - s390/vfio-ap: fix an error handling path in
    vfio_ap_mdev_probe_queue() (bsc#1012628).
  - x86/signal: Fix the value returned by strict_sas_size()
    (bsc#1012628).
  - thermal/drivers/tsens: Drop msm8976-specific defines
    (bsc#1012628).
  - thermal/drivers/tsens: Sort out msm8976 vs msm8956 data
    (bsc#1012628).
  - thermal/drivers/tsens: fix slope values for msm8939
    (bsc#1012628).
  - thermal/drivers/tsens: limit num_sensors to 9 for msm8939
    (bsc#1012628).
  - wifi: rtw89: fix potential leak in rtw89_append_probe_req_ie()
    (bsc#1012628).
  - wifi: rtw89: Add missing check for alloc_workqueue
    (bsc#1012628).
  - wifi: rtl8xxxu: Fix memory leaks with RTL8723BU, RTL8192EU
    (bsc#1012628).
  - wifi: orinoco: check return value of hermes_write_wordrec()
    (bsc#1012628).
  - wifi: rtw88: Use rtw_iterate_vifs() for rtw_vif_watch_dog_iter()
    (bsc#1012628).
  - wifi: rtw88: Use non-atomic sta iterator in
    rtw_ra_mask_info_update() (bsc#1012628).
  - thermal/drivers/imx_sc_thermal: Fix the loop condition
    (bsc#1012628).
  - wifi: ath9k: htc_hst: free skb in ath9k_htc_rx_msg() if there
    is no callback function (bsc#1012628).
  - wifi: ath9k: hif_usb: clean up skbs if ath9k_hif_usb_rx_stream()
    fails (bsc#1012628).
  - wifi: ath9k: Fix potential stack-out-of-bounds write in
    ath9k_wmi_rsp_callback() (bsc#1012628).
  - wifi: ath11k: Fix memory leak in ath11k_peer_rx_frag_setup
    (bsc#1012628).
  - wifi: cfg80211: Fix extended KCK key length check in
    nl80211_set_rekey_data() (bsc#1012628).
  - ACPI: battery: Fix missing NUL-termination with large strings
    (bsc#1012628).
  - selftests/bpf: Fix build errors if CONFIG_NF_CONNTRACK=m
    (bsc#1012628).
  - crypto: ccp - Failure on re-initialization due to duplicate
    sysfs filename (bsc#1012628).
  - crypto: essiv - Handle EBUSY correctly (bsc#1012628).
  - crypto: seqiv - Handle EBUSY correctly (bsc#1012628).
  - powercap: fix possible name leak in powercap_register_zone()
    (bsc#1012628).
  - bpf: Fix state pruning for STACK_DYNPTR stack slots
    (bsc#1012628).
  - bpf: Fix missing var_off check for ARG_PTR_TO_DYNPTR
    (bsc#1012628).
  - bpf: Fix partial dynptr stack slot reads/writes (bsc#1012628).
  - x86/microcode: Add a parameter to microcode_check() to store
    CPU capabilities (bsc#1012628).
  - x86/microcode: Check CPU capabilities after late microcode
    update correctly (bsc#1012628).
  - x86/microcode: Adjust late loading result reporting message
    (bsc#1012628).
  - net: ethernet: ti: am65-cpsw/cpts: Fix CPTS release action
    (bsc#1012628).
  - selftests/bpf: Fix vmtest static compilation error
    (bsc#1012628).
  - crypto: xts - Handle EBUSY correctly (bsc#1012628).
  - leds: led-class: Add missing put_device() to led_put()
    (bsc#1012628).
  - drm/nouveau/disp: Fix nvif_outp_acquire_dp() argument size
    (bsc#1012628).
  - s390/bpf: Add expoline to tail calls (bsc#1012628).
  - wifi: iwlwifi: mei: fix compilation errors in rfkill()
    (bsc#1012628).
  - kselftest/arm64: Fix enumeration of systems without 128 bit SME
    (bsc#1012628).
  - can: rcar_canfd: Fix R-Car V3U CAN mode selection (bsc#1012628).
  - can: rcar_canfd: Fix R-Car V3U GAFLCFG field accesses
    (bsc#1012628).
  - selftests/bpf: Initialize tc in xdp_synproxy (bsc#1012628).
  - crypto: ccp - Flush the SEV-ES TMR memory before giving it to
    firmware (bsc#1012628).
  - bpftool: profile online CPUs instead of possible (bsc#1012628).
  - wifi: mt76: mt7921: fix deadlock in mt7921_abort_roc
    (bsc#1012628).
  - wifi: mt76: mt7915: call mt7915_mcu_set_thermal_throttling()
    only after init_work (bsc#1012628).
  - wifi: mt76: mt7915: rework mt7915_mcu_set_thermal_throttling
    (bsc#1012628).
  - wifi: mt76: mt7915: rework mt7915_thermal_temp_store()
    (bsc#1012628).
  - wifi: mt76: mt7921: fix channel switch fail in monitor mode
    (bsc#1012628).
  - wifi: mt76: mt7996: fix chainmask calculation in
    mt7996_set_antenna() (bsc#1012628).
  - wifi: mt76: mt7996: update register for CFEND_RATE
    (bsc#1012628).
  - wifi: mt76: connac: fix POWER_CTRL command name typo
    (bsc#1012628).
  - wifi: mt76: mt7921: fix invalid remain_on_channel duration
    (bsc#1012628).
  - wifi: mt76: mt7915: fix memory leak in mt7915_mcu_exit
    (bsc#1012628).
  - wifi: mt76: mt7996: fix memory leak in mt7996_mcu_exit
    (bsc#1012628).
  - wifi: mt76: dma: fix memory leak running mt76_dma_tx_cleanup
    (bsc#1012628).
  - wifi: mt76: fix switch default case in
    mt7996_reverse_frag0_hdr_trans (bsc#1012628).
  - wifi: mt76: mt7915: fix WED TxS reporting (bsc#1012628).
  - wifi: mt76: add memory barrier to SDIO queue kick (bsc#1012628).
  - wifi: mt76: mt7921: fix error code of return in mt7921_acpi_read
    (bsc#1012628).
  - wifi: mt76: mt7996: rely on mt76_connac2_mac_tx_rate_val
    (bsc#1012628).
  - net/mlx5: Enhance debug print in page allocation failure
    (bsc#1012628).
  - irqchip: Fix refcount leak in platform_irqchip_probe
    (bsc#1012628).
  - irqchip/alpine-msi: Fix refcount leak in
    alpine_msix_init_domains (bsc#1012628).
  - irqchip/irq-mvebu-gicp: Fix refcount leak in mvebu_gicp_probe
    (bsc#1012628).
  - irqchip/ti-sci: Fix refcount leak in
    ti_sci_intr_irq_domain_probe (bsc#1012628).
  - s390/mem_detect: fix detect_memory() error handling
    (bsc#1012628).
  - s390/vmem: fix empty page tables cleanup under KASAN
    (bsc#1012628).
  - s390/boot: cleanup decompressor header files (bsc#1012628).
  - s390/mem_detect: rely on diag260() if sclp_early_get_memsize()
    fails (bsc#1012628).
  - s390/boot: fix mem_detect extended area allocation
    (bsc#1012628).
  - net: add sock_init_data_uid() (bsc#1012628).
  - tun: tun_chr_open(): correctly initialize socket uid
    (bsc#1012628).
  - tap: tap_open(): correctly initialize socket uid (bsc#1012628).
  - rxrpc: Fix overwaking on call poking (bsc#1012628).
  - OPP: fix error checking in opp_migrate_dentry() (bsc#1012628).
  - cpufreq: davinci: Fix clk use after free (bsc#1012628).
  - Bluetooth: hci_conn: Refactor hci_bind_bis() since it always
    succeeds (bsc#1012628).
  - Bluetooth: L2CAP: Fix potential user-after-free (bsc#1012628).
  - Bluetooth: hci_qca: get wakeup status from serdev device handle
    (bsc#1012628).
  - net: ipa: generic command param fix (bsc#1012628).
  - s390: vfio-ap: tighten the NIB validity check (bsc#1012628).
  - s390/ap: fix status returned by ap_aqic() (bsc#1012628).
  - s390/ap: fix status returned by ap_qact() (bsc#1012628).
  - libbpf: Fix alen calculation in libbpf_nla_dump_errormsg()
    (bsc#1012628).
  - xen/grant-dma-iommu: Implement a dummy probe_device() callback
    (bsc#1012628).
  - rds: rds_rm_zerocopy_callback() correct order for
    list_add_tail() (bsc#1012628).
  - crypto: rsa-pkcs1pad - Use akcipher_request_complete
    (bsc#1012628).
  - m68k: /proc/hardware should depend on PROC_FS (bsc#1012628).
  - RISC-V: time: initialize hrtimer based broadcast clock event
    device (bsc#1012628).
  - clocksource/drivers/riscv: Patch riscv_clock_next_event()
    jump before first use (bsc#1012628).
  - wifi: iwl3945: Add missing check for
    create_singlethread_workqueue (bsc#1012628).
  - wifi: iwl4965: Add missing check for
    create_singlethread_workqueue() (bsc#1012628).
  - wifi: brcmfmac: Rename Cypress 89459 to BCM4355 (bsc#1012628).
  - wifi: brcmfmac: pcie: Add IDs/properties for BCM4355
    (bsc#1012628).
  - wifi: brcmfmac: pcie: Add IDs/properties for BCM4377
    (bsc#1012628).
  - wifi: brcmfmac: pcie: Perform correct BCM4364 firmware selection
    (bsc#1012628).
  - wifi: mwifiex: fix loop iterator in
    mwifiex_update_ampdu_txwinsize() (bsc#1012628).
  - wifi: rtw89: fix parsing offset for MCC C2H (bsc#1012628).
  - selftests/bpf: Fix out-of-srctree build (bsc#1012628).
  - ACPI: resource: Add IRQ overrides for MAINGEAR Vector Pro 2
    models (bsc#1012628).
  - ACPI: resource: Do IRQ override on all TongFang GMxRGxx
    (bsc#1012628).
  - crypto: octeontx2 - Fix objects shared between several modules
    (bsc#1012628).
  - crypto: crypto4xx - Call dma_unmap_page when done (bsc#1012628).
  - vfio/ccw: remove WARN_ON during shutdown (bsc#1012628).
  - wifi: mac80211: move color collision detection report in a
    delayed work (bsc#1012628).
  - wifi: mac80211: make rate u32 in sta_set_rate_info_rx()
    (bsc#1012628).
  - wifi: mac80211: fix non-MLO station association (bsc#1012628).
  - wifi: mac80211: Don't translate MLD addresses for multicast
    (bsc#1012628).
  - wifi: mac80211: avoid u32_encode_bits() warning (bsc#1012628).
  - wifi: mac80211: fix off-by-one link setting (bsc#1012628).
  - tools/lib/thermal: Fix thermal_sampling_exit() (bsc#1012628).
  - thermal/drivers/hisi: Drop second sensor hi3660 (bsc#1012628).
  - selftests/bpf: Fix map_kptr test (bsc#1012628).
  - wifi: mac80211: pass 'sta' to ieee80211_rx_data_set_sta()
    (bsc#1012628).
  - bpf: Zeroing allocated object from slab in bpf memory allocator
    (bsc#1012628).
  - selftests/bpf: Fix xdp_do_redirect on s390x (bsc#1012628).
  - can: esd_usb: Move mislocated storage of SJA1000_ECC_SEG bits
    in case of a bus error (bsc#1012628).
  - can: esd_usb: Make use of can_change_state() and relocate
    checking skb for NULL (bsc#1012628).
  - xsk: check IFF_UP earlier in Tx path (bsc#1012628).
  - LoongArch, bpf: Use 4 instructions for function address in JIT
    (bsc#1012628).
  - bpf: Fix global subprog context argument resolution logic
    (bsc#1012628).
  - irqchip/irq-brcmstb-l2: Set IRQ_LEVEL for level triggered
    interrupts (bsc#1012628).
  - irqchip/irq-bcm7120-l2: Set IRQ_LEVEL for level triggered
    interrupts (bsc#1012628).
  - net/smc: fix potential panic dues to unprotected
    smc_llc_srv_add_link() (bsc#1012628).
  - net/smc: fix application data exception (bsc#1012628).
  - selftests/net: Interpret UDP_GRO cmsg data as an int value
    (bsc#1012628).
  - l2tp: Avoid possible recursive deadlock in
    l2tp_tunnel_register() (bsc#1012628).
  - net: bcmgenet: fix MoCA LED control (bsc#1012628).
  - net: lan966x: Fix possible deadlock inside PTP (bsc#1012628).
  - net/mlx4_en: Introduce flexible array to silence overflow
    warning (bsc#1012628).
  - net/mlx5e: Align IPsec ASO result memory to be as required by
    hardware (bsc#1012628).
  - selftest: fib_tests: Always cleanup before exit (bsc#1012628).
  - sefltests: netdevsim: wait for devlink instance after netns
    removal (bsc#1012628).
  - drm: Fix potential null-ptr-deref due to drmm_mode_config_init()
    (bsc#1012628).
  - drm/fourcc: Add missing big-endian XRGB1555 and RGB565 formats
    (bsc#1012628).
  - drm/bridge: ti-sn65dsi83: Fix delay after reset deassert to
    match spec (bsc#1012628).
  - drm: mxsfb: DRM_IMX_LCDIF should depend on ARCH_MXC
    (bsc#1012628).
  - drm: mxsfb: DRM_MXSFB should depend on ARCH_MXS || ARCH_MXC
    (bsc#1012628).
  - drm/bridge: megachips: Fix error handling in
    i2c_register_driver() (bsc#1012628).
  - drm/vkms: Fix memory leak in vkms_init() (bsc#1012628).
  - drm/vkms: Fix null-ptr-deref in vkms_release() (bsc#1012628).
  - drm/modes: Use strscpy() to copy command-line mode name
    (bsc#1012628).
  - drm/vc4: dpi: Fix format mapping for RGB565 (bsc#1012628).
  - drm/bridge: it6505: Guard bridge power in IRQ handler
    (bsc#1012628).
  - drm: tidss: Fix pixel format definition (bsc#1012628).
  - gpu: ipu-v3: common: Add of_node_put() for reference returned
    by of_graph_get_port_by_id() (bsc#1012628).
  - drm/ast: Init iosys_map pointer as I/O memory for damage
    handling (bsc#1012628).
  - drm/vc4: drop all currently held locks if deadlock happens
    (bsc#1012628).
  - hwmon: (ftsteutates) Fix scaling of measurements (bsc#1012628).
  - drm/msm/dpu: check for null return of devm_kzalloc() in
    dpu_writeback_init() (bsc#1012628).
  - drm/msm/hdmi: Add missing check for alloc_ordered_workqueue
    (bsc#1012628).
  - pinctrl: qcom: pinctrl-msm8976: Correct function names for
    wcss pins (bsc#1012628).
  - pinctrl: stm32: Fix refcount leak in stm32_pctrl_get_irq_domain
    (bsc#1012628).
  - pinctrl: rockchip: Fix refcount leak in
    rockchip_pinctrl_parse_groups (bsc#1012628).
  - drm/vc4: hvs: Configure the HVS COB allocations (bsc#1012628).
  - drm/vc4: hvs: Set AXI panic modes (bsc#1012628).
  - drm/vc4: hvs: SCALER_DISPBKGND_AUTOHS is only valid on HVS4
    (bsc#1012628).
  - drm/vc4: hvs: Correct interrupt masking bit assignment for HVS5
    (bsc#1012628).
  - drm/vc4: hvs: Fix colour order for xRGB1555 on HVS5
    (bsc#1012628).
  - drm/vc4: hdmi: Correct interlaced timings again (bsc#1012628).
  - drm/msm: clean event_thread->worker in case of an error
    (bsc#1012628).
  - drm/panel-edp: fix name for IVO product id 854b (bsc#1012628).
  - scsi: qla2xxx: Fix exchange oversubscription (bsc#1012628).
  - scsi: qla2xxx: Fix exchange oversubscription for management
    commands (bsc#1012628).
  - scsi: qla2xxx: edif: Fix clang warning (bsc#1012628).
  - ASoC: fsl_sai: initialize is_dsp_mode flag (bsc#1012628).
  - drm/bridge: tc358767: Set default CLRSIPO count (bsc#1012628).
  - drm/msm/adreno: Fix null ptr access in adreno_gpu_cleanup()
    (bsc#1012628).
  - ALSA: hda/ca0132: minor fix for allocation size (bsc#1012628).
  - drm/amdgpu: Use the sched from entity for amdgpu_cs trace
    (bsc#1012628).
  - drm/msm/gem: Add check for kmalloc (bsc#1012628).
  - drm/msm/dpu: Disallow unallocated resources to be returned
    (bsc#1012628).
  - drm/bridge: lt9611: fix sleep mode setup (bsc#1012628).
  - drm/bridge: lt9611: fix HPD reenablement (bsc#1012628).
  - drm/bridge: lt9611: fix polarity programming (bsc#1012628).
  - drm/bridge: lt9611: fix programming of video modes
    (bsc#1012628).
  - drm/bridge: lt9611: fix clock calculation (bsc#1012628).
  - drm/bridge: lt9611: pass a pointer to the of node (bsc#1012628).
  - regulator: tps65219: use IS_ERR() to detect an error pointer
    (bsc#1012628).
  - drm/mipi-dsi: Fix byte order of 16-bit DCS set/get brightness
    (bsc#1012628).
  - drm: exynos: dsi: Fix MIPI_DSI*_NO_* mode flags (bsc#1012628).
  - drm/msm/dsi: Allow 2 CTRLs on v2.5.0 (bsc#1012628).
  - scsi: ufs: exynos: Fix DMA alignment for PAGE_SIZE != 4096
    (bsc#1012628).
  - drm/msm/dpu: sc7180: add missing WB2 clock control
    (bsc#1012628).
  - drm/msm: use strscpy instead of strncpy (bsc#1012628).
  - drm/msm/dpu: Add check for cstate (bsc#1012628).
  - drm/msm/dpu: Add check for pstates (bsc#1012628).
  - drm/msm/mdp5: Add check for kzalloc (bsc#1012628).
  - habanalabs: bugs fixes in timestamps buff alloc (bsc#1012628).
  - pinctrl: bcm2835: Remove of_node_put() in
    bcm2835_of_gpio_ranges_fallback() (bsc#1012628).
  - pinctrl: mediatek: Initialize variable pullen and pullup to zero
    (bsc#1012628).
  - pinctrl: mediatek: Initialize variable *buf to zero
    (bsc#1012628).
  - gpu: host1x: Fix mask for syncpoint increment register
    (bsc#1012628).
  - gpu: host1x: Don't skip assigning syncpoints to channels
    (bsc#1012628).
  - drm/tegra: firewall: Check for is_addr_reg existence in IMM
    check (bsc#1012628).
  - drm/i915/mtl: Add initial gt workarounds (bsc#1012628).
  - drm/i915/xehp: GAM registers don't need to be re-applied on
    engine resets (bsc#1012628).
  - pinctrl: renesas: rzg2l: Fix configuring the GPIO pins as
    interrupts (bsc#1012628).
  - drm/i915/xehp: Annotate a couple more workaround registers as
    MCR (bsc#1012628).
  - drm/msm/dpu: set pdpu->is_rt_pipe early in
    dpu_plane_sspp_atomic_update() (bsc#1012628).
  - drm/mediatek: dsi: Reduce the time of dsi from LP11 to sending
    cmd (bsc#1012628).
  - drm/mediatek: Use NULL instead of 0 for NULL pointer
    (bsc#1012628).
  - drm/mediatek: Drop unbalanced obj unref (bsc#1012628).
  - drm/mediatek: mtk_drm_crtc: Add checks for devm_kcalloc
    (bsc#1012628).
  - drm/mediatek: Clean dangling pointer on bind error path
    (bsc#1012628).
  - ASoC: soc-compress.c: fixup private_data on
    snd_soc_new_compress() (bsc#1012628).
  - dt-bindings: display: mediatek: Fix the fallback for
    mediatek,mt8186-disp-ccorr (bsc#1012628).
  - gpio: pca9570: rename platform_data to chip_data (bsc#1012628).
  - gpio: vf610: connect GPIO label to dev name (bsc#1012628).
  - ASoC: topology: Properly access value coming from topology file
    (bsc#1012628).
  - spi: dw_bt1: fix MUX_MMIO dependencies (bsc#1012628).
  - ASoC: mchp-spdifrx: fix controls which rely on rsr register
    (bsc#1012628).
  - ASoC: mchp-spdifrx: fix return value in case completion times
    out (bsc#1012628).
  - ASoC: mchp-spdifrx: fix controls that works with completion
    mechanism (bsc#1012628).
  - ASoC: mchp-spdifrx: disable all interrupts in
    mchp_spdifrx_dai_remove() (bsc#1012628).
  - dm: improve shrinker debug names (bsc#1012628).
  - regmap: apply reg_base and reg_downshift for single register
    ops (bsc#1012628).
  - accel: fix CONFIG_DRM dependencies (bsc#1012628).
  - ASoC: rsnd: fixup #endif position (bsc#1012628).
  - ASoC: mchp-spdifrx: Fix uninitialized use of mr in
    mchp_spdifrx_hw_params() (bsc#1012628).
  - ASoC: dt-bindings: meson: fix gx-card codec node regex
    (bsc#1012628).
  - regulator: tps65219: use generic set_bypass() (bsc#1012628).
  - hwmon: (asus-ec-sensors) add missing mutex path (bsc#1012628).
  - hwmon: (ltc2945) Handle error case in ltc2945_value_store
    (bsc#1012628).
  - ALSA: hda: Fix the control element identification for multiple
    codecs (bsc#1012628).
  - drm/amdgpu: fix enum odm_combine_mode mismatch (bsc#1012628).
  - scsi: mpt3sas: Fix a memory leak (bsc#1012628).
  - scsi: aic94xx: Add missing check for dma_map_single()
    (bsc#1012628).
  - HID: multitouch: Add quirks for flipped axes (bsc#1012628).
  - HID: retain initial quirks set up when creating HID devices
    (bsc#1012628).
  - ASoC: qcom: q6apm-lpass-dai: unprepare stream if its already
    prepared (bsc#1012628).
  - ASoC: qcom: q6apm-dai: fix race condition while updating the
    position pointer (bsc#1012628).
  - ASoC: qcom: q6apm-dai: Add SNDRV_PCM_INFO_BATCH flag
    (bsc#1012628).
  - ASoC: codecs: lpass: register mclk after runtime pm
    (bsc#1012628).
  - ASoC: codecs: lpass: fix incorrect mclk rate (bsc#1012628).
  - drm/amd/display: don't call dc_interrupt_set() for disabled
    crtcs (bsc#1012628).
  - HID: logitech-hidpp: Hard-code HID++ 1.0 fast scroll support
    (bsc#1012628).
  - spi: bcm63xx-hsspi: Fix multi-bit mode setting (bsc#1012628).
  - hwmon: (mlxreg-fan) Return zero speed for broken fan
    (bsc#1012628).
  - ASoC: tlv320adcx140: fix 'ti,gpio-config' DT property init
    (bsc#1012628).
  - dm: remove flush_scheduled_work() during local_exit()
    (bsc#1012628).
  - nfs4trace: fix state manager flag printing (bsc#1012628).
  - NFS: fix disabling of swap (bsc#1012628).
  - drm/i915/pvc: Implement recommended caching policy
    (bsc#1012628).
  - drm/i915/pvc: Annotate two more workaround/tuning registers
    as MCR (bsc#1012628).
  - drm/i915: Fix GEN8_MISCCPCTL (bsc#1012628).
  - spi: synquacer: Fix timeout handling in
    synquacer_spi_transfer_one() (bsc#1012628).
  - ASoC: soc-dapm.h: fixup warning struct snd_pcm_substream not
    declared (bsc#1012628).
  - HID: bigben: use spinlock to protect concurrent accesses
    (bsc#1012628).
  - HID: bigben_worker() remove unneeded check on report_field
    (bsc#1012628).
  - HID: bigben: use spinlock to safely schedule workers
    (bsc#1012628).
  - hid: bigben_probe(): validate report count (bsc#1012628).
  - ALSA: hda/hdmi: Register with vga_switcheroo on Dual GPU
    Macbooks (bsc#1012628).
  - drm/shmem-helper: Fix locking for drm_gem_shmem_get_pages_sgt()
    (bsc#1012628).
  - NFSD: enhance inter-server copy cleanup (bsc#1012628).
  - NFSD: fix leaked reference count of nfsd4_ssc_umount_item
    (bsc#1012628).
  - nfsd: fix race to check ls_layouts (bsc#1012628).
  - nfsd: clean up potential nfsd_file refcount leaks in COPY
    codepath (bsc#1012628).
  - NFSD: fix problems with cleanup on errors in nfsd4_copy
    (bsc#1012628).
  - nfsd: fix courtesy client with deny mode handling in
    nfs4_upgrade_open (bsc#1012628).
  - nfsd: don't fsync nfsd_files on last close (bsc#1012628).
  - NFSD: copy the whole verifier in nfsd_copy_write_verifier
    (bsc#1012628).
  - cifs: Fix lost destroy smbd connection when MR allocate failed
    (bsc#1012628).
  - cifs: Fix warning and UAF when destroy the MR list
    (bsc#1012628).
  - cifs: use tcon allocation functions even for dummy tcon
    (bsc#1012628).
  - gfs2: jdata writepage fix (bsc#1012628).
  - perf llvm: Fix inadvertent file creation (bsc#1012628).
  - leds: led-core: Fix refcount leak in of_led_get() (bsc#1012628).
  - leds: is31fl319x: Wrap mutex_destroy() for
    devm_add_action_or_rest() (bsc#1012628).
  - leds: simatic-ipc-leds-gpio: Make sure we have the GPIO
    providing driver (bsc#1012628).
  - tools/tracing/rtla: osnoise_hist: use total duration for
    average calculation (bsc#1012628).
  - perf inject: Use perf_data__read() for auxtrace (bsc#1012628).
  - perf intel-pt: Do not try to queue auxtrace data on pipe
    (bsc#1012628).
  - perf stat: Hide invalid uncore event output for aggr mode
    (bsc#1012628).
  - perf jevents: Correct bad character encoding (bsc#1012628).
  - perf test bpf: Skip test if kernel-debuginfo is not present
    (bsc#1012628).
  - perf tools: Fix auto-complete on aarch64 (bsc#1012628).
  - perf stat: Avoid merging/aggregating metric counts twice
    (bsc#1012628).
  - sparc: allow PM configs for sparc32 COMPILE_TEST (bsc#1012628).
  - selftests: find echo binary to use -ne options (bsc#1012628).
  - selftests/ftrace: Fix bash specific "==" operator (bsc#1012628).
  - selftests: use printf instead of echo -ne (bsc#1012628).
  - perf record: Fix segfault with --overwrite and --max-size
    (bsc#1012628).
  - printf: fix errname.c list (bsc#1012628).
  - perf tests stat_all_metrics: Change true workload to sleep
    workload for system wide check (bsc#1012628).
  - objtool: add UACCESS exceptions for __tsan_volatile_read/write
    (bsc#1012628).
  - selftests/ftrace: Fix probepoint testcase to ignore __pfx_*
    symbols (bsc#1012628).
  - sysctl: fix proc_dobool() usability (bsc#1012628).
  - mfd: rk808: Re-add rk808-clkout to RK818 (bsc#1012628).
  - mfd: cs5535: Don't build on UML (bsc#1012628).
  - mfd: pcf50633-adc: Fix potential memleak in
    pcf50633_adc_async_read() (bsc#1012628).
  - dmaengine: idxd: Set traffic class values in GRPCFG on DSA 2.0
    (bsc#1012628).
  - RDMA/erdma: Fix refcount leak in erdma_mmap (bsc#1012628).
  - dmaengine: HISI_DMA should depend on ARCH_HISI (bsc#1012628).
  - RDMA/hns: Fix refcount leak in hns_roce_mmap (bsc#1012628).
  - iio: light: tsl2563: Do not hardcode interrupt trigger type
    (bsc#1012628).
  - usb: gadget: fusb300_udc: free irq on the error path in
    fusb300_probe() (bsc#1012628).
  - i2c: designware: fix i2c_dw_clk_rate() return size to be u32
    (bsc#1012628).
  - i2c: qcom-geni: change i2c_master_hub to static (bsc#1012628).
  - soundwire: cadence: Don't overflow the command FIFOs
    (bsc#1012628).
  - driver core: fix potential null-ptr-deref in device_add()
    (bsc#1012628).
  - kobject: Fix slab-out-of-bounds in fill_kobj_path()
    (bsc#1012628).
  - alpha/boot/tools/objstrip: fix the check for ELF header
    (bsc#1012628).
  - media: uvcvideo: Check for INACTIVE in uvc_ctrl_is_accessible()
    (bsc#1012628).
  - media: uvcvideo: Implement mask for V4L2_CTRL_TYPE_MENU
    (bsc#1012628).
  - media: uvcvideo: Refactor uvc_ctrl_mappings_uvcXX (bsc#1012628).
  - media: uvcvideo: Refactor power_line_frequency_controls_limited
    (bsc#1012628).
  - coresight: etm4x: Fix accesses to TRCSEQRSTEVR and TRCSEQSTR
    (bsc#1012628).
  - coresight: cti: Prevent negative values of enable count
    (bsc#1012628).
  - coresight: cti: Add PM runtime call in enable_store
    (bsc#1012628).
  - usb: typec: intel_pmc_mux: Don't leak the ACPI device reference
    count (bsc#1012628).
  - PCI/IOV: Enlarge virtfn sysfs name buffer (bsc#1012628).
  - PCI: switchtec: Return -EFAULT for copy_to_user() errors
    (bsc#1012628).
  - PCI: endpoint: pci-epf-vntb: Add epf_ntb_mw_bar_clear()
    num_mws kernel-doc (bsc#1012628).
  - hwtracing: hisi_ptt: Only add the supported devices to the
    filters list (bsc#1012628).
  - tty: serial: fsl_lpuart: disable Rx/Tx DMA in
    lpuart32_shutdown() (bsc#1012628).
  - tty: serial: fsl_lpuart: clear LPUART Status Register in
    lpuart32_shutdown() (bsc#1012628).
  - serial: tegra: Add missing clk_disable_unprepare() in
    tegra_uart_hw_init() (bsc#1012628).
  - Revert "char: pcmcia: cm4000_cs: Replace mdelay with
    usleep_range in set_protocol" (bsc#1012628).
  - eeprom: idt_89hpesx: Fix error handling in idt_init()
    (bsc#1012628).
  - applicom: Fix PCI device refcount leak in applicom_init()
    (bsc#1012628).
  - firmware: stratix10-svc: add missing gen_pool_destroy() in
    stratix10_svc_drv_probe() (bsc#1012628).
  - firmware: stratix10-svc: fix error handle while alloc/add
    device failed (bsc#1012628).
  - VMCI: check context->notify_page after call to
    get_user_pages_fast() to avoid GPF (bsc#1012628).
  - mei: pxp: Use correct macros to initialize uuid_le
    (bsc#1012628).
  - misc/mei/hdcp: Use correct macros to initialize uuid_le
    (bsc#1012628).
  - misc: fastrpc: Fix an error handling path in
    fastrpc_rpmsg_probe() (bsc#1012628).
  - iommu/exynos: Fix error handling in exynos_iommu_init()
    (bsc#1012628).
  - driver core: fix resource leak in device_add() (bsc#1012628).
  - driver core: location: Free struct acpi_pld_info *pld before
    return false (bsc#1012628).
  - drivers: base: transport_class: fix possible memory leak
    (bsc#1012628).
  - drivers: base: transport_class: fix resource leak when
    transport_add_device() fails (bsc#1012628).
  - firmware: dmi-sysfs: Fix null-ptr-deref in
    dmi_sysfs_register_handle (bsc#1012628).
  - selftests: iommu: Fix test_cmd_destroy_access() call in
    user_copy (bsc#1012628).
  - iommufd: Add three missing structures in ucmd_buffer
    (bsc#1012628).
  - fotg210-udc: Add missing completion handler (bsc#1012628).
  - dmaengine: dw-edma: Fix missing src/dst address of interleaved
    xfers (bsc#1012628).
  - fpga: microchip-spi: move SPI I/O buffers out of stack
    (bsc#1012628).
  - fpga: microchip-spi: rewrite status polling in a time measurable
    way (bsc#1012628).
  - usb: early: xhci-dbc: Fix a potential out-of-bound memory access
    (bsc#1012628).
  - tty: serial: fsl_lpuart: Fix the wrong RXWATER setting for rx
    dma case (bsc#1012628).
  - RDMA/cxgb4: add null-ptr-check after ip_dev_find()
    (bsc#1012628).
  - usb: musb: mediatek: don't unregister something that wasn't
    registered (bsc#1012628).
  - usb: gadget: configfs: Restrict symlink creation is UDC already
    binded (bsc#1012628).
  - phy: mediatek: remove temporary variable @mask_ (bsc#1012628).
  - PCI: mt7621: Delay phy ports initialization (bsc#1012628).
  - iommu/vt-d: Set No Execute Enable bit in PASID table entry
    (bsc#1012628).
  - power: supply: remove faulty cooling logic (bsc#1012628).
  - RDMA/siw: Fix user page pinning accounting (bsc#1012628).
  - RDMA/cxgb4: Fix potential null-ptr-deref in pass_establish()
    (bsc#1012628).
  - usb: max-3421: Fix setting of I/O pins (bsc#1012628).
  - RDMA/irdma: Cap MSIX used to online CPUs + 1 (bsc#1012628).
  - serial: fsl_lpuart: fix RS485 RTS polariy inverse issue
    (bsc#1012628).
  - tty: serial: imx: disable Ageing Timer interrupt request irq
    (bsc#1012628).
  - driver core: fw_devlink: Add DL_FLAG_CYCLE support to device
    links (bsc#1012628).
  - driver core: fw_devlink: Don't purge child fwnode's consumer
    links (bsc#1012628).
  - driver core: fw_devlink: Allow marking a fwnode link as being
    part of a cycle (bsc#1012628).
  - driver core: fw_devlink: Consolidate device link flag
    computation (bsc#1012628).
  - driver core: fw_devlink: Improve check for fwnode with no
    device/driver (bsc#1012628).
  - driver core: fw_devlink: Make cycle detection more robust
    (bsc#1012628).
  - mtd: mtdpart: Don't create platform device that'll never probe
    (bsc#1012628).
  - usb: host: fsl-mph-dr-of: reuse device_set_of_node_from_dev
    (bsc#1012628).
  - dmaengine: dw-edma: Fix readq_ch() return value truncation
    (bsc#1012628).
  - PCI: Fix dropping valid root bus resources with .end = zero
    (bsc#1012628).
  - phy: rockchip-typec: fix tcphy_get_mode error case
    (bsc#1012628).
  - PCI: qcom: Fix host-init error handling (bsc#1012628).
  - iw_cxgb4: Fix potential NULL dereference in
    c4iw_fill_res_cm_id_entry() (bsc#1012628).
  - iommu: Fix error unwind in iommu_group_alloc() (bsc#1012628).
  - iommu/amd: Do not identity map v2 capable device when snp is
    enabled (bsc#1012628).
  - dmaengine: sf-pdma: pdma_desc memory leak fix (bsc#1012628).
  - dmaengine: dw-axi-dmac: Do not dereference NULL structure
    (bsc#1012628).
  - dmaengine: ptdma: check for null desc before calling
    pt_cmd_callback (bsc#1012628).
  - iommu/vt-d: Fix error handling in sva enable/disable paths
    (bsc#1012628).
  - iommu/vt-d: Allow to use flush-queue when first level is default
    (bsc#1012628).
  - RDMA/rxe: Cleanup mr_check_range (bsc#1012628).
  - RDMA/rxe: Move rxe_map_mr_sg to rxe_mr.c (bsc#1012628).
  - RDMA-rxe: Isolate mr code from atomic_reply() (bsc#1012628).
  - RDMA-rxe: Isolate mr code from atomic_write_reply()
    (bsc#1012628).
  - RDMA/rxe: Cleanup page variables in rxe_mr.c (bsc#1012628).
  - RDMA/rxe: Replace rxe_map and rxe_phys_buf by xarray
    (bsc#1012628).
  - Subject: RDMA/rxe: Handle zero length rdma (bsc#1012628).
  - RDMA/mana_ib: Fix a bug when the PF indicates more entries
    for registering memory on first packet (bsc#1012628).
  - RDMA/rxe: Fix missing memory barriers in rxe_queue.h
    (bsc#1012628).
  - IB/hfi1: Fix math bugs in hfi1_can_pin_pages() (bsc#1012628).
  - IB/hfi1: Fix sdma.h tx->num_descs off-by-one errors
    (bsc#1012628).
  - Revert "remoteproc: qcom_q6v5_mss: map/unmap metadata region
    before/after use" (bsc#1012628).
  - remoteproc: qcom_q6v5_mss: Use a carveout to authenticate
    modem headers (bsc#1012628).
  - media: ti: cal: fix possible memory leak in cal_ctx_create()
    (bsc#1012628).
  - media: platform: ti: Add missing check for devm_regulator_get
    (bsc#1012628).
  - media: imx: imx7-media-csi: fix missing clk_disable_unprepare()
    in imx7_csi_init() (bsc#1012628).
  - powerpc: Remove linker flag from KBUILD_AFLAGS (bsc#1012628).
  - s390/vdso: Drop '-shared' from KBUILD_CFLAGS_64 (bsc#1012628).
  - builddeb: clean generated package content (bsc#1012628).
  - media: max9286: Fix memleak in max9286_v4l2_register()
    (bsc#1012628).
  - media: ov2740: Fix memleak in ov2740_init_controls()
    (bsc#1012628).
  - media: ov5675: Fix memleak in ov5675_init_controls()
    (bsc#1012628).
  - media: i2c: tc358746: fix missing return assignment
    (bsc#1012628).
  - media: i2c: tc358746: fix ignoring read error in g_register
    callback (bsc#1012628).
  - media: i2c: tc358746: fix possible endianness issue
    (bsc#1012628).
  - media: ov5640: Fix soft reset sequence and timings
    (bsc#1012628).
  - media: ov5640: Handle delays when no reset_gpio set
    (bsc#1012628).
  - media: mc: Get media_device directly from pad (bsc#1012628).
  - media: i2c: ov772x: Fix memleak in ov772x_probe() (bsc#1012628).
  - media: i2c: imx219: Split common registers from mode tables
    (bsc#1012628).
  - media: i2c: imx219: Fix binning for RAW8 capture (bsc#1012628).
  - media: platform: mtk-mdp3: Fix return value check in mdp_probe()
    (bsc#1012628).
  - media: camss: csiphy-3ph: avoid undefined behavior
    (bsc#1012628).
  - media: platform: mtk-mdp3: fix Kconfig dependencies
    (bsc#1012628).
  - media: v4l2-jpeg: correct the skip count in
    jpeg_parse_app14_data (bsc#1012628).
  - media: v4l2-jpeg: ignore the unknown APP14 marker (bsc#1012628).
  - media: hantro: Fix JPEG encoder ENUM_FRMSIZE on RK3399
    (bsc#1012628).
  - media: imx-jpeg: Apply clk_bulk api instead of operating
    specific clk (bsc#1012628).
  - media: amphion: correct the unspecified color space
    (bsc#1012628).
  - media: drivers/media/v4l2-core/v4l2-h264 : add detection of
    null pointers (bsc#1012628).
  - media: rc: Fix use-after-free bugs caused by ene_tx_irqsim()
    (bsc#1012628).
  - media: atomisp: fix videobuf2 Kconfig depenendency
    (bsc#1012628).
  - media: atomisp: Only set default_run_mode on first open of a
    stream/asd (bsc#1012628).
  - media: i2c: ov7670: 0 instead of -EINVAL was returned
    (bsc#1012628).
  - media: usb: siano: Fix use after free bugs caused by
    do_submit_urb (bsc#1012628).
  - media: saa7134: Use video_unregister_device for radio_dev
    (bsc#1012628).
  - rpmsg: glink: Avoid infinite loop on intent for missing channel
    (bsc#1012628).
  - rpmsg: glink: Release driver_override (bsc#1012628).
  - ARM: OMAP2+: omap4-common: Fix refcount leak bug (bsc#1012628).
  - arm64: dts: qcom: msm8996: Add additional A2NoC clocks
    (bsc#1012628).
  - udf: Define EFSCORRUPTED error code (bsc#1012628).
  - context_tracking: Fix noinstr vs KASAN (bsc#1012628).
  - exit: Detect and fix irq disabled state in oops (bsc#1012628).
  - ARM: dts: exynos: Use Exynos5420 compatible for the MIPI video
    phy (bsc#1012628).
  - fs: Use CHECK_DATA_CORRUPTION() when kernel bugs are detected
    (bsc#1012628).
  - blk-iocost: fix divide by 0 error in calc_lcoefs()
    (bsc#1012628).
  - blk-cgroup: dropping parent refcount after pd_free_fn() is done
    (bsc#1012628).
  - blk-cgroup: synchronize pd_free_fn() from blkg_free_workfn()
    and blkcg_deactivate_policy() (bsc#1012628).
  - trace/blktrace: fix memory leak with using debugfs_lookup()
    (bsc#1012628).
  - btrfs: scrub: improve tree block error reporting (bsc#1012628).
  - arm64: zynqmp: Enable hs termination flag for USB dwc3
    controller (bsc#1012628).
  - cpuidle, intel_idle: Fix CPUIDLE_FLAG_INIT_XSTATE (bsc#1012628).
  - x86/fpu: Don't set TIF_NEED_FPU_LOAD for PF_IO_WORKER threads
    (bsc#1012628).
  - cpuidle: drivers: firmware: psci: Dont instrument suspend code
    (bsc#1012628).
  - cpuidle: lib/bug: Disable rcu_is_watching() during WARN/BUG
    (bsc#1012628).
  - perf/x86/intel/uncore: Add Meteor Lake support (bsc#1012628).
  - wifi: ath9k: Fix use-after-free in ath9k_hif_usb_disconnect()
    (bsc#1012628).
  - wifi: ath11k: fix monitor mode bringup crash (bsc#1012628).
  - wifi: brcmfmac: Fix potential stack-out-of-bounds in
    brcmf_c_preinit_dcmds() (bsc#1012628).
  - rcu: Make RCU_LOCKDEP_WARN() avoid early lockdep checks
    (bsc#1012628).
  - rcu: Suppress smp_processor_id() complaint in
    synchronize_rcu_expedited_wait() (bsc#1012628).
  - srcu: Delegate work to the boot cpu if using SRCU_SIZE_SMALL
    (bsc#1012628).
  - rcu-tasks: Make rude RCU-Tasks work well with CPU hotplug
    (bsc#1012628).
  - rcu-tasks: Handle queue-shrink/callback-enqueue race condition
    (bsc#1012628).
  - wifi: ath11k: debugfs: fix to work with multiple PCI devices
    (bsc#1012628).
  - thermal: intel: Fix unsigned comparison with less than zero
    (bsc#1012628).
  - timers: Prevent union confusion from unexpected
    restart_syscall() (bsc#1012628).
  - x86/bugs: Reset speculation control settings on init
    (bsc#1012628).
  - bpftool: Always disable stack protection for BPF objects
    (bsc#1012628).
  - wifi: brcmfmac: ensure CLM version is null-terminated to
    prevent stack-out-of-bounds (bsc#1012628).
  - wifi: rtw89: fix assignation of TX BD RAM table (bsc#1012628).
  - wifi: mt7601u: fix an integer underflow (bsc#1012628).
  - inet: fix fast path in __inet_hash_connect() (bsc#1012628).
  - ice: restrict PTP HW clock freq adjustments to 100, 000,
    000 PPB (bsc#1012628).
  - ice: add missing checks for PF vsi type (bsc#1012628).
  - Compiler attributes: GCC cold function alignment workarounds
    (bsc#1012628).
  - ACPI: Don't build ACPICA with '-Os' (bsc#1012628).
  - bpf, docs: Fix modulo zero, division by zero, overflow, and
    underflow (bsc#1012628).
  - thermal: intel: intel_pch: Add support for Wellsburg PCH
    (bsc#1012628).
  - clocksource: Suspend the watchdog temporarily when high read
    latency detected (bsc#1012628).
  - crypto: hisilicon: Wipe entire pool on error (bsc#1012628).
  - net: bcmgenet: Add a check for oversized packets (bsc#1012628).
  - m68k: Check syscall_trace_enter() return code (bsc#1012628).
  - s390/mm,ptdump: avoid Kasan vs Memcpy Real markers swapping
    (bsc#1012628).
  - netfilter: nf_tables: NULL pointer dereference in
    nf_tables_updobj() (bsc#1012628).
  - can: isotp: check CAN address family in isotp_bind()
    (bsc#1012628).
  - gcc-plugins: drop -std=gnu++11 to fix GCC 13 build
    (bsc#1012628).
  - tools/power/x86/intel-speed-select: Add Emerald Rapid quirk
    (bsc#1012628).
  - platform/x86: dell-ddv: Add support for interface version 3
    (bsc#1012628).
  - wifi: mt76: dma: free rx_head in mt76_dma_rx_cleanup
    (bsc#1012628).
  - ACPI: video: Fix Lenovo Ideapad Z570 DMI match (bsc#1012628).
  - net/mlx5: fw_tracer: Fix debug print (bsc#1012628).
  - coda: Avoid partial allocation of sig_inputArgs (bsc#1012628).
  - uaccess: Add minimum bounds check on kernel buffer size
    (bsc#1012628).
  - s390/idle: mark arch_cpu_idle() noinstr (bsc#1012628).
  - time/debug: Fix memory leak with using debugfs_lookup()
    (bsc#1012628).
  - PM: domains: fix memory leak with using debugfs_lookup()
    (bsc#1012628).
  - PM: EM: fix memory leak with using debugfs_lookup()
    (bsc#1012628).
  - Bluetooth: Fix issue with Actions Semi ATS2851 based devices
    (bsc#1012628).
  - Bluetooth: btusb: Add new PID/VID 0489:e0f2 for MT7921
    (bsc#1012628).
  - Bluetooth: btusb: Add VID:PID 13d3:3529 for Realtek RTL8821CE
    (bsc#1012628).
  - wifi: rtw89: debug: avoid invalid access on RTW89_DBG_SEL_MAC_30
    (bsc#1012628).
  - hv_netvsc: Check status in SEND_RNDIS_PKT completion message
    (bsc#1012628).
  - s390/kfence: fix page fault reporting (bsc#1012628).
  - devlink: Fix TP_STRUCT_entry in trace of devlink health report
    (bsc#1012628).
  - scm: add user copy checks to put_cmsg() (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirk for Lenovo Yoga Tab
    3 X90F (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirk for DynaBook K50
    (bsc#1012628).
  - drm/amd/display: Reduce expected sdp bandwidth for dcn321
    (bsc#1012628).
  - drm/amd/display: Revert Reduce delay when sink device not able
    to ACK 00340h write (bsc#1012628).
  - drm/amd/display: Fix potential null-deref in dm_resume
    (bsc#1012628).
  - drm/omap: dsi: Fix excessive stack usage (bsc#1012628).
  - HID: Add Mapping for System Microphone Mute (bsc#1012628).
  - drm/tiny: ili9486: Do not assume 8-bit only SPI controllers
    (bsc#1012628).
  - drm/amd/display: Defer DIG FIFO disable after VID stream enable
    (bsc#1012628).
  - drm/radeon: free iio for atombios when driver shutdown
    (bsc#1012628).
  - drm/amd: Avoid BUG() for case of SRIOV missing IP version
    (bsc#1012628).
  - drm/amdkfd: Page aligned memory reserve size (bsc#1012628).
  - scsi: lpfc: Fix use-after-free KFENCE violation during sysfs
    firmware write (bsc#1012628).
  - Revert "fbcon: don't lose the console font across generic->chip
    driver switch" (bsc#1012628).
  - drm/amd: Avoid ASSERT for some message failures (bsc#1012628).
  - drm: amd: display: Fix memory leakage (bsc#1012628).
  - drm/amd/display: fix mapping to non-allocated address
    (bsc#1012628).
  - HID: uclogic: Add frame type quirk (bsc#1012628).
  - HID: uclogic: Add battery quirk (bsc#1012628).
  - HID: uclogic: Add support for XP-PEN Deco Pro SW (bsc#1012628).
  - HID: uclogic: Add support for XP-PEN Deco Pro MW (bsc#1012628).
  - drm/msm/dsi: Add missing check for alloc_ordered_workqueue
    (bsc#1012628).
  - drm: rcar-du: Add quirk for H3 ES1.x pclk workaround
    (bsc#1012628).
  - drm: rcar-du: Fix setting a reserved bit in DPLLCR
    (bsc#1012628).
  - drm/drm_print: correct format problem (bsc#1012628).
  - drm/amd/display: Set hvm_enabled flag for S/G mode
    (bsc#1012628).
  - drm/client: Test for connectors before sending hotplug event
    (bsc#1012628).
  - habanalabs: extend fatal messages to contain PCI info
    (bsc#1012628).
  - habanalabs: fix bug in timestamps registration code
    (bsc#1012628).
  - docs/scripts/gdb: add necessary make scripts_gdb step
    (bsc#1012628).
  - drm/msm/dpu: Add DSC hardware blocks to register snapshot
    (bsc#1012628).
  - ASoC: soc-compress: Reposition and add pcm_mutex (bsc#1012628).
  - ASoC: kirkwood: Iterate over array indexes instead of using
    pointer math (bsc#1012628).
  - regulator: max77802: Bounds check regulator id against opmode
    (bsc#1012628).
  - regulator: s5m8767: Bounds check id indexing into arrays
    (bsc#1012628).
  - Revert "drm/amdgpu: TA unload messages are not actually sent
    to psp when amdgpu is uninstalled" (bsc#1012628).
  - drm/amd/display: fix FCLK pstate change underflow (bsc#1012628).
  - gfs2: Improve gfs2_make_fs_rw error handling (bsc#1012628).
  - hwmon: (coretemp) Simplify platform device handling
    (bsc#1012628).
  - hwmon: (nct6775) Directly call ASUS ACPI WMI method
    (bsc#1012628).
  - hwmon: (nct6775) B650/B660/X670 ASUS boards support
    (bsc#1012628).
  - pinctrl: at91: use devm_kasprintf() to avoid potential leaks
    (bsc#1012628).
  - drm/amd/display: Do not commit pipe when updating DRR
    (bsc#1012628).
  - scsi: snic: Fix memory leak with using debugfs_lookup()
    (bsc#1012628).
  - scsi: ufs: core: Fix device management cmd timeout flow
    (bsc#1012628).
  - HID: logitech-hidpp: Don't restart communication if not
    necessary (bsc#1012628).
  - drm/amd/display: Enable P-state validation checks for DCN314
    (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirk for Lenovo IdeaPad
    Duet 3 10IGL5 (bsc#1012628).
  - drm/amd/display: Disable HUBP/DPP PG on DCN314 for now
    (bsc#1012628).
  - drm/amd/display: disable SubVP + DRR to prevent underflow
    (bsc#1012628).
  - dm thin: add cond_resched() to various workqueue loops
    (bsc#1012628).
  - dm cache: add cond_resched() to various workqueue loops
    (bsc#1012628).
  - nfsd: zero out pointers after putting nfsd_files on COPY setup
    error (bsc#1012628).
  - nfsd: don't hand out delegation on setuid files being opened
    for write (bsc#1012628).
  - cifs: prevent data race in smb2_reconnect() (bsc#1012628).
  - drm/i915/mtl: Correct implementation of Wa_18018781329
    (bsc#1012628).
  - drm/shmem-helper: Revert accidental non-GPL export
    (bsc#1012628).
  - driver core: fw_devlink: Avoid spurious error message
    (bsc#1012628).
  - wifi: rtl8xxxu: fixing transmisison failure for rtl8192eu
    (bsc#1012628).
  - firmware: coreboot: framebuffer: Ignore reserved pixel color
    bits (bsc#1012628).
  - block: don't allow multiple bios for IOCB_NOWAIT issue
    (bsc#1012628).
  - block: clear bio->bi_bdev when putting a bio back in the cache
    (bsc#1012628).
  - block: be a bit more careful in checking for NULL bdev while
    polling (bsc#1012628).
  - rtc: pm8xxx: fix set-alarm race (bsc#1012628).
  - ipmi: ipmb: Fix the MODULE_PARM_DESC associated to
    'retry_time_ms' (bsc#1012628).
  - ipmi:ssif: resend_msg() cannot fail (bsc#1012628).
  - ipmi_ssif: Rename idle state and check (bsc#1012628).
  - ipmi:ssif: Add a timer between request retries (bsc#1012628).
  - io_uring: Replace 0-length array with flexible array
    (bsc#1012628).
  - io_uring: use user visible tail in io_uring_poll()
    (bsc#1012628).
  - io_uring: handle TIF_NOTIFY_RESUME when checking for task_work
    (bsc#1012628).
  - io_uring: add a conditional reschedule to the IOPOLL cancelation
    loop (bsc#1012628).
  - io_uring: add reschedule point to handle_tw_list()
    (bsc#1012628).
  - io_uring/rsrc: disallow multi-source reg buffers (bsc#1012628).
  - io_uring: remove MSG_NOSIGNAL from recvmsg (bsc#1012628).
  - io_uring/poll: allow some retries for poll triggering spuriously
    (bsc#1012628).
  - io_uring: fix fget leak when fs don't support nowait buffered
    read (bsc#1012628).
  - s390/extmem: return correct segment type in __segment_load()
    (bsc#1012628).
  - s390: discard .interp section (bsc#1012628).
  - s390/ipl: add DEFINE_GENERIC_LOADPARM() (bsc#1012628).
  - s390/ipl: add loadparm parameter to eckd ipl/reipl data
    (bsc#1012628).
  - s390/kprobes: fix irq mask clobbering on kprobe reenter from
    post_handler (bsc#1012628).
  - s390/kprobes: fix current_kprobe never cleared after kprobes
    reenter (bsc#1012628).
  - KVM: s390: disable migration mode when dirty tracking is
    disabled (bsc#1012628).
  - cifs: improve checking of DFS links over
    STATUS_OBJECT_NAME_INVALID (bsc#1012628).
  - cifs: Fix uninitialized memory read in smb3_qfs_tcon()
    (bsc#1012628).
  - cifs: Fix uninitialized memory reads for oparms.mode
    (bsc#1012628).
  - cifs: fix mount on old smb servers (bsc#1012628).
  - cifs: introduce cifs_io_parms in smb2_async_writev()
    (bsc#1012628).
  - cifs: split out smb3_use_rdma_offload() helper (bsc#1012628).
  - cifs: don't try to use rdma offload on encrypted connections
    (bsc#1012628).
  - cifs: Check the lease context if we actually got a lease
    (bsc#1012628).
  - cifs: return a single-use cfid if we did not get a lease
    (bsc#1012628).
  - scsi: mpi3mr: Fix missing mrioc->evtack_cmds initialization
    (bsc#1012628).
  - scsi: mpi3mr: Fix issues in mpi3mr_get_all_tgt_info()
    (bsc#1012628).
  - scsi: mpi3mr: Remove unnecessary memcpy() to alltgt_info->dmi
    (bsc#1012628).
  - btrfs: hold block group refcount during async discard
    (bsc#1012628).
  - btrfs: sysfs: update fs features directory asynchronously
    (bsc#1012628).
  - locking/rwsem: Prevent non-first waiter from spinning in
    down_write() slowpath (bsc#1012628).
  - ksmbd: fix wrong data area length for smb2 lock request
    (bsc#1012628).
  - ksmbd: do not allow the actual frame length to be smaller than
    the rfc1002 length (bsc#1012628).
  - ksmbd: fix possible memory leak in smb2_lock() (bsc#1012628).
  - torture: Fix hang during kthread shutdown phase (bsc#1012628).
  - ARM: dts: exynos: correct HDMI phy compatible in Exynos4
    (bsc#1012628).
  - io_uring: mark task TASK_RUNNING before handling resume/task
    work (bsc#1012628).
  - hfs: fix missing hfs_bnode_get() in __hfs_bnode_create
    (bsc#1012628).
  - fs: hfsplus: fix UAF issue in hfsplus_put_super (bsc#1012628).
  - exfat: fix reporting fs error when reading dir beyond EOF
    (bsc#1012628).
  - exfat: fix unexpected EOF while reading dir (bsc#1012628).
  - exfat: redefine DIR_DELETED as the bad cluster number
    (bsc#1012628).
  - exfat: fix inode->i_blocks for non-512 byte sector size device
    (bsc#1012628).
  - fs: dlm: start midcomms before scand (bsc#1012628).
  - fs: dlm: fix use after free in midcomms commit (bsc#1012628).
  - fs: dlm: be sure to call dlm_send_queue_flush() (bsc#1012628).
  - fs: dlm: fix race setting stop tx flag (bsc#1012628).
  - fs: dlm: don't set stop rx flag after node reset (bsc#1012628).
  - fs: dlm: move sending fin message into state change handling
    (bsc#1012628).
  - fs: dlm: send FIN ack back in right cases (bsc#1012628).
  - f2fs: fix information leak in f2fs_move_inline_dirents()
    (bsc#1012628).
  - f2fs: retry to update the inode page given data corruption
    (bsc#1012628).
  - f2fs: fix cgroup writeback accounting with fs-layer encryption
    (bsc#1012628).
  - f2fs: fix kernel crash due to null io->bio (bsc#1012628).
  - f2fs: Revert "f2fs: truncate blocks in batch in
    __complete_revoke_list()" (bsc#1012628).
  - ocfs2: fix defrag path triggering jbd2 ASSERT (bsc#1012628).
  - ocfs2: fix non-auto defrag path not working issue (bsc#1012628).
  - fs/cramfs/inode.c: initialize file_ra_state (bsc#1012628).
  - selftests/landlock: Skip overlayfs tests when not supported
    (bsc#1012628).
  - selftests/landlock: Test ptrace as much as possible with Yama
    (bsc#1012628).
  - udf: Truncate added extents on failed expansion (bsc#1012628).
  - udf: Do not bother merging very long extents (bsc#1012628).
  - udf: Do not update file length for failed writes to inline files
    (bsc#1012628).
  - udf: Preserve link count of system files (bsc#1012628).
  - udf: Detect system inodes linked into directory hierarchy
    (bsc#1012628).
  - udf: Fix file corruption when appending just after end of
    preallocated extent (bsc#1012628).
  - md: don't update recovery_cp when curr_resync is ACTIVE
    (bsc#1012628).
  - KVM: Destroy target device if coalesced MMIO unregistration
    fails (bsc#1012628).
  - KVM: VMX: Fix crash due to uninitialized current_vmcs
    (bsc#1012628).
  - KVM: Register /dev/kvm as the _very_ last thing during
    initialization (bsc#1012628).
  - KVM: x86: Purge "highest ISR" cache when updating APICv state
    (bsc#1012628).
  - KVM: x86: Blindly get current x2APIC reg value on "nodecode
    write" traps (bsc#1012628).
  - KVM: x86: Don't inhibit APICv/AVIC on xAPIC ID "change" if
    APIC is disabled (bsc#1012628).
  - KVM: x86: Don't inhibit APICv/AVIC if xAPIC ID mismatch is
    due to 32-bit ID (bsc#1012628).
  - KVM: SVM: Flush the "current" TLB when activating AVIC
    (bsc#1012628).
  - KVM: SVM: Process ICR on AVIC IPI delivery failure due to
    invalid target (bsc#1012628).
  - KVM: SVM: Don't put/load AVIC when setting virtual APIC mode
    (bsc#1012628).
  - KVM: x86: Inject #GP if WRMSR sets reserved bits in APIC
    Self-IPI (bsc#1012628).
  - KVM: x86: Inject #GP on x2APIC WRMSR that sets reserved bits
    63:32 (bsc#1012628).
  - KVM: SVM: Fix potential overflow in SEV's
    send|receive_update_data() (bsc#1012628).
  - KVM: SVM: hyper-v: placate modpost section mismatch error
    (bsc#1012628).
  - selftests: x86: Fix incorrect kernel headers search path
    (bsc#1012628).
  - x86/virt: Force GIF=1 prior to disabling SVM (for reboot flows)
    (bsc#1012628).
  - x86/crash: Disable virt in core NMI crash handler to avoid
    double shootdown (bsc#1012628).
  - x86/reboot: Disable virtualization in an emergency if SVM is
    supported (bsc#1012628).
  - x86/reboot: Disable SVM, not just VMX, when stopping CPUs
    (bsc#1012628).
  - x86/kprobes: Fix __recover_optprobed_insn check optimizing logic
    (bsc#1012628).
  - x86/kprobes: Fix arch_check_optimized_kprobe check within
    optimized_kprobe range (bsc#1012628).
  - x86/microcode/amd: Remove load_microcode_amd()'s bsp parameter
    (bsc#1012628).
  - x86/microcode/AMD: Add a @cpu parameter to the reloading
    functions (bsc#1012628).
  - x86/microcode/AMD: Fix mixed steppings support (bsc#1012628).
  - x86/speculation: Allow enabling STIBP with legacy IBRS
    (bsc#1012628).
  - Documentation/hw-vuln: Document the interaction between IBRS
    and STIBP (bsc#1012628).
  - virt/sev-guest: Return -EIO if certificate buffer is not large
    enough (bsc#1012628).
  - brd: mark as nowait compatible (bsc#1012628).
  - brd: return 0/-error from brd_insert_page() (bsc#1012628).
  - brd: check for REQ_NOWAIT and set correct page allocation mask
    (bsc#1012628).
  - ima: fix error handling logic when file measurement failed
    (bsc#1012628).
  - ima: Align ima_file_mmap() parameters with mmap_file LSM hook
    (bsc#1012628).
  - selftests/powerpc: Fix incorrect kernel headers search path
    (bsc#1012628).
  - selftests/ftrace: Fix eprobe syntax test case to check filter
    support (bsc#1012628).
  - selftests: sched: Fix incorrect kernel headers search path
    (bsc#1012628).
  - selftests: core: Fix incorrect kernel headers search path
    (bsc#1012628).
  - selftests: pid_namespace: Fix incorrect kernel headers search
    path (bsc#1012628).
  - selftests: arm64: Fix incorrect kernel headers search path
    (bsc#1012628).
  - selftests: clone3: Fix incorrect kernel headers search path
    (bsc#1012628).
  - selftests: pidfd: Fix incorrect kernel headers search path
    (bsc#1012628).
  - selftests: membarrier: Fix incorrect kernel headers search path
    (bsc#1012628).
  - selftests: kcmp: Fix incorrect kernel headers search path
    (bsc#1012628).
  - selftests: media_tests: Fix incorrect kernel headers search path
    (bsc#1012628).
  - selftests: gpio: Fix incorrect kernel headers search path
    (bsc#1012628).
  - selftests: filesystems: Fix incorrect kernel headers search path
    (bsc#1012628).
  - selftests: user_events: Fix incorrect kernel headers search path
    (bsc#1012628).
  - selftests: ptp: Fix incorrect kernel headers search path
    (bsc#1012628).
  - selftests: sync: Fix incorrect kernel headers search path
    (bsc#1012628).
  - selftests: rseq: Fix incorrect kernel headers search path
    (bsc#1012628).
  - selftests: move_mount_set_group: Fix incorrect kernel headers
    search path (bsc#1012628).
  - selftests: mount_setattr: Fix incorrect kernel headers search
    path (bsc#1012628).
  - selftests: perf_events: Fix incorrect kernel headers search path
    (bsc#1012628).
  - selftests: ipc: Fix incorrect kernel headers search path
    (bsc#1012628).
  - selftests: futex: Fix incorrect kernel headers search path
    (bsc#1012628).
  - selftests: drivers: Fix incorrect kernel headers search path
    (bsc#1012628).
  - selftests: dmabuf-heaps: Fix incorrect kernel headers search
    path (bsc#1012628).
  - selftests: vm: Fix incorrect kernel headers search path
    (bsc#1012628).
  - selftests: seccomp: Fix incorrect kernel headers search path
    (bsc#1012628).
  - irqdomain: Fix association race (bsc#1012628).
  - irqdomain: Fix disassociation race (bsc#1012628).
  - irqdomain: Look for existing mapping only once (bsc#1012628).
  - irqdomain: Drop bogus fwspec-mapping error handling
    (bsc#1012628).
  - irqdomain: Refactor __irq_domain_alloc_irqs() (bsc#1012628).
  - irqdomain: Fix mapping-creation race (bsc#1012628).
  - irqdomain: Fix domain registration race (bsc#1012628).
  - crypto: qat - fix out-of-bounds read (bsc#1012628).
  - mm/damon/paddr: fix missing folio_put() (bsc#1012628).
  - ALSA: ice1712: Do not left ice->gpio_mutex locked in
    aureon_add_controls() (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for HP EliteDesk 800 G6 Tower PC
    (bsc#1012628).
  - jbd2: fix data missing when reusing bh which is ready to be
    checkpointed (bsc#1012628).
  - ext4: optimize ea_inode block expansion (bsc#1012628).
  - ext4: refuse to create ea block when umounted (bsc#1012628).
  - cxl/pmem: Fix nvdimm registration races (bsc#1012628).
  - Input: exc3000 - properly stop timer on shutdown (bsc#1012628).
  - mtd: spi-nor: sfdp: Fix index value for SCCR dwords
    (bsc#1012628).
  - mtd: spi-nor: spansion: Consider reserved bits in CFR5 register
    (bsc#1012628).
  - mtd: spi-nor: Fix shift-out-of-bounds in spi_nor_set_erase_type
    (bsc#1012628).
  - dm: send just one event on resize, not two (bsc#1012628).
  - dm: add cond_resched() to dm_wq_work() (bsc#1012628).
  - dm: add cond_resched() to dm_wq_requeue_work() (bsc#1012628).
  - wifi: rtw88: use RTW_FLAG_POWERON flag to prevent to power
    on/off twice (bsc#1012628).
  - wifi: rtl8xxxu: Use a longer retry limit of 48 (bsc#1012628).
  - wifi: ath11k: allow system suspend to survive ath11k
    (bsc#1012628).
  - wifi: cfg80211: Fix use after free for wext (bsc#1012628).
  - wifi: cfg80211: Set SSID if it is not already set (bsc#1012628).
  - cpuidle: add ARCH_SUSPEND_POSSIBLE dependencies (bsc#1012628).
  - qede: fix interrupt coalescing configuration (bsc#1012628).
  - thermal: intel: powerclamp: Fix cur_state for multi package
    system (bsc#1012628).
  - dm flakey: fix logic when corrupting a bio (bsc#1012628).
  - dm cache: free background tracker's queued work in
    btracker_destroy (bsc#1012628).
  - dm flakey: don't corrupt the zero page (bsc#1012628).
  - dm flakey: fix a bug with 32-bit highmem systems (bsc#1012628).
  - hwmon: (peci/cputemp) Fix off-by-one in coretemp_label
    allocation (bsc#1012628).
  - hwmon: (nct6775) Fix incorrect parenthesization in
    nct6775_write_fan_div() (bsc#1012628).
  - spi: intel: Check number of chip selects after reading the
    descriptor (bsc#1012628).
  - ARM: dts: qcom: sdx65: Add Qcom SMMU-500 as the fallback for
    IOMMU node (bsc#1012628).
  - ARM: dts: qcom: sdx55: Add Qcom SMMU-500 as the fallback for
    IOMMU node (bsc#1012628).
  - ARM: dts: exynos: correct TMU phandle in Exynos4210
    (bsc#1012628).
  - ARM: dts: exynos: correct TMU phandle in Exynos4 (bsc#1012628).
  - ARM: dts: exynos: correct TMU phandle in Odroid XU3 family
    (bsc#1012628).
  - ARM: dts: exynos: correct TMU phandle in Exynos5250
    (bsc#1012628).
  - ARM: dts: exynos: correct TMU phandle in Odroid XU
    (bsc#1012628).
  - ARM: dts: exynos: correct TMU phandle in Odroid HC1
    (bsc#1012628).
  - arm64: acpi: Fix possible memory leak of ffh_ctxt (bsc#1012628).
  - arm64: mm: hugetlb: Disable HUGETLB_PAGE_OPTIMIZE_VMEMMAP
    (bsc#1012628).
  - arm64: Reset KASAN tag in copy_highpage with HW tags only
    (bsc#1012628).
  - fuse: add inode/permission checks to fileattr_get/fileattr_set
    (bsc#1012628).
  - rbd: avoid use-after-free in do_rbd_add() when rbd_dev_create()
    fails (bsc#1012628).
  - ceph: update the time stamps and try to drop the suid/sgid
    (bsc#1012628).
  - regulator: core: Use ktime_get_boottime() to determine how
    long a regulator was off (bsc#1012628).
  - panic: fix the panic_print NMI backtrace setting (bsc#1012628).
  - mm/hwpoison: convert TTU_IGNORE_HWPOISON to TTU_HWPOISON
    (bsc#1012628).
  - genirq/msi, platform-msi: Ensure that MSI descriptors are
    unreferenced (bsc#1012628).
  - genirq/msi: Take the per-device MSI lock before validating
    the control structure (bsc#1012628).
  - spi: spi-sn-f-ospi: fix duplicate flag while assigning to
    mode_bits (bsc#1012628).
  - alpha: fix FEN fault handling (bsc#1012628).
  - dax/kmem: Fix leak of memory-hotplug resources (bsc#1012628).
  - mips: fix syscall_get_nr (bsc#1012628).
  - media: ipu3-cio2: Fix PM runtime usage_count in driver unbind
    (bsc#1012628).
  - remoteproc/mtk_scp: Move clk ops outside send_lock
    (bsc#1012628).
  - vfio: Fix NULL pointer dereference caused by uninitialized
    group->iommufd (bsc#1012628).
  - docs: gdbmacros: print newest record (bsc#1012628).
  - mm: memcontrol: deprecate charge moving (bsc#1012628).
  - mm/thp: check and bail out if page in deferred queue already
    (bsc#1012628).
  - ktest.pl: Give back console on Ctrt^C on monitor (bsc#1012628).
  - kprobes: Fix to handle forcibly unoptimized kprobes on
    freeing_list (bsc#1012628).
  - ktest.pl: Fix missing "end_monitor" when machine check fails
    (bsc#1012628).
  - ktest.pl: Add RUN_TIMEOUT option with default unlimited
    (bsc#1012628).
  - memory tier: release the new_memtier in
    find_create_memory_tier() (bsc#1012628).
  - ring-buffer: Handle race between rb_move_tail and rb_check_pages
    (bsc#1012628).
  - tools/bootconfig: fix single & used for logical condition
    (bsc#1012628).
  - tracing/eprobe: Fix to add filter on eprobe description in
    README file (bsc#1012628).
  - iommu/amd: Add a length limitation for the ivrs_acpihid
    command-line parameter (bsc#1012628).
  - scsi: aacraid: Allocate cmd_priv with scsicmd (bsc#1012628).
  - scsi: qla2xxx: Fix link failure in NPIV environment
    (bsc#1012628).
  - scsi: qla2xxx: Check if port is online before sending ELS
    (bsc#1012628).
  - scsi: qla2xxx: Fix DMA-API call trace on NVMe LS requests
    (bsc#1012628).
  - scsi: qla2xxx: Remove unintended flag clearing (bsc#1012628).
  - scsi: qla2xxx: Fix erroneous link down (bsc#1012628).
  - scsi: qla2xxx: Remove increment of interface err cnt
    (bsc#1012628).
  - scsi: ses: Don't attach if enclosure has no components
    (bsc#1012628).
  - scsi: ses: Fix slab-out-of-bounds in
    ses_enclosure_data_process() (bsc#1012628).
  - scsi: ses: Fix possible addl_desc_ptr out-of-bounds accesses
    (bsc#1012628).
  - scsi: ses: Fix possible desc_ptr out-of-bounds accesses
    (bsc#1012628).
  - scsi: ses: Fix slab-out-of-bounds in ses_intf_remove()
    (bsc#1012628).
  - RISC-V: add a spin_shadow_stack declaration (bsc#1012628).
  - riscv: Avoid enabling interrupts in die() (bsc#1012628).
  - riscv: mm: fix regression due to update_mmu_cache change
    (bsc#1012628).
  - riscv: jump_label: Fixup unaligned arch_static_branch function
    (bsc#1012628).
  - riscv: ftrace: Fixup panic by disabling preemption
    (bsc#1012628).
  - riscv, mm: Perform BPF exhandler fixup on page fault
    (bsc#1012628).
  - riscv: ftrace: Remove wasted nops for !RISCV_ISA_C
    (bsc#1012628).
  - riscv: ftrace: Reduce the detour code size to half
    (bsc#1012628).
  - MIPS: DTS: CI20: fix otg power gpio (bsc#1012628).
  - PCI/PM: Observe reset delay irrespective of bridge_d3
    (bsc#1012628).
  - PCI: Unify delay handling for reset and resume (bsc#1012628).
  - PCI: hotplug: Allow marking devices as disconnected during
    bind/unbind (bsc#1012628).
  - PCI: Avoid FLR for AMD FCH AHCI adapters (bsc#1012628).
  - PCI/DPC: Await readiness of secondary bus after reset
    (bsc#1012628).
  - bus: mhi: ep: Only send -ENOTCONN status if client driver is
    available (bsc#1012628).
  - bus: mhi: ep: Move chan->lock to the start of processing queued
    ch ring (bsc#1012628).
  - bus: mhi: ep: Save channel state locally during suspend and
    resume (bsc#1012628).
  - iommufd: Make sure to zero vfio_iommu_type1_info before copying
    to user (bsc#1012628).
  - iommufd: Do not add the same hwpt to the ioas->hwpt_list twice
    (bsc#1012628).
  - iommu/vt-d: Avoid superfluous IOTLB tracking in lazy mode
    (bsc#1012628).
  - iommu/vt-d: Fix PASID directory pointer coherency (bsc#1012628).
  - vfio/type1: exclude mdevs from VFIO_UPDATE_VADDR (bsc#1012628).
  - vfio/type1: prevent underflow of locked_vm via exec()
    (bsc#1012628).
  - vfio/type1: track locked_vm per dma (bsc#1012628).
  - vfio/type1: restore locked_vm (bsc#1012628).
  - drm/amd: Fix initialization for nbio 7.5.1 (bsc#1012628).
  - drm/i915/quirks: Add inverted backlight quirk for HP 14-r206nv
    (bsc#1012628).
  - drm/radeon: Fix eDP for single-display iMac11,2 (bsc#1012628).
  - drm/i915: Don't use stolen memory for ring buffers with LLC
    (bsc#1012628).
  - drm/i915: Don't use BAR mappings for ring buffers with LLC
    (bsc#1012628).
  - drm/gud: Fix UBSAN warning (bsc#1012628).
  - drm/edid: fix AVI infoframe aspect ratio handling (bsc#1012628).
  - drm/edid: fix parsing of 3D modes from HDMI VSDB (bsc#1012628).
  - qede: avoid uninitialized entries in coal_entry array
    (bsc#1012628).
  - brd: use radix_tree_maybe_preload instead of radix_tree_preload
    (bsc#1012628).
  - net: avoid double iput when sock_alloc_file fails (bsc#1012628).
  - Update config files.
  - commit ef7db20
* Thu Mar 09 2023 jslaby@suse.cz
  - Disable lockdown. (bsc#1209006 bsc#1211166)
    This somehow doesn't play good wrt to external modules.
    When all is ready again, we can revert this revert.
  - Disable lockdown. (bsc#1209006)
    This somehow doesn't play good wrt to external modules.
    When all is ready again, we can revert this revert.
  - commit 77c9b15
* Thu Mar 09 2023 jlee@suse.com
  - Update config files.
    Add the following config to x86_64, arm64 and i386.
    CONFIG_IMA_ARCH_POLICY=y
    CONFIG_IMA_SECURE_AND_OR_TRUSTED_BOOT=y
    This config be used to detect secure boot. (bsc#1209006)
  - commit 90a4659
* Wed Mar 08 2023 jlee@suse.com
  - KEYS: Make use of platform keyring for module signature verify
    (FATE#314508, FATE#316531, bsc#1209006).
  - commit 261191e
* Wed Mar 08 2023 msuchanek@suse.de
  - Do not sign the vanilla kernel (bsc#1209008).
  - commit cee4d89
* Tue Mar 07 2023 shung-hsi.yu@suse.com
  - rpm/group-source-files.pl: Deal with {pre,post}fixed / in location
    When the source file location provided with -L is either prefixed or
    postfixed with forward slash, the script get stuck in a infinite loop
    inside calc_dirs() where $path is an empty string.
    user@localhost:/tmp> perl "$HOME/group-source-files.pl" -D devel.files -N nondevel.files -L /usr/src/linux-5.14.21-150500.41/
    ...
    path = /usr/src/linux-5.14.21-150500.41/Documentation/Kconfig
    path = /usr/src/linux-5.14.21-150500.41/Documentation
    path = /usr/src/linux-5.14.21-150500.41
    path = /usr/src
    path = /usr
    path =
    path =
    path =
    ... # Stuck in an infinite loop
    This workarounds the issue by breaking out the loop once path is an
    empty string. For a proper fix we'd want something that
    filesystem-aware, but this workaround should be enough for the rare
    occation that this script is ran manually.
    Link: http://mailman.suse.de/mlarch/SuSE/kernel/2023/kernel.2023.03/msg00024.html
  - commit 6d65136
* Tue Mar 07 2023 mkubecek@suse.cz
  - cpumask: fix incorrect cpumask scanning result checks
    (https://lkml.iu.edu/hypermail/linux/kernel/2303.0/05801.html).
  - commit f6f6da4
* Mon Mar 06 2023 msuchanek@suse.de
  - kernel-module-subpackage: Fix expansion with -b parameter (bsc#1208179).
    When -b is specified the script is prefixed with KMP_NEEDS_MKINITRD=1
    which sets the variable for a simple command.
    However, the script is no longer a simple command. Export the variable
    instead.
  - commit 152a069
* Mon Mar 06 2023 mkubecek@suse.cz
  - Update to 6.3-rc1
  - drop 32 patches (30 stable, 2 mainline)
    - patches.kernel.org/*
    - patches.rpmify/bpf_doc-Fix-build-error-with-older-python-versions.patch
    - patches.suse/objtool-Check-that-module-init-exit-function-is-an-i.patch
  - refresh
    - patches.suse/add-suse-supported-flag.patch
    - patches.suse/vfs-add-super_operations-get_inode_dev
  - disable ARM architectures (need config update)
  - new config options
    - General setup
    - BOOT_CONFIG_FORCE=n
    - Memory Management options
    - ZSMALLOC_CHAIN_SIZE=8
    - Networking support
    - AF_RXRPC_INJECT_RX_DELAY=n
    - File systems
    - EROFS_FS_PCPU_KTHREAD=n
    - RPCSEC_GSS_KRB5_ENCTYPES_DES=n
    - RPCSEC_GSS_KRB5_ENCTYPES_AES_SHA1=y
    - RPCSEC_GSS_KRB5_ENCTYPES_CAMELLIA=y
    - RPCSEC_GSS_KRB5_ENCTYPES_AES_SHA2=y
    - Cryptographic API
    - CRYPTO_ARIA_AESNI_AVX2_X86_64=m
    - CRYPTO_ARIA_GFNI_AVX512_X86_64=m
    - Kernel hacking
    - NMI_CHECK_CPU=y
    - RCU_CPU_STALL_CPUTIME=y
    - TEST_DHRY=n
    - Serial ATA and Parallel ATA drivers (libata)
    - PATA_PARPORT renamed from PARIDE
    - PATA_PARPORT_* renamed from PARIDE_*
    - Network device support
    - NET_DSA_MICROCHIP_KSZ_PTP=y
    - NET_DSA_MSCC_OCELOT_EXT=m
    - NCN26000_PHY=m
    - ATH12K=m
    - ATH12K_DEBUG=n
    - ATH12K_TRACING=n
    - Character devices
    - SERIAL_8250_PCI1XXXX=y
    - SERIAL_8250_DFL=m
    - Power supply class support
    - CHARGER_RT9467=m
    - CHARGER_RT9471=m
    - Hardware Monitoring support
    - SENSORS_MC34VR500=m
    - SENSORS_MPQ7932=m
    - SENSORS_MPQ7932_REGULATOR=y
    - SENSORS_TDA38640=m
    - SENSORS_TDA38640_REGULATOR=y
    - Multifunction device drivers
    - MFD_INTEL_M10_BMC_SPI=n
    - MFD_INTEL_M10_BMC_PMCI=n
    - Multimedia support
    - VIDEO_IMX296=m
    - VIDEO_OV8858=m
    - Graphics support
    - DRM_PANEL_AUO_A030JTN01=n
    - DRM_PANEL_ORISETECH_OTA5601A=n
    - BACKLIGHT_KTZ8866=m
    - Compute Acceleration Framework
    - DRM_ACCEL_HABANALABS=m
    - DRM_ACCEL_IVPU=m
    - Sound card support
    - SND_HDA_CTL_DEV_ID=n
    - SND_SOC_AW88395=n
    - SND_SOC_CS42L42_SDW=m
    - SND_SOC_IDT821034=n
    - SND_SOC_PEB2466=n
    - SND_SOC_RT712_SDCA_SDW=m
    - SND_SOC_SMA1303=n
    - HID_SUPPORT=y
    - HID_SUPPORT=y
    - HID_EVISION=m
    - STEAM_FF=y
    - HID_BPF=y
    - I2C_HID=m
    - vDPA drivers
    - MLX5_VDPA_STEERING_DEBUG=n
    - SNET_VDPA=m
    - Industrial I/O support
    - TI_ADS7924=n
    - TI_LMP92064=n
    - MAX5522=n
    - TI_TMAG5273=n
    - Misc devices
    - REGULATOR_MAX20411=m
    - TYPEC_MUX_GPIO_SBU=m
    - XILINX_XDMA=m
    - CROS_EC_UART=m
    - INTEL_TPMI=m
    - INTEL_IOMMU_PERF_EVENTS=y
    - WPCM450_SOC=m
    - DEV_DAX_CXL=m
    - OF dependent (i386, ppc64/ppc64le, riscv64)
    - VIDEO_IMX415=m
    - DRM_PANEL_HIMAX_HX8394=n
    - DRM_PANEL_VISIONOX_VTDR6130=n
    - QCOM_PMIC_GLINK=m
    - BATTERY_QCOM_BATTMGR=m
    - ppc64le / ppc64
    - KCSAN=n
    - s390x
    - FPROBE=y
    - s390x/zfcpdump
    - GLOB_SELFTEST=n
    - riscv64
    - ARCH_SUNXI=y
    - RISCV_ISA_ZBB=y
    - SUN50I_DE2_BUS=n
    - SUNXI_RSB=m
    - MTD_NAND_SUNXI=m
    - AHCI_SUNXI=m
    - NET_VENDOR_ALLWINNER=y
    - SUN4I_EMAC=m
    - DWMAC_SUNXI=m
    - DWMAC_SUN8I=m
    - KEYBOARD_SUN4I_LRADC=m
    - TOUCHSCREEN_SUN4I=m
    - SERIO_SUN4I_PS2=m
    - SERIAL_EARLYCON_SEMIHOST=y
    - HW_RANDOM_JH7110=m
    - I2C_MV64XXX=m
    - SPI_SUN4I=m
    - SPI_SUN6I=m
    - PINCTRL_STARFIVE_JH7110_SYS=m
    - PINCTRL_STARFIVE_JH7110_AON=m
    - PINCTRL_SUN*=y
    - SUN8I_THERMAL=m
    - SUNXI_WATCHDOG=m
    - MFD_SUN4I_GPADC=n
    - MFD_AC100=n
    - MFD_AXP20X_RSB=n
    - MFD_SUN6I_PRCM=n
    - IR_SUNXI=m
    - DRM_SUN4I=n
    - SND_SUN4I_CODEC=m
    - SND_SUN4I_I2S=m
    - SND_SUN4I_SPDIF=m
    - SND_SUN50I_DMIC=m
    - MMC_DW_STARFIVE=m
    - MMC_SUNXI=m
    - RTC_DRV_SUN6I=n
    - DMA_SUN6I=m
    - VIDEO_SUNXI=y
    - VIDEO_SUNXI_CEDRUS=m
    - SUNXI_CCU=m
    - SUN20I_D1_CCU=m
    - SUN20I_D1_R_CCU=m
    - SUN6I_RTC_CCU=m
    - SUN8I_DE2_CCU=m
    - HWSPINLOCK_SUN6I=m
    - SUN6I_MSGBOX=m
    - SUN50I_IOMMU=y
    - JH71XX_PMU=n
    - SUN20I_PPU=n
    - ARM_SUN8I_A33_MBUS_DEVFREQ=m
    - PWM_SUN4I=m
    - PHY_SUN4I_USB=m
    - PHY_SUN6I_MIPI_DPHY=m
    - PHY_SUN9I_USB=m
    - PHY_SUN50I_USB3=m
    - NVMEM_SUNXI_SID=m
    - HUGETLB_PAGE_OPTIMIZE_VMEMMAP_DEFAULT_ON=n
    - CRYPTO_DEV_ALLWINNER=y
    - CRYPTO_DEV_SUN4I_SS=m
    - CRYPTO_DEV_SUN4I_SS_PRNG=y
    - CRYPTO_DEV_SUN4I_SS_DEBUG=n
    - CRYPTO_DEV_SUN8I_CE=m
    - CRYPTO_DEV_SUN8I_CE_DEBUG=n
    - CRYPTO_DEV_SUN8I_CE_HASH=y
    - CRYPTO_DEV_SUN8I_CE_PRNG=y
    - CRYPTO_DEV_SUN8I_CE_TRNG=y
    - CRYPTO_DEV_SUN8I_SS=m
    - CRYPTO_DEV_SUN8I_SS_DEBUG=n
    - CRYPTO_DEV_SUN8I_SS_PRNG=y
    - CRYPTO_DEV_SUN8I_SS_HASH=y
    - USB_MUSB_SUNXI=m
  - commit f3bbae4
* Fri Mar 03 2023 jslaby@suse.cz
  - Linux 6.2.2 (bsc#1012628).
  - USB: core: Don't hold device lock while reading the
    "descriptors" sysfs file (bsc#1012628).
  - usb: typec: pd: Remove usb_suspend_supported sysfs from sink
    PDO (bsc#1012628).
  - arm64: dts: uniphier: Fix property name in PXs3 USB node
    (bsc#1012628).
  - usb: gadget: u_serial: Add null pointer check in gserial_resume
    (bsc#1012628).
  - USB: serial: option: add support for VW/Skoda "Carstick LTE"
    (bsc#1012628).
  - usb: dwc3: pci: add support for the Intel Meteor Lake-M
    (bsc#1012628).
  - wifi: rtw88: usb: drop now unnecessary URB size check
    (bsc#1012628).
  - wifi: rtw88: usb: send Zero length packets if necessary
    (bsc#1012628).
  - wifi: rtw88: usb: Set qsel correctly (bsc#1012628).
  - scripts/tags.sh: fix incompatibility with PCRE2 (bsc#1012628).
  - drm/amd/display: Properly reuse completion structure
    (bsc#1012628).
  - drm/amd/display: Move DCN314 DOMAIN power control to DMCUB
    (bsc#1012628).
  - vc_screen: don't clobber return value in vcs_read (bsc#1012628).
  - bpf: bpf_fib_lookup should not return neigh in NUD_FAILED state
    (bsc#1012628).
  - crypto: arm64/sm4-gcm - Fix possible crash in GCM cryption
    (bsc#1012628).
  - ALSA: hda: cs35l41: Correct error condition handling
    (bsc#1012628).
  - commit ec730fa
* Wed Mar 01 2023 jslaby@suse.cz
  - Update config files. Disable old pcmcia drivers (bsc#1208780).
  - commit 789fdf4
* Wed Mar 01 2023 jslaby@suse.cz
  - Update config files. Disable old pcmcia socket drivers (bsc#1208780).
  - commit 27af844
* Wed Mar 01 2023 jslaby@suse.cz
  - Delete
    patches.suse/char-pcmcia-cm4000_cs-Fix-use-after-free-in-cm4000_f.patch.
  - Delete
    patches.suse/char-pcmcia-cm4040_cs-Fix-use-after-free-in-reader_f.patch.
  - Delete
    patches.suse/char-pcmcia-scr24x_cs-Fix-use-after-free-in-scr24x_f.patch.
    These drivers are now disabled, so remove the non-upstream patches. See
    bsc#1208775.
  - commit 03a39b9
* Wed Mar 01 2023 jslaby@suse.cz
  - Update config files. Disable char/pcmcia drivers (bsc#1208775).
  - commit a2a5aac
* Wed Mar 01 2023 jslaby@suse.cz
  - arm64: efi: Use SMBIOS processor ID to key off Altra quirk
    (bsc#1208750).
  - commit 533dcdd
* Tue Feb 28 2023 schwab@suse.de
  - config: riscv64: enable SPI_SPIDEV and SPI_SLAVE
  - commit 8cad76a
* Mon Feb 27 2023 jslaby@suse.cz
  - Linux 6.2.1 (bsc#1012628).
  - bpf: add missing header file include (bsc#1012628).
  - randstruct: disable Clang 15 support (bsc#1012628).
  - ext4: Fix function prototype mismatch for ext4_feat_ktype
    (bsc#1012628).
  - platform/x86: nvidia-wmi-ec-backlight: Add force module
    parameter (bsc#1012628).
  - platform/x86/amd/pmf: Add depends on CONFIG_POWER_SUPPLY
    (bsc#1012628).
  - audit: update the mailing list in MAINTAINERS (bsc#1012628).
  - wifi: mwifiex: Add missing compatible string for SD8787
    (bsc#1012628).
  - HID: mcp-2221: prevent UAF in delayed work (bsc#1012628).
  - x86/static_call: Add support for Jcc tail-calls (bsc#1012628).
  - x86/alternatives: Teach text_poke_bp() to patch Jcc.d32
    instructions (bsc#1012628).
  - x86/alternatives: Introduce int3_emulate_jcc() (bsc#1012628).
  - uaccess: Add speculation barrier to copy_from_user()
    (bsc#1012628).
  - commit 15796ef
* Fri Feb 24 2023 msuchanek@suse.de
  - Disable PS3 support
    The PS3 hardware cannot be used with up-to-date firmware.
  - commit 484fa63
* Fri Feb 24 2023 tzimmermann@suse.com
  - uvesafb: Disable fbdev driver (boo#1208662)
    A VESA-based driver. Dropped in favor of generic DRM drivers.
  - commit f0d0f1a
* Fri Feb 24 2023 tzimmermann@suse.com
  - ocfb: Disable fbdev driver (boo#1208660)
    The OpenCores fbdev driver is for an old homebrew chip design. Probably
    unused.
  - commit 00dd263
* Fri Feb 24 2023 tzimmermann@suse.com
  - udlfb: Disable fbdev driver (boo#1208658)
    We've long shipped the DRM-based udl driver, which handles the same
    devices.
  - commit 8a53173
* Fri Feb 24 2023 tzimmermann@suse.com
  - ssd1307fb: Replace with ssd130x (boo#1208656)
    Replace fbdev's ssd1307fb driver with the new DRM-based driver
    ssd130x. Adds support for SPI and Wayland-based userspace.
  - commit 1fe1b4c
* Fri Feb 24 2023 tzimmermann@suse.com
  - vfb: Disable fbdev driver (boo#1208646)
    The vfb fbdev driver is backed by system memory and only relevant for
    testing. Disable it. There is DRM's vkms, if a software-only driver is
    required.
  - commit b1c9331
* Fri Feb 24 2023 tzimmermann@suse.com
  - Disable gxt4500 fbdev driver (boo#1208642)
    The gxt4500 driver serves a 20yrs-old graphics hardware for
    IBM RS/6000 system. Probably not in use any longer.
  - commit 5313a19
* Mon Feb 20 2023 mkubecek@suse.cz
  - Update to 6.2 final
  - refresh configs
  - commit 28fe266
* Sat Feb 18 2023 jlee@suse.com
  - arm64: lock down kernel in secure boot mode (jsc#SLE-15020, bsc#1198101).
  - efi: Lock down the kernel at the integrity level if booted in
    secure boot mode (jsc#SLE-9870, bsc#1198101).
  - efi: Lock down the kernel if booted in secure boot mode
    (jsc#SLE-9870, bsc#1198101).
  - Update config files.
    - The shim for openSUSE Tumbleweed needs to be reviewed by upstream
      and signed by Microsoft. So we need to lockdown kernel on x86_64
      and arm64 because EFI secure boot.
    - We disable CONFIG_LOCK_DOWN_IN_EFI_SECURE_BOOT in other
      architectures.
  - efi: Add an EFI_SECURE_BOOT flag to indicate secure boot mode
    (jsc#SLE-9870, bsc#1198101).
  - security: lockdown: expose a hook to lock the kernel down
    (jsc#SLE-9870, bsc#1198101).
  - commit a7d5b50
* Thu Feb 16 2023 mkoutny@suse.com
  - Update config files.
    Disable CONFIG_BLK_CGROUP_IOPRIO.
    io.prio.class is a misdesigned mechanism that doesn't fit well with the
    cgroup (especially v2):
  - it's not properly hierarchical
    - cgroup-wise: parent cgroup has no contol over child cgroup
    - task-wise: priority impact outside of a cgroup (i.e. affects
      cousins competition)
  - it's not device dependent (device oblivious)
    Disable it in openSUSE Tumbleweed (and future products) so that we don't
    teach users to use it and force ourselves to support it.
  - commit 35713cd
* Wed Feb 15 2023 jslaby@suse.cz
  - Linux 6.1.12 (bsc#1012628).
  - Documentation/hw-vuln: Add documentation for Cross-Thread
    Return Predictions (bsc#1012628).
  - KVM: x86: Mitigate the cross-thread return address predictions
    bug (bsc#1012628).
  - x86/speculation: Identify processors vulnerable to SMT RSB
    predictions (bsc#1012628).
  - drm/i915: Fix VBT DSI DVO port handling (bsc#1012628).
  - drm/i915: Initialize the obj flags for shmem objects
    (bsc#1012628).
  - drm/i915: Move fd_install after last use of fence (bsc#1012628).
  - drm/amd/display: fix cursor offset on rotation 180
    (bsc#1012628).
  - drm/amd/display: properly handling AGP aperture in vm setup
    (bsc#1012628).
  - drm/amdgpu/smu: skip pptable init under sriov (bsc#1012628).
  - drm/amdgpu/fence: Fix oops due to non-matching drm_sched
    init/fini (bsc#1012628).
  - drm/amd/pm: bump SMU 13.0.7 driver_if header version
    (bsc#1012628).
  - drm/amdgpu: Add unique_id support for GC 11.0.1/2 (bsc#1012628).
  - drm/amd/pm: bump SMU 13.0.0 driver_if header version
    (bsc#1012628).
  - arm64: efi: Force the use of SetVirtualAddressMap() on eMAG
    and Altra Max machines (bsc#1012628).
  - Fix page corruption caused by racy check in __free_pages
    (bsc#1012628).
  - arm64: dts: meson-axg: Make mmc host controller interrupts
    level-sensitive (bsc#1012628).
  - arm64: dts: meson-g12-common: Make mmc host controller
    interrupts level-sensitive (bsc#1012628).
  - arm64: dts: meson-gx: Make mmc host controller interrupts
    level-sensitive (bsc#1012628).
  - rtmutex: Ensure that the top waiter is always woken up
    (bsc#1012628).
  - tracing: Fix TASK_COMM_LEN in trace event format file
    (bsc#1012628).
  - drm/amdgpu: Use the TGID for trace_amdgpu_vm_update_ptes
    (bsc#1012628).
  - powerpc/64s/interrupt: Fix interrupt exit race with security
    mitigation switch (bsc#1012628).
  - riscv: kprobe: Fixup misaligned load text (bsc#1012628).
  - riscv: Fixup race condition on PG_dcache_clean in
    flush_icache_pte (bsc#1012628).
  - nvdimm: Support sizeof(struct page) > MAX_STRUCT_PAGE_SIZE
    (bsc#1012628).
  - ceph: flush cap releases when the session is flushed
    (bsc#1012628).
  - drm/amd/pm: add SMU 13.0.7 missing GetPptLimit message mapping
    (bsc#1012628).
  - pinctrl: qcom: sm8450-lpass-lpi: correct swr_rx_data group
    (bsc#1012628).
  - clk: ingenic: jz4760: Update M/N/OD calculation algorithm
    (bsc#1012628).
  - cxl/region: Fix passthrough-decoder detection (bsc#1012628).
  - cxl/region: Fix null pointer dereference for resetting decoder
    (bsc#1012628).
  - usb: typec: altmodes/displayport: Fix probe pin assign check
    (bsc#1012628).
  - usb: core: add quirk for Alcor Link AK9563 smartcard reader
    (bsc#1012628).
  - btrfs: free device in btrfs_close_devices for a single device
    filesystem (bsc#1012628).
  - btrfs: simplify update of last_dir_index_offset when logging
    a directory (bsc#1012628).
  - selftests: mptcp: stop tests earlier (bsc#1012628).
  - selftests: mptcp: allow more slack for slow test-case
    (bsc#1012628).
  - mptcp: be careful on subflow status propagation on errors
    (bsc#1012628).
  - mptcp: do not wait for bare sockets' timeout (bsc#1012628).
  - net: USB: Fix wrong-direction WARNING in plusb.c (bsc#1012628).
  - cifs: Fix use-after-free in rdata->read_into_pages()
    (bsc#1012628).
  - pinctrl: intel: Restore the pins that used to be in Direct
    IRQ mode (bsc#1012628).
  - pinctrl: aspeed: Revert "Force to disable the function's signal"
    (bsc#1012628).
  - spi: dw: Fix wrong FIFO level setting for long xfers
    (bsc#1012628).
  - pinctrl: single: fix potential NULL dereference (bsc#1012628).
  - pinctrl: aspeed: Fix confusing types in return value
    (bsc#1012628).
  - pinctrl: mediatek: Fix the drive register definition of some
    Pins (bsc#1012628).
  - clk: microchip: mpfs-ccc: Use devm_kasprintf() for allocating
    formatted strings (bsc#1012628).
  - ASoC: topology: Return -ENOMEM on memory allocation failure
    (bsc#1012628).
  - ASoC: fsl_sai: fix getting version from VERID (bsc#1012628).
  - ASoC: tas5805m: add missing page switch (bsc#1012628).
  - ASoC: tas5805m: rework to avoid scheduling while atomic
    (bsc#1012628).
  - arm64: dts: mediatek: mt8195: Fix vdosys* compatible strings
    (bsc#1012628).
  - riscv: stacktrace: Fix missing the first frame (bsc#1012628).
  - ALSA: pci: lx6464es: fix a debug loop (bsc#1012628).
  - arm64: dts: rockchip: set sdmmc0 speed to sd-uhs-sdr50 on
    rock-3a (bsc#1012628).
  - arm64: dts: rockchip: fix input enable pinconf on rk3399
    (bsc#1012628).
  - selftests: forwarding: lib: quote the sysctl values
    (bsc#1012628).
  - net: mscc: ocelot: fix all IPv6 getting trapped to CPU when
    PTP timestamping is used (bsc#1012628).
  - rds: rds_rm_zerocopy_callback() use list_first_entry()
    (bsc#1012628).
  - selftests: Fix failing VXLAN VNI filtering test (bsc#1012628).
  - txhash: fix sk->sk_txrehash default (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix wrong parameters order in
    __xdp_rxq_info_reg() (bsc#1012628).
  - igc: Add ndo_tx_timeout support (bsc#1012628).
  - net/mlx5: Serialize module cleanup with reload and remove
    (bsc#1012628).
  - net/mlx5: fw_tracer, Zero consumer index when reloading the
    tracer (bsc#1012628).
  - net/mlx5: fw_tracer, Clear load bit when freeing string DBs
    buffers (bsc#1012628).
  - net/mlx5: Expose SF firmware pages counter (bsc#1012628).
  - net/mlx5: Store page counters in a single array (bsc#1012628).
  - net/mlx5e: IPoIB, Show unknown speed instead of error
    (bsc#1012628).
  - net/mlx5e: Fix crash unsetting rx-vlan-filter in switchdev mode
    (bsc#1012628).
  - net/mlx5: Bridge, fix ageing of peer FDB entries (bsc#1012628).
  - net/mlx5e: Update rx ring hw mtu upon each rx-fcs flag change
    (bsc#1012628).
  - net: mscc: ocelot: fix VCAP filters not matching on MAC with
    "protocol 802.1Q" (bsc#1012628).
  - net: dsa: mt7530: don't change PVC_EG_TAG when CPU port becomes
    VLAN-aware (bsc#1012628).
  - ice: switch: fix potential memleak in ice_add_adv_recipe()
    (bsc#1012628).
  - ice: Fix disabling Rx VLAN filtering with port VLAN enabled
    (bsc#1012628).
  - ice: Do not use WQ_MEM_RECLAIM flag for workqueue (bsc#1012628).
  - nvidiafb: detect the hardware support before removing console
    (bsc#1012628).
  - cpuset: Call set_cpus_allowed_ptr() with appropriate mask for
    task (bsc#1012628).
  - drm/virtio: exbuf->fence_fd unmodified on interrupted wait
    (bsc#1012628).
  - drm/i915: Don't do the WM0->WM1 copy w/a if WM1 is already
    enabled (bsc#1012628).
  - HID: amd_sfh: if no sensors are enabled, clean up (bsc#1012628).
  - net: microchip: sparx5: fix PTP init/deinit not checking all
    ports (bsc#1012628).
  - uapi: add missing ip/ipv6 header dependencies for linux/stddef.h
    (bsc#1012628).
  - cpufreq: qcom-hw: Fix cpufreq_driver->get() for non-LMH systems
    (bsc#1012628).
  - ionic: missed doorbell workaround (bsc#1012628).
  - ionic: refactor use of ionic_rx_fill() (bsc#1012628).
  - ionic: clean interrupt before enabling queue to avoid credit
    race (bsc#1012628).
  - net: phy: meson-gxl: use MMD access dummy stubs for GXL,
    internal PHY (bsc#1012628).
  - net: macb: Perform zynqmp dynamic configuration only for SGMII
    interface (bsc#1012628).
  - bonding: fix error checking in bond_debug_reregister()
    (bsc#1012628).
  - net: phylink: move phy_device_free() to correctly release phy
    device (bsc#1012628).
  - of: Make OF framebuffer device names unique (bsc#1012628).
  - xfrm: fix bug with DSCP copy to v6 from v4 tunnel (bsc#1012628).
  - RDMA/usnic: use iommu_map_atomic() under spin_lock()
    (bsc#1012628).
  - RDMA/irdma: Fix potential NULL-ptr-dereference (bsc#1012628).
  - xfrm: annotate data-race around use_time (bsc#1012628).
  - IB/IPoIB: Fix legacy IPoIB due to wrong number of queues
    (bsc#1012628).
  - xfrm/compat: prevent potential spectre v1 gadget in
    xfrm_xlate32_attr() (bsc#1012628).
  - IB/hfi1: Restore allocated resources on failed copyout
    (bsc#1012628).
  - xfrm: compat: change expression for switch in xfrm_xlate64
    (bsc#1012628).
  - HID: logitech: Disable hi-res scrolling on USB (bsc#1012628).
  - can: j1939: do not wait 250 ms if the same addr was already
    claimed (bsc#1012628).
  - of/address: Return an error when no valid dma-ranges are found
    (bsc#1012628).
  - tracing: Fix poll() and select() do not work on per_cpu
    trace_pipe and trace_pipe_raw (bsc#1012628).
  - Revert "PCI/ASPM: Refactor L1 PM Substates Control Register
    programming" (bsc#1012628).
  - Revert "PCI/ASPM: Save L1 PM Substates Capability for
    suspend/resume" (bsc#1012628).
  - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP
    platform (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for ASUS UM3402 using CS35L41
    (bsc#1012628).
  - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Elitebook,
    645 G9 (bsc#1012628).
  - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy
    Book2 Pro 360 (bsc#1012628).
  - ALSA: emux: Avoid potential array out-of-bound in
    snd_emux_xg_control() (bsc#1012628).
  - ALSA: hda/realtek: Add Positivo N14KP6-TG (bsc#1012628).
  - btrfs: zlib: zero-initialize zlib workspace (bsc#1012628).
  - btrfs: limit device extents to the device size (bsc#1012628).
  - hv_netvsc: Allocate memory in netvsc_dma_map() with GFP_ATOMIC
    (bsc#1012628).
  - commit 373f017
* Tue Feb 14 2023 jslaby@suse.cz
  - Update
    patches.kernel.org/6.1.5-127-x86-bugs-Flush-IBP-in-ib_prctl_set.patch
    (bsc#1012628 bsc#1207773 CVE-2023-0045).
    Add refereces.
  - commit 69a37c0
* Tue Feb 14 2023 jslaby@suse.cz
  - Update config files.
    Just run oldconfig.
  - commit f33197d
* Tue Feb 14 2023 jslaby@suse.cz
  - Update config files. Enable budget-ci module (bsc#1206774)
    Needed for saa7146 support.
  - commit 1fa8f94
* Tue Feb 14 2023 jlee@suse.com
  - Removed the support of EINJ (bsc#1023051, CVE-2016-3695)
  - Update config files.
  - supported.conf: removed drivers/acpi/apei/einj support.
  - commit c2c7791
* Mon Feb 13 2023 mkubecek@suse.cz
  - Update to 6.2-rc8
  - commit 3c381aa
* Thu Feb 09 2023 jslaby@suse.cz
  - Linux 6.1.11 (bsc#1012628).
  - firewire: fix memory leak for payload of request subaction to
    IEC 61883-1 FCP region (bsc#1012628).
  - bus: sunxi-rsb: Fix error handling in sunxi_rsb_init()
    (bsc#1012628).
  - arm64: dts: imx8m-venice: Remove incorrect 'uart-has-rtscts'
    (bsc#1012628).
  - arm64: dts: freescale: imx8dxl: fix sc_pwrkey's property name
    linux,keycode (bsc#1012628).
  - ASoC: amd: acp-es8336: Drop reference count of ACPI device
    after use (bsc#1012628).
  - ASoC: Intel: bytcht_es8316: Drop reference count of ACPI device
    after use (bsc#1012628).
  - ASoC: Intel: bytcr_rt5651: Drop reference count of ACPI device
    after use (bsc#1012628).
  - ASoC: Intel: bytcr_rt5640: Drop reference count of ACPI device
    after use (bsc#1012628).
  - ASoC: Intel: bytcr_wm5102: Drop reference count of ACPI device
    after use (bsc#1012628).
  - ASoC: Intel: sof_es8336: Drop reference count of ACPI device
    after use (bsc#1012628).
  - ASoC: Intel: avs: Implement PCI shutdown (bsc#1012628).
  - bpf: Fix off-by-one error in bpf_mem_cache_idx() (bsc#1012628).
  - bpf: Fix a possible task gone issue with
    bpf_send_signal[_thread]() helpers (bsc#1012628).
  - ALSA: hda/via: Avoid potential array out-of-bound in
    add_secret_dac_path() (bsc#1012628).
  - bpf: Fix to preserve reg parent/live fields when copying range
    info (bsc#1012628).
  - selftests/filesystems: grant executable permission to
    run_fat_tests.sh (bsc#1012628).
  - ASoC: SOF: ipc4-mtrace: prevent underflow in
    sof_ipc4_priority_mask_dfs_write() (bsc#1012628).
  - bpf: Add missing btf_put to register_btf_id_dtor_kfuncs
    (bsc#1012628).
  - media: v4l2-ctrls-api.c: move ctrl->is_new = 1 to the correct
    line (bsc#1012628).
  - bpf, sockmap: Check for any of tcp_bpf_prots when cloning a
    listener (bsc#1012628).
  - arm64: dts: imx8mm: Fix pad control for UART1_DTE_RX
    (bsc#1012628).
  - arm64: dts: imx8mm-verdin: Do not power down eth-phy
    (bsc#1012628).
  - drm/vc4: hdmi: make CEC adapter name unique (bsc#1012628).
  - drm/ssd130x: Init display before the SSD130X_DISPLAY_ON command
    (bsc#1012628).
  - scsi: Revert "scsi: core: map PQ=1, PDT=other values to
    SCSI_SCAN_TARGET_PRESENT" (bsc#1012628).
  - bpf: Fix the kernel crash caused by bpf_setsockopt()
    (bsc#1012628).
  - ALSA: memalloc: Workaround for Xen PV (bsc#1012628).
  - vhost/net: Clear the pending messages when the backend is
    removed (bsc#1012628).
  - copy_oldmem_kernel() - WRITE is "data source", not destination
    (bsc#1012628).
  - WRITE is "data source", not destination.. (bsc#1012628).
  - READ is "data destination", not source.. (bsc#1012628).
  - zcore: WRITE is "data source", not destination.. (bsc#1012628).
  - memcpy_real(): WRITE is "data source", not
    destination.. (bsc#1012628).
  - fix iov_iter_bvec() "direction" argument (bsc#1012628).
  - fix 'direction' argument of iov_iter_{init,bvec}()
    (bsc#1012628).
  - fix "direction" argument of iov_iter_kvec() (bsc#1012628).
  - use less confusing names for iov_iter direction initializers
    (bsc#1012628).
  - vhost-scsi: unbreak any layout for response (bsc#1012628).
  - ice: Prevent set_channel from changing queues while RDMA active
    (bsc#1012628).
  - qede: execute xdp_do_flush() before napi_complete_done()
    (bsc#1012628).
  - virtio-net: execute xdp_do_flush() before napi_complete_done()
    (bsc#1012628).
  - dpaa_eth: execute xdp_do_flush() before napi_complete_done()
    (bsc#1012628).
  - dpaa2-eth: execute xdp_do_flush() before napi_complete_done()
    (bsc#1012628).
  - skb: Do mix page pool and page referenced frags in GRO
    (bsc#1012628).
  - sfc: correctly advertise tunneled IPv6 segmentation
    (bsc#1012628).
  - net: phy: dp83822: Fix null pointer access on DP83825/DP83826
    devices (bsc#1012628).
  - net: wwan: t7xx: Fix Runtime PM initialization (bsc#1012628).
  - block, bfq: replace 0/1 with false/true in bic apis
    (bsc#1012628).
  - block, bfq: fix uaf for bfqq in bic_set_bfqq() (bsc#1012628).
  - netrom: Fix use-after-free caused by accept on already connected
    socket (bsc#1012628).
  - fscache: Use wait_on_bit() to wait for the freeing of
    relinquished volume (bsc#1012628).
  - platform/x86/amd/pmf: update to auto-mode limits only after
    AMT event (bsc#1012628).
  - platform/x86/amd/pmf: Add helper routine to update SPS thermals
    (bsc#1012628).
  - platform/x86/amd/pmf: Fix to update SPS default pprof thermals
    (bsc#1012628).
  - platform/x86/amd/pmf: Add helper routine to check pprof is
    balanced (bsc#1012628).
  - platform/x86/amd/pmf: Fix to update SPS thermals when power
    supply change (bsc#1012628).
  - platform/x86/amd/pmf: Ensure mutexes are initialized before use
    (bsc#1012628).
  - platform/x86: thinkpad_acpi: Fix thinklight LED brightness
    returning 255 (bsc#1012628).
  - drm/i915/guc: Fix locking when searching for a hung request
    (bsc#1012628).
  - drm/i915: Fix request ref counting during error capture &
    debugfs dump (bsc#1012628).
  - drm/i915: Fix up locking around dumping requests lists
    (bsc#1012628).
  - drm/i915/adlp: Fix typo for reference clock (bsc#1012628).
  - net/tls: tls_is_tx_ready() checked list_entry (bsc#1012628).
  - ALSA: firewire-motu: fix unreleased lock warning in hwdep device
    (bsc#1012628).
  - netfilter: br_netfilter: disable sabotage_in hook after first
    suppression (bsc#1012628).
  - block: ublk: extending queue_size to fix overflow (bsc#1012628).
  - kunit: fix kunit_test_init_section_suites(...) (bsc#1012628).
  - squashfs: harden sanity check in squashfs_read_xattr_id_table
    (bsc#1012628).
  - maple_tree: should get pivots boundary by type (bsc#1012628).
  - sctp: do not check hb_timer.expires when resetting hb_timer
    (bsc#1012628).
  - net: phy: meson-gxl: Add generic dummy stubs for MMD register
    access (bsc#1012628).
  - drm/panel: boe-tv101wum-nl6: Ensure DSI writes succeed during
    disable (bsc#1012628).
  - ip/ip6_gre: Fix changing addr gen mode not generating IPv6
    link local address (bsc#1012628).
  - ip/ip6_gre: Fix non-point-to-point tunnel not generating IPv6
    link local address (bsc#1012628).
  - riscv: kprobe: Fixup kernel panic when probing an illegal
    position (bsc#1012628).
  - igc: return an error if the mac type is unknown in
    igc_ptp_systim_to_hwtstamp() (bsc#1012628).
  - octeontx2-af: Fix devlink unregister (bsc#1012628).
  - can: j1939: fix errant WARN_ON_ONCE in j1939_session_deactivate
    (bsc#1012628).
  - can: raw: fix CAN FD frame transmissions over CAN XL devices
    (bsc#1012628).
  - can: mcp251xfd: mcp251xfd_ring_set_ringparam(): assign missing
    tx_obj_num_coalesce_irq (bsc#1012628).
  - ata: libata: Fix sata_down_spd_limit() when no link speed is
    reported (bsc#1012628).
  - selftests: net: udpgso_bench_rx: Fix 'used uninitialized'
    compiler warning (bsc#1012628).
  - selftests: net: udpgso_bench_rx/tx: Stop when wrong CLI args
    are provided (bsc#1012628).
  - selftests: net: udpgso_bench: Fix racing bug between the rx/tx
    programs (bsc#1012628).
  - selftests: net: udpgso_bench_tx: Cater for pending datagrams
    zerocopy benchmarking (bsc#1012628).
  - virtio-net: Keep stop() to follow mirror sequence of open()
    (bsc#1012628).
  - net: openvswitch: fix flow memory leak in ovs_flow_cmd_new
    (bsc#1012628).
  - efi: fix potential NULL deref in efi_mem_reserve_persistent
    (bsc#1012628).
  - rtc: sunplus: fix format string for printing resource
    (bsc#1012628).
  - certs: Fix build error when PKCS#11 URI contains semicolon
    (bsc#1012628).
  - kbuild: modinst: Fix build error when CONFIG_MODULE_SIG_KEY
    is a PKCS#11 URI (bsc#1012628).
  - i2c: designware-pci: Add new PCI IDs for AMD NAVI GPU
    (bsc#1012628).
  - i2c: mxs: suppress probe-deferral error message (bsc#1012628).
  - scsi: target: core: Fix warning on RT kernels (bsc#1012628).
  - x86/aperfmperf: Erase stale arch_freq_scale values when
    disabling frequency invariance readings (bsc#1012628).
  - perf/x86/intel: Add Emerald Rapids (bsc#1012628).
  - perf/x86/intel/cstate: Add Emerald Rapids (bsc#1012628).
  - scsi: iscsi_tcp: Fix UAF during logout when accessing the
    shost ipaddress (bsc#1012628).
  - scsi: iscsi_tcp: Fix UAF during login when accessing the shost
    ipaddress (bsc#1012628).
  - i2c: rk3x: fix a bunch of kernel-doc warnings (bsc#1012628).
  - Revert "gfs2: stop using generic_writepages in
    gfs2_ail1_start_one" (bsc#1012628).
  - x86/build: Move '-mindirect-branch-cs-prefix' out of GCC-only
    block (bsc#1012628).
  - platform/x86: dell-wmi: Add a keymap for KEY_MUTE in type
    0x0010 table (bsc#1012628).
  - platform/x86: hp-wmi: Handle Omen Key event (bsc#1012628).
  - platform/x86: gigabyte-wmi: add support for B450M DS3H WIFI-CF
    (bsc#1012628).
  - platform/x86/amd: pmc: Disable IRQ1 wakeup for RN/CZN
    (bsc#1012628).
  - net/x25: Fix to not accept on connected socket (bsc#1012628).
  - drm/amd/display: Fix timing not changning when freesync video
    is enabled (bsc#1012628).
  - bcache: Silence memcpy() run-time false positive warnings
    (bsc#1012628).
  - iio: adc: stm32-dfsdm: fill module aliases (bsc#1012628).
  - usb: dwc3: qcom: enable vbus override when in OTG dr-mode
    (bsc#1012628).
  - usb: gadget: f_fs: Fix unbalanced spinlock in
    __ffs_ep0_queue_wait (bsc#1012628).
  - vc_screen: move load of struct vc_data pointer in vcs_read()
    to avoid UAF (bsc#1012628).
  - fbcon: Check font dimension limits (bsc#1012628).
  - cgroup/cpuset: Fix wrong check in
    update_parent_subparts_cpumask() (bsc#1012628).
  - hv_netvsc: Fix missed pagebuf entries in netvsc_dma_map/unmap()
    (bsc#1012628).
  - ARM: dts: imx7d-smegw01: Fix USB host over-current polarity
    (bsc#1012628).
  - net: qrtr: free memory on error path in radix_tree_insert()
    (bsc#1012628).
  - can: isotp: split tx timer into transmission and timeout
    (bsc#1012628).
  - can: isotp: handle wait_event_interruptible() return values
    (bsc#1012628).
  - watchdog: diag288_wdt: do not use stack buffers for hardware
    data (bsc#1012628).
  - watchdog: diag288_wdt: fix __diag288() inline assembly
    (bsc#1012628).
  - ALSA: hda/realtek: Add Acer Predator PH315-54 (bsc#1012628).
  - ALSA: hda/realtek: fix mute/micmute LEDs, speaker don't work
    for a HP platform (bsc#1012628).
  - ASoC: codecs: wsa883x: correct playback min/max rates
    (bsc#1012628).
  - ASoC: SOF: sof-audio: unprepare when swidget->use_count > 0
    (bsc#1012628).
  - ASoC: SOF: sof-audio: skip prepare/unprepare if swidget is NULL
    (bsc#1012628).
  - ASoC: SOF: keep prepare/unprepare widgets in sink path
    (bsc#1012628).
  - efi: Accept version 2 of memory attributes table (bsc#1012628).
  - rtc: efi: Enable SET/GET WAKEUP services as optional
    (bsc#1012628).
  - iio: hid: fix the retval in accel_3d_capture_sample
    (bsc#1012628).
  - iio: hid: fix the retval in gyro_3d_capture_sample
    (bsc#1012628).
  - iio: adc: xilinx-ams: fix devm_krealloc() return value check
    (bsc#1012628).
  - iio: adc: berlin2-adc: Add missing of_node_put() in error path
    (bsc#1012628).
  - iio: imx8qxp-adc: fix irq flood when call imx8qxp_adc_read_raw()
    (bsc#1012628).
  - iio:adc:twl6030: Enable measurements of VUSB, VBAT and others
    (bsc#1012628).
  - iio: light: cm32181: Fix PM support on system with 2 I2C
    resources (bsc#1012628).
  - iio: imu: fxos8700: fix ACCEL measurement range selection
    (bsc#1012628).
  - iio: imu: fxos8700: fix incomplete ACCEL and MAGN channels
    readback (bsc#1012628).
  - iio: imu: fxos8700: fix IMU data bits returned to user space
    (bsc#1012628).
  - iio: imu: fxos8700: fix map label of channel type to MAGN sensor
    (bsc#1012628).
  - iio: imu: fxos8700: fix swapped ACCEL and MAGN channels readback
    (bsc#1012628).
  - iio: imu: fxos8700: fix incorrect ODR mode readback
    (bsc#1012628).
  - iio: imu: fxos8700: fix failed initialization ODR mode
    assignment (bsc#1012628).
  - iio: imu: fxos8700: remove definition FXOS8700_CTRL_ODR_MIN
    (bsc#1012628).
  - iio: imu: fxos8700: fix MAGN sensor scale and unit
    (bsc#1012628).
  - nvmem: brcm_nvram: Add check for kzalloc (bsc#1012628).
  - nvmem: sunxi_sid: Always use 32-bit MMIO reads (bsc#1012628).
  - nvmem: qcom-spmi-sdam: fix module autoloading (bsc#1012628).
  - parisc: Fix return code of pdc_iodc_print() (bsc#1012628).
  - parisc: Replace hardcoded value with PRIV_USER constant in
    ptrace.c (bsc#1012628).
  - parisc: Wire up PTRACE_GETREGS/PTRACE_SETREGS for compat case
    (bsc#1012628).
  - riscv: disable generation of unwind tables (bsc#1012628).
  - Revert "mm: kmemleak: alloc gray object for reserved region
    with direct map" (bsc#1012628).
  - mm: multi-gen LRU: fix crash during cgroup migration
    (bsc#1012628).
  - mm: hugetlb: proc: check for hugetlb shared PMD in
    /proc/PID/smaps (bsc#1012628).
  - mm: memcg: fix NULL pointer in
    mem_cgroup_track_foreign_dirty_slowpath() (bsc#1012628).
  - usb: gadget: f_uac2: Fix incorrect increment of bNumEndpoints
    (bsc#1012628).
  - usb: typec: ucsi: Don't attempt to resume the ports before
    they exist (bsc#1012628).
  - usb: gadget: udc: do not clear gadget driver.bus (bsc#1012628).
  - kernel/irq/irqdomain.c: fix memory leak with using
    debugfs_lookup() (bsc#1012628).
  - HV: hv_balloon: fix memory leak with using debugfs_lookup()
    (bsc#1012628).
  - x86/debug: Fix stack recursion caused by wrongly ordered DR7
    accesses (bsc#1012628).
  - fpga: m10bmc-sec: Fix probe rollback (bsc#1012628).
  - fpga: stratix10-soc: Fix return value check in
    s10_ops_write_init() (bsc#1012628).
  - mm/uffd: fix pte marker when fork() without fork event
    (bsc#1012628).
  - mm/swapfile: add cond_resched() in get_swap_pages()
    (bsc#1012628).
  - mm/khugepaged: fix ->anon_vma race (bsc#1012628).
  - mm/MADV_COLLAPSE: catch !none !huge !bad pmd lookups
    (bsc#1012628).
  - highmem: round down the address passed to
    kunmap_flush_on_unmap() (bsc#1012628).
  - ia64: fix build error due to switch case label appearing next
    to declaration (bsc#1012628).
  - Squashfs: fix handling and sanity checking of xattr_ids count
    (bsc#1012628).
  - maple_tree: fix mas_empty_area_rev() lower bound validation
    (bsc#1012628).
  - migrate: hugetlb: check for hugetlb shared PMD in node migration
    (bsc#1012628).
  - dma-buf: actually set signaling bit for private stub fences
    (bsc#1012628).
  - serial: stm32: Merge hard IRQ and threaded IRQ handling into
    single IRQ handler (bsc#1012628).
  - drm/i915: Avoid potential vm use-after-free (bsc#1012628).
  - drm/i915: Fix potential bit_17 double-free (bsc#1012628).
  - drm/amd: Fix initialization for nbio 4.3.0 (bsc#1012628).
  - drm/amd/pm: drop unneeded dpm features disablement for SMU
    13.0.4/11 (bsc#1012628).
  - drm/amdgpu: update wave data type to 3 for gfx11 (bsc#1012628).
  - nvmem: core: initialise nvmem->id early (bsc#1012628).
  - nvmem: core: remove nvmem_config wp_gpio (bsc#1012628).
  - nvmem: core: fix cleanup after dev_set_name() (bsc#1012628).
  - nvmem: core: fix registration vs use race (bsc#1012628).
  - nvmem: core: fix device node refcounting (bsc#1012628).
  - nvmem: core: fix cell removal on error (bsc#1012628).
  - nvmem: core: fix return value (bsc#1012628).
  - phy: qcom-qmp-combo: fix runtime suspend (bsc#1012628).
  - serial: 8250_dma: Fix DMA Rx completion race (bsc#1012628).
  - serial: 8250_dma: Fix DMA Rx rearm race (bsc#1012628).
  - platform/x86/amd: pmc: add CONFIG_SERIO dependency
    (bsc#1012628).
  - ASoC: SOF: sof-audio: prepare_widgets: Check swidget for NULL
    on sink failure (bsc#1012628).
  - iio:adc:twl6030: Enable measurement of VAC (bsc#1012628).
  - powerpc/64s/radix: Fix crash with unaligned relocated kernel
    (bsc#1012628).
  - powerpc/64s: Fix local irq disable when PMIs are disabled
    (bsc#1012628).
  - powerpc/imc-pmu: Revert nest_init_lock to being a mutex
    (bsc#1012628).
  - fs/ntfs3: Validate attribute data and valid sizes (bsc#1012628).
  - ovl: Use "buf" flexible array for memcpy() destination
    (bsc#1012628).
  - f2fs: initialize locks earlier in f2fs_fill_super()
    (bsc#1012628).
  - fbdev: smscufx: fix error handling code in ufx_usb_probe
    (bsc#1012628).
  - f2fs: fix to do sanity check on i_extra_isize in is_alive()
    (bsc#1012628).
  - wifi: brcmfmac: Check the count value of channel spec to
    prevent out-of-bounds reads (bsc#1012628).
  - gfs2: Cosmetic gfs2_dinode_{in,out} cleanup (bsc#1012628).
  - gfs2: Always check inode size of inline inodes (bsc#1012628).
  - bpf: Skip invalid kfunc call in backtrack_insn (bsc#1012628).
  - commit 16a4964
* Tue Feb 07 2023 jslaby@suse.cz
  - mm, mremap: fix mremap() expanding for vma's with
    vm_ops->close() (bsc#1206359).
    Update to upstream version.
  - commit 82ff25b
* Mon Feb 06 2023 jslaby@suse.cz
  - Linux 6.1.10 (bsc#1012628).
  - net: mctp: purge receive queues on sk destruction (bsc#1012628).
  - rust: print: avoid evaluating arguments in `pr_*` macros in
    `unsafe` blocks (bsc#1012628).
  - net: fix NULL pointer in skb_segment_list (bsc#1012628).
  - gpiolib-acpi: Don't set GPIOs for wakeup in S3 mode
    (bsc#1012628).
  - gpiolib: acpi: Add a ignore wakeup quirk for Clevo NL5xRU
    (bsc#1012628).
  - nvme-apple: only reset the controller when RTKit is running
    (bsc#1012628).
  - cifs: fix return of uninitialized rc in
    dfs_cache_update_tgthint() (bsc#1012628).
  - gpiolib: acpi: Allow ignoring wake capability on pins that
    aren't in _AEI (bsc#1012628).
  - dmaengine: imx-sdma: Fix a possible memory leak in
    sdma_transfer_init (bsc#1012628).
  - HID: playstation: sanity check DualSense calibration data
    (bsc#1012628).
  - HID: uclogic: Add support for XP-PEN Deco 01 V2 (bsc#1012628).
  - s390: workaround invalid gcc-11 out of bounds read warning
    (bsc#1012628).
  - block: fix hctx checks for batch allocation (bsc#1012628).
  - ACPI: video: Add backlight=native DMI quirk for Acer Aspire
    4810T (bsc#1012628).
  - LoongArch: Get frame info in unwind_start() when regs is not
    available (bsc#1012628).
  - blk-cgroup: fix missing pd_online_fn() while activating policy
    (bsc#1012628).
  - erofs: clean up parsing of fscache related options
    (bsc#1012628).
  - kselftest: Fix error message for unconfigured LLVM builds
    (bsc#1012628).
  - ARM: omap1: fix building gpio15xx (bsc#1012628).
  - arm64: dts: msm8994-angler: fix the memory map (bsc#1012628).
  - mac80211: Fix MLO address translation for multiple bss case
    (bsc#1012628).
  - erofs/zmap.c: Fix incorrect offset calculation (bsc#1012628).
  - bpf: Skip task with pid=1 in send_signal_common() (bsc#1012628).
  - firmware: arm_scmi: Clear stale xfer->hdr.status (bsc#1012628).
  - arm64: dts: imx8mq-thor96: fix no-mmc property for SDHCI
    (bsc#1012628).
  - arm64: dts: freescale: Fix pca954x i2c-mux node names
    (bsc#1012628).
  - ARM: dts: vf610: Fix pca9548 i2c-mux node names (bsc#1012628).
  - ARM: dts: imx: Fix pca9547 i2c-mux node name (bsc#1012628).
  - commit 2a0570b
* Mon Feb 06 2023 mkubecek@suse.cz
  - Update to 6.2-rc7
  - commit 225bfb7
* Wed Feb 01 2023 jslaby@suse.cz
  - Linux 6.1.9 (bsc#1012628).
  - memory: tegra: Remove clients SID override programming
    (bsc#1012628).
  - memory: atmel-sdramc: Fix missing clk_disable_unprepare in
    atmel_ramc_probe() (bsc#1012628).
  - memory: mvebu-devbus: Fix missing clk_disable_unprepare in
    mvebu_devbus_probe() (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: fix primary USB-DP PHY reset
    (bsc#1012628).
  - dmaengine: qcom: gpi: Set link_rx bit on GO TRE for rx operation
    (bsc#1012628).
  - dmaengine: ti: k3-udma: Do conditional decrement of
    UDMA_CHAN_RT_PEER_BCNT_REG (bsc#1012628).
  - soc: imx: imx8mp-blk-ctrl: enable global pixclk with HDMI_TX_PHY
    PD (bsc#1012628).
  - arm64: dts: imx8mp-phycore-som: Remove invalid PMIC property
    (bsc#1012628).
  - ARM: dts: imx6ul-pico-dwarf: Use 'clock-frequency'
    (bsc#1012628).
  - ARM: dts: imx7d-pico: Use 'clock-frequency' (bsc#1012628).
  - ARM: dts: imx6qdl-gw560x: Remove incorrect 'uart-has-rtscts'
    (bsc#1012628).
  - arm64: dts: verdin-imx8mm: fix dahlia audio playback
    (bsc#1012628).
  - arm64: dts: imx8mm-beacon: Fix ecspi2 pinmux (bsc#1012628).
  - arm64: dts: verdin-imx8mm: fix dev board audio playback
    (bsc#1012628).
  - arm64: dts: imx93-11x11-evk: correct clock and strobe pad
    setting (bsc#1012628).
  - ARM: imx: add missing of_node_put() (bsc#1012628).
  - soc: imx: imx8mp-blk-ctrl: don't set power device name
    (bsc#1012628).
  - arm64: dts: imx8mp: Fix missing GPC Interrupt (bsc#1012628).
  - arm64: dts: imx8mp: Fix power-domain typo (bsc#1012628).
  - arm64: dts: imx8mp-evk: pcie0-refclk cosmetic cleanup
    (bsc#1012628).
  - HID: intel_ish-hid: Add check for ishtp_dma_tx_map
    (bsc#1012628).
  - arm64: dts: imx8mm-venice-gw7901: fix USB2 controller OC
    polarity (bsc#1012628).
  - soc: imx8m: Fix incorrect check for of_clk_get_by_name()
    (bsc#1012628).
  - reset: ti-sci: honor TI_SCI_PROTOCOL setting when not
    COMPILE_TEST (bsc#1012628).
  - reset: uniphier-glue: Fix possible null-ptr-deref (bsc#1012628).
  - EDAC/highbank: Fix memory leak in highbank_mc_probe()
    (bsc#1012628).
  - firmware: arm_scmi: Harden shared memory access in
    fetch_response (bsc#1012628).
  - firmware: arm_scmi: Harden shared memory access in
    fetch_notification (bsc#1012628).
  - firmware: arm_scmi: Fix virtio channels cleanup on shutdown
    (bsc#1012628).
  - interconnect: qcom: msm8996: Provide UFS clocks to A2NoC
    (bsc#1012628).
  - interconnect: qcom: msm8996: Fix regmap max_register values
    (bsc#1012628).
  - HID: amd_sfh: Fix warning unwind goto (bsc#1012628).
  - tomoyo: fix broken dependency on *.conf.default (bsc#1012628).
  - RDMA/rxe: Fix inaccurate constants in rxe_type_info
    (bsc#1012628).
  - RDMA/rxe: Prevent faulty rkey generation (bsc#1012628).
  - erofs: fix kvcalloc() misuse with __GFP_NOFAIL (bsc#1012628).
  - arm64: dts: marvell: AC5/AC5X: Fix address for UART1
    (bsc#1012628).
  - RDMA/core: Fix ib block iterator counter overflow (bsc#1012628).
  - IB/hfi1: Reject a zero-length user expected buffer
    (bsc#1012628).
  - IB/hfi1: Reserve user expected TIDs (bsc#1012628).
  - IB/hfi1: Fix expected receive setup error exit issues
    (bsc#1012628).
  - IB/hfi1: Immediately remove invalid memory from hardware
    (bsc#1012628).
  - IB/hfi1: Remove user expected buffer invalidate race
    (bsc#1012628).
  - affs: initialize fsdata in affs_truncate() (bsc#1012628).
  - PM: AVS: qcom-cpr: Fix an error handling path in cpr_probe()
    (bsc#1012628).
  - arm64: dts: qcom: msm8992: Don't use sfpb mutex (bsc#1012628).
  - arm64: dts: qcom: msm8992-libra: Fix the memory map
    (bsc#1012628).
  - kbuild: export top-level LDFLAGS_vmlinux only to
    scripts/Makefile.vmlinux (bsc#1012628).
  - kbuild: fix 'make modules' error when
    CONFIG_DEBUG_INFO_BTF_MODULES=y (bsc#1012628).
  - phy: ti: fix Kconfig warning and operator precedence
    (bsc#1012628).
  - drm/msm/gpu: Fix potential double-free (bsc#1012628).
  - NFSD: fix use-after-free in nfsd4_ssc_setup_dul() (bsc#1012628).
  - ARM: dts: at91: sam9x60: fix the ddr clock for sam9x60
    (bsc#1012628).
  - drm/vc4: bo: Fix drmm_mutex_init memory hog (bsc#1012628).
  - phy: usb: sunplus: Fix potential null-ptr-deref in
    sp_usb_phy_probe() (bsc#1012628).
  - bpf: hash map, avoid deadlock with suitable hash mask
    (bsc#1012628).
  - amd-xgbe: TX Flow Ctrl Registers are h/w ver dependent
    (bsc#1012628).
  - amd-xgbe: Delay AN timeout during KR training (bsc#1012628).
  - bpf: Fix pointer-leak due to insufficient speculative store
    bypass mitigation (bsc#1012628).
  - drm/vc4: bo: Fix unused variable warning (bsc#1012628).
  - phy: rockchip-inno-usb2: Fix missing clk_disable_unprepare()
    in rockchip_usb2phy_power_on() (bsc#1012628).
  - net: nfc: Fix use-after-free in local_cleanup() (bsc#1012628).
  - net: wan: Add checks for NULL for utdm in undo_uhdlc_init and
    unmap_si_regs (bsc#1012628).
  - net: enetc: avoid deadlock in enetc_tx_onestep_tstamp()
    (bsc#1012628).
  - net: lan966x: add missing fwnode_handle_put() for ports node
    (bsc#1012628).
  - sch_htb: Avoid grafting on htb_destroy_class_offload when
    destroying htb (bsc#1012628).
  - gpio: mxc: Protect GPIO irqchip RMW with bgpio spinlock
    (bsc#1012628).
  - gpio: mxc: Always set GPIOs used as interrupt source to INPUT
    mode (bsc#1012628).
  - wifi: rndis_wlan: Prevent buffer overflow in rndis_query_oid
    (bsc#1012628).
  - pinctrl: rockchip: fix reading pull type on rk3568
    (bsc#1012628).
  - net: stmmac: Fix queue statistics reading (bsc#1012628).
  - net/sched: sch_taprio: fix possible use-after-free
    (bsc#1012628).
  - l2tp: convert l2tp_tunnel_list to idr (bsc#1012628).
  - l2tp: close all race conditions in l2tp_tunnel_register()
    (bsc#1012628).
  - net: usb: sr9700: Handle negative len (bsc#1012628).
  - net: mdio: validate parameter addr in mdiobus_get_phy()
    (bsc#1012628).
  - HID: check empty report_list in hid_validate_values()
    (bsc#1012628).
  - HID: check empty report_list in bigben_probe() (bsc#1012628).
  - net: stmmac: fix invalid call to mdiobus_get_phy()
    (bsc#1012628).
  - pinctrl: rockchip: fix mux route data for rk3568 (bsc#1012628).
  - ARM: dts: stm32: Fix qspi pinctrl phandle for
    stm32mp15xx-dhcor-som (bsc#1012628).
  - ARM: dts: stm32: Fix qspi pinctrl phandle for
    stm32mp15xx-dhcom-som (bsc#1012628).
  - ARM: dts: stm32: Fix qspi pinctrl phandle for
    stm32mp157c-emstamp-argon (bsc#1012628).
  - ARM: dts: stm32: Fix qspi pinctrl phandle for stm32mp151a-prtt1l
    (bsc#1012628).
  - HID: revert CHERRY_MOUSE_000C quirk (bsc#1012628).
  - block/rnbd-clt: fix wrong max ID in ida_alloc_max (bsc#1012628).
  - usb: ucsi: Ensure connector delayed work items are flushed
    (bsc#1012628).
  - usb: gadget: f_fs: Prevent race during ffs_ep0_queue_wait
    (bsc#1012628).
  - usb: gadget: f_fs: Ensure ep0req is dequeued before free_request
    (bsc#1012628).
  - netfilter: conntrack: handle tcp challenge acks during
    connection reuse (bsc#1012628).
  - Bluetooth: Fix a buffer overflow in mgmt_mesh_add()
    (bsc#1012628).
  - Bluetooth: hci_conn: Fix memory leaks (bsc#1012628).
  - Bluetooth: hci_sync: fix memory leak in hci_update_adv_data()
    (bsc#1012628).
  - Bluetooth: ISO: Avoid circular locking dependency (bsc#1012628).
  - Bluetooth: ISO: Fix possible circular locking dependency
    (bsc#1012628).
  - Bluetooth: hci_event: Fix Invalid wait context (bsc#1012628).
  - Bluetooth: Fix possible deadlock in rfcomm_sk_state_change
    (bsc#1012628).
  - net: ipa: disable ipa interrupt during suspend (bsc#1012628).
  - net/mlx5e: Avoid false lock dependency warning on tc_ht even
    more (bsc#1012628).
  - net/mlx5: E-switch, Fix setting of reserved fields on
    MODIFY_SCHEDULING_ELEMENT (bsc#1012628).
  - net/mlx5e: QoS, Fix wrongfully setting parent_element_id on
    MODIFY_SCHEDULING_ELEMENT (bsc#1012628).
  - net/mlx5e: Set decap action based on attr for sample
    (bsc#1012628).
  - net/mlx5: E-switch, Fix switchdev mode after devlink reload
    (bsc#1012628).
  - net: mlx5: eliminate anonymous module_init & module_exit
    (bsc#1012628).
  - drm/panfrost: fix GENERIC_ATOMIC64 dependency (bsc#1012628).
  - dmaengine: Fix double increment of client_count in
    dma_chan_get() (bsc#1012628).
  - net: macb: fix PTP TX timestamp failure due to packet padding
    (bsc#1012628).
  - virtio-net: correctly enable callback during start_xmit
    (bsc#1012628).
  - l2tp: prevent lockdep issue in l2tp_tunnel_register()
    (bsc#1012628).
  - HID: betop: check shape of output reports (bsc#1012628).
  - drm/i915/selftests: Unwind hugepages to drop wakeref on error
    (bsc#1012628).
  - cifs: fix potential deadlock in cache_refresh_path()
    (bsc#1012628).
  - dmaengine: xilinx_dma: call of_node_put() when breaking out
    of for_each_child_of_node() (bsc#1012628).
  - dmaengine: tegra: Fix memory leak in terminate_all()
    (bsc#1012628).
  - phy: phy-can-transceiver: Skip warning if no "max-bitrate"
    (bsc#1012628).
  - drm/amd/display: fix issues with driver unload (bsc#1012628).
  - net: sched: gred: prevent races when adding offloads to stats
    (bsc#1012628).
  - nvme-pci: fix timeout request state check (bsc#1012628).
  - tcp: avoid the lookup process failing to get sk in ehash table
    (bsc#1012628).
  - usb: dwc3: fix extcon dependency (bsc#1012628).
  - ptdma: pt_core_execute_cmd() should use spinlock (bsc#1012628).
  - device property: fix of node refcount leak in
    fwnode_graph_get_next_endpoint() (bsc#1012628).
  - w1: fix deadloop in __w1_remove_master_device() (bsc#1012628).
  - w1: fix WARNING after calling w1_process() (bsc#1012628).
  - driver core: Fix test_async_probe_init saves device in wrong
    array (bsc#1012628).
  - selftests/net: toeplitz: fix race on tpacket_v3 block close
    (bsc#1012628).
  - net: dsa: microchip: ksz9477: port map correction in ALU table
    entry register (bsc#1012628).
  - thermal: Validate new state in cur_state_store() (bsc#1012628).
  - thermal/core: fix error code in
    __thermal_cooling_device_register() (bsc#1012628).
  - thermal: core: call put_device() only after device_register()
    fails (bsc#1012628).
  - net: stmmac: enable all safety features by default
    (bsc#1012628).
  - bnxt: Do not read past the end of test names (bsc#1012628).
  - tcp: fix rate_app_limited to default to 1 (bsc#1012628).
  - scsi: iscsi: Fix multiple iSCSI session unbind events sent to
    userspace (bsc#1012628).
  - ASoC: SOF: pm: Set target state earlier (bsc#1012628).
  - ASoC: SOF: pm: Always tear down pipelines before DSP suspend
    (bsc#1012628).
  - ASoC: SOF: Add FW state to debugfs (bsc#1012628).
  - ASoC: amd: yc: Add Razer Blade 14 2022 into DMI table
    (bsc#1012628).
  - spi: cadence: Fix busy cycles calculation (bsc#1012628).
  - cpufreq: CPPC: Add u64 casts to avoid overflowing (bsc#1012628).
  - cpufreq: Add Tegra234 to cpufreq-dt-platdev blocklist
    (bsc#1012628).
  - ASoC: mediatek: mt8186: support rt5682s_max98360 (bsc#1012628).
  - ASoC: mediatek: mt8186: Add machine support for max98357a
    (bsc#1012628).
  - ASoC: amd: yc: Add ASUS M5402RA into DMI table (bsc#1012628).
  - ASoC: support machine driver with max98360 (bsc#1012628).
  - kcsan: test: don't put the expect array on the stack
    (bsc#1012628).
  - cpufreq: Add SM6375 to cpufreq-dt-platdev blocklist
    (bsc#1012628).
  - ASoC: fsl_micfil: Correct the number of steps on SX controls
    (bsc#1012628).
  - drm/msm/a6xx: Avoid gx gbit halt during rpm suspend
    (bsc#1012628).
  - net: usb: cdc_ether: add support for Thales Cinterion PLS62-W
    modem (bsc#1012628).
  - drm: Add orientation quirk for Lenovo ideapad D330-10IGL
    (bsc#1012628).
  - s390/debug: add _ASM_S390_ prefix to header guard (bsc#1012628).
  - s390: expicitly align _edata and _end symbols on page boundary
    (bsc#1012628).
  - xen/pvcalls: free active map buffer on pvcalls_front_free_map
    (bsc#1012628).
  - perf/x86/cstate: Add Meteor Lake support (bsc#1012628).
  - perf/x86/msr: Add Meteor Lake support (bsc#1012628).
  - perf/x86/msr: Add Emerald Rapids (bsc#1012628).
  - perf/x86/intel/uncore: Add Emerald Rapids (bsc#1012628).
  - nolibc: fix fd_set type (bsc#1012628).
  - tools/nolibc: Fix S_ISxxx macros (bsc#1012628).
  - tools/nolibc: fix missing includes causing build issues at -O0
    (bsc#1012628).
  - tools/nolibc: prevent gcc from making memset() loop over itself
    (bsc#1012628).
  - cpufreq: armada-37xx: stop using 0 as NULL pointer
    (bsc#1012628).
  - ASoC: fsl_ssi: Rename AC'97 streams to avoid collisions with
    AC'97 CODEC (bsc#1012628).
  - ASoC: fsl-asoc-card: Fix naming of AC'97 CODEC widgets
    (bsc#1012628).
  - ACPI: resource: Skip IRQ override on Asus Expertbook B2402CBA
    (bsc#1012628).
  - drm/amdkfd: Add sync after creating vram bo (bsc#1012628).
  - drm/amdkfd: Fix NULL pointer error for GC 11.0.1 on mGPU
    (bsc#1012628).
  - cifs: fix potential memory leaks in session setup (bsc#1012628).
  - spi: spidev: remove debug messages that access spidev->spi
    without locking (bsc#1012628).
  - KVM: s390: interrupt: use READ_ONCE() before cmpxchg()
    (bsc#1012628).
  - scsi: hisi_sas: Use abort task set to reset SAS disks when
    discovered (bsc#1012628).
  - scsi: hisi_sas: Set a port invalid only if there are no devices
    attached when refreshing port id (bsc#1012628).
  - r8152: add vendor/device ID pair for Microsoft Devkit
    (bsc#1012628).
  - platform/x86: touchscreen_dmi: Add info for the CSL Panther
    Tab HD (bsc#1012628).
  - platform/x86: asus-nb-wmi: Add alternate mapping for KEY_CAMERA
    (bsc#1012628).
  - platform/x86: asus-nb-wmi: Add alternate mapping for
    KEY_SCREENLOCK (bsc#1012628).
  - platform/x86: asus-wmi: Add quirk wmi_ignore_fan (bsc#1012628).
  - platform/x86: asus-wmi: Ignore fan on E410MA (bsc#1012628).
  - platform/x86: simatic-ipc: correct name of a model
    (bsc#1012628).
  - platform/x86: simatic-ipc: add another model (bsc#1012628).
  - lockref: stop doing cpu_relax in the cmpxchg loop (bsc#1012628).
  - ata: pata_cs5535: Don't build on UML (bsc#1012628).
  - firmware: coreboot: Check size of table entry and use flex-array
    (bsc#1012628).
  - btrfs: zoned: enable metadata over-commit for non-ZNS setup
    (bsc#1012628).
  - Revert "selftests/bpf: check null propagation only neither
    reg is PTR_TO_BTF_ID" (bsc#1012628).
  - arm64: efi: Recover from synchronous exceptions occurring in
    firmware (bsc#1012628).
  - arm64: efi: Avoid workqueue to check whether EFI runtime is live
    (bsc#1012628).
  - arm64: efi: Account for the EFI runtime stack in stack unwinder
    (bsc#1012628).
  - Bluetooth: hci_sync: cancel cmd_timer if hci_open failed
    (bsc#1012628).
  - drm/i915: Allow panel fixed modes to have differing sync
    polarities (bsc#1012628).
  - drm/i915: Allow alternate fixed modes always for eDP
    (bsc#1012628).
  - drm/amdgpu: complete gfxoff allow signal during suspend without
    delay (bsc#1012628).
  - io_uring/msg_ring: fix remote queue to disabled ring
    (bsc#1012628).
  - wifi: mac80211: Proper mark iTXQs for resumption (bsc#1012628).
  - wifi: mac80211: Fix iTXQ AMPDU fragmentation handling
    (bsc#1012628).
  - sched/fair: Check if prev_cpu has highest spare cap in feec()
    (bsc#1012628).
  - sched/uclamp: Fix a uninitialized variable warnings
    (bsc#1012628).
  - vfio/type1: Respect IOMMU reserved regions in
    vfio_test_domain_fgsp() (bsc#1012628).
  - scsi: hpsa: Fix allocation size for scsi_host_alloc()
    (bsc#1012628).
  - kvm/vfio: Fix potential deadlock on vfio group_lock
    (bsc#1012628).
  - nfsd: don't free files unconditionally in
    __nfsd_file_cache_purge (bsc#1012628).
  - module: Don't wait for GOING modules (bsc#1012628).
  - ftrace: Export ftrace_free_filter() to modules (bsc#1012628).
  - tracing: Make sure trace_printk() can output as soon as it
    can be used (bsc#1012628).
  - trace_events_hist: add check for return value of
    'create_hist_field' (bsc#1012628).
  - ftrace/scripts: Update the instructions for ftrace-bisect.sh
    (bsc#1012628).
  - cifs: Fix oops due to uncleared server->smbd_conn in reconnect
    (bsc#1012628).
  - ksmbd: add max connections parameter (bsc#1012628).
  - ksmbd: do not sign response to session request for guest login
    (bsc#1012628).
  - ksmbd: downgrade ndr version error message to debug
    (bsc#1012628).
  - ksmbd: limit pdu length size according to connection status
    (bsc#1012628).
  - ovl: fix tmpfile leak (bsc#1012628).
  - ovl: fail on invalid uid/gid mapping at copy up (bsc#1012628).
  - io_uring/net: cache provided buffer group value for multishot
    receives (bsc#1012628).
  - KVM: x86/vmx: Do not skip segment attributes if unusable bit
    is set (bsc#1012628).
  - KVM: arm64: GICv4.1: Fix race with doorbell on VPE
    activation/deactivation (bsc#1012628).
  - scsi: ufs: core: Fix devfreq deadlocks (bsc#1012628).
  - riscv: fix -Wundef warning for CONFIG_RISCV_BOOT_SPINWAIT
    (bsc#1012628).
  - thermal: intel: int340x: Protect trip temperature from
    concurrent updates (bsc#1012628).
  - regulator: dt-bindings: samsung,s2mps14: add lost
    samsung,ext-control-gpios (bsc#1012628).
  - ipv6: fix reachability confirmation with proxy_ndp
    (bsc#1012628).
  - ARM: 9280/1: mm: fix warning on phys_addr_t to void pointer
    assignment (bsc#1012628).
  - EDAC/device: Respect any driver-supplied workqueue polling value
    (bsc#1012628).
  - EDAC/qcom: Do not pass llcc_driv_data as edac_device_ctl_info's
    pvt_info (bsc#1012628).
  - platform/x86: thinkpad_acpi: Fix profile modes on Intel
    platforms (bsc#1012628).
  - drm/display/dp_mst: Correct the kref of port (bsc#1012628).
  - drm/amd/pm: add missing AllowIHInterrupt message mapping for
    SMU13.0.0 (bsc#1012628).
  - drm/amdgpu: remove unconditional trap enable on add gfx11 queues
    (bsc#1012628).
  - drm/amdgpu/display/mst: Fix mst_state->pbn_div and slot count
    assignments (bsc#1012628).
  - drm/amdgpu/display/mst: limit payload to be updated one by one
    (bsc#1012628).
  - drm/amdgpu/display/mst: update mst_mgr relevant variable when
    long HPD (bsc#1012628).
  - io_uring: inline io_req_task_work_add() (bsc#1012628).
  - io_uring: inline __io_req_complete_post() (bsc#1012628).
  - io_uring: hold locks for io_req_complete_failed (bsc#1012628).
  - io_uring: use io_req_task_complete() in timeout (bsc#1012628).
  - io_uring: remove io_req_tw_post_queue (bsc#1012628).
  - io_uring: inline __io_req_complete_put() (bsc#1012628).
  - net: mana: Fix IRQ name - add PCI and queue number
    (bsc#1012628).
  - io_uring: always prep_async for drain requests (bsc#1012628).
  - i2c: designware: use casting of u64 in clock multiplication
    to avoid overflow (bsc#1012628).
  - i2c: designware: Fix unbalanced suspended flag (bsc#1012628).
  - drm/drm_vma_manager: Add drm_vma_node_allow_once()
    (bsc#1012628).
  - drm/i915: Fix a memory leak with reused mmap_offset
    (bsc#1012628).
  - iavf: fix temporary deadlock and failure to set MAC address
    (bsc#1012628).
  - iavf: schedule watchdog immediately when changing primary MAC
    (bsc#1012628).
  - netlink: prevent potential spectre v1 gadgets (bsc#1012628).
  - net: fix UaF in netns ops registration error path (bsc#1012628).
  - net: fec: Use page_pool_put_full_page when freeing rx buffers
    (bsc#1012628).
  - nvme: simplify transport specific device attribute handling
    (bsc#1012628).
  - nvme: consolidate setting the tagset flags (bsc#1012628).
  - nvme-fc: fix initialization order (bsc#1012628).
  - drm/i915/selftest: fix intel_selftest_modify_policy argument
    types (bsc#1012628).
  - ACPI: video: Add backlight=native DMI quirk for HP Pavilion
    g6-1d80nr (bsc#1012628).
  - ACPI: video: Add backlight=native DMI quirk for HP EliteBook
    8460p (bsc#1012628).
  - ACPI: video: Add backlight=native DMI quirk for Asus U46E
    (bsc#1012628).
  - netfilter: nft_set_rbtree: Switch to node list walk for overlap
    detection (bsc#1012628).
  - netfilter: nft_set_rbtree: skip elements in transaction from
    garbage collection (bsc#1012628).
  - netlink: annotate data races around nlk->portid (bsc#1012628).
  - netlink: annotate data races around dst_portid and dst_group
    (bsc#1012628).
  - netlink: annotate data races around sk_state (bsc#1012628).
  - ipv4: prevent potential spectre v1 gadget in
    ip_metrics_convert() (bsc#1012628).
  - ipv4: prevent potential spectre v1 gadget in fib_metrics_match()
    (bsc#1012628).
  - net: dsa: microchip: fix probe of I2C-connected KSZ8563
    (bsc#1012628).
  - net: ethernet: adi: adin1110: Fix multicast offloading
    (bsc#1012628).
  - netfilter: conntrack: fix vtag checks for
    ABORT/SHUTDOWN_COMPLETE (bsc#1012628).
  - netrom: Fix use-after-free of a listening socket (bsc#1012628).
  - platform/x86: asus-wmi: Fix kbd_dock_devid tablet-switch
    reporting (bsc#1012628).
  - platform/x86: apple-gmux: Move port defines to apple-gmux.h
    (bsc#1012628).
  - platform/x86: apple-gmux: Add apple_gmux_detect() helper
    (bsc#1012628).
  - ACPI: video: Fix apple gmux detection (bsc#1012628).
  - tracing/osnoise: Use built-in RCU list checking (bsc#1012628).
  - net/sched: sch_taprio: do not schedule in taprio_reset()
    (bsc#1012628).
  - sctp: fail if no bound addresses can be used for a given scope
    (bsc#1012628).
  - riscv/kprobe: Fix instruction simulation of JALR (bsc#1012628).
  - nvme: fix passthrough csi check (bsc#1012628).
  - gpio: mxc: Unlock on error path in mxc_flip_edge()
    (bsc#1012628).
  - gpio: ep93xx: Fix port F hwirq numbers in handler (bsc#1012628).
  - net: ravb: Fix lack of register setting after system resumed
    for Gen3 (bsc#1012628).
  - net: ravb: Fix possible hang if RIS2_QFF1 happen (bsc#1012628).
  - net: mctp: add an explicit reference from a mctp_sk_key to sock
    (bsc#1012628).
  - net: mctp: move expiry timer delete to unhash (bsc#1012628).
  - net: mctp: hold key reference when looking up a general key
    (bsc#1012628).
  - net: mctp: mark socks as dead on unhash, prevent re-add
    (bsc#1012628).
  - thermal: intel: int340x: Add locking to
    int340x_thermal_get_trip_type() (bsc#1012628).
  - riscv: Move call to init_cpu_topology() to later initialization
    stage (bsc#1012628).
  - net/tg3: resolve deadlock in tg3_reset_task() during EEH
    (bsc#1012628).
  - tsnep: Fix TX queue stop/wake for multiple queues (bsc#1012628).
  - net: mdio-mux-meson-g12a: force internal PHY off on mux switch
    (bsc#1012628).
  - Partially revert "perf/arm-cmn: Optimise DTC counter accesses"
    (bsc#1012628).
  - block: ublk: move ublk_chr_class destroying after devices are
    removed (bsc#1012628).
  - treewide: fix up files incorrectly marked executable
    (bsc#1012628).
  - tools: gpio: fix -c option of gpio-event-mon (bsc#1012628).
  - Fix up more non-executable files marked executable
    (bsc#1012628).
  - Revert "Input: synaptics - switch touchpad on HP Laptop
    15-da3001TU to RMI mode" (bsc#1012628).
  - Input: i8042 - add Clevo PCX0DX to i8042 quirk table
    (bsc#1012628).
  - x86/sev: Add SEV-SNP guest feature negotiation support
    (bsc#1012628).
  - acpi: Fix suspend with Xen PV (bsc#1012628).
  - dt-bindings: riscv: fix underscore requirement for multi-letter
    extensions (bsc#1012628).
  - dt-bindings: riscv: fix single letter canonical order
    (bsc#1012628).
  - x86/i8259: Mark legacy PIC interrupts with IRQ_LEVEL
    (bsc#1012628).
  - dt-bindings: i2c: renesas,rzv2m: Fix SoC specific string
    (bsc#1012628).
  - netfilter: conntrack: unify established states for SCTP paths
    (bsc#1012628).
  - perf/x86/amd: fix potential integer overflow on shift of a int
    (bsc#1012628).
  - amdgpu: fix build on non-DCN platforms (bsc#1012628).
  - Update config files.
  - commit 79d6a70
* Mon Jan 30 2023 jslaby@suse.cz
  - Revert "mm/compaction: fix set skip in fast_find_migrateblock"
    (bsc#1206848).
    Update upstream status.
  - commit e426c74
* Mon Jan 30 2023 mkubecek@suse.cz
  - Update to 6.2-rc6
  - eliminate 1 patch
    - patches.suse/0001-Revert-mm-compaction-fix-set-skip-in-fast_find_migra.patch
  - commit 4fa09ed
* Tue Jan 24 2023 tiwai@suse.de
  - ACPI: EC: Fix ECDT probe ordering issues (bsc#1207149).
  - ACPI: EC: Fix EC address space handler unregistration
    (bsc#1207149).
  - ACPICA: Allow address_space_handler Install and _REG execution
    as 2 separate steps (bsc#1207149).
  - ACPICA: include/acpi/acpixf.h: Fix indentation (bsc#1207149).
  - commit 2d8f09a
* Tue Jan 24 2023 jslaby@suse.cz
  - Linux 6.1.8 (bsc#1012628).
  - dma-buf: fix dma_buf_export init order v2 (bsc#1012628).
  - btrfs: fix trace event name typo for FLUSH_DELAYED_REFS
    (bsc#1012628).
  - wifi: iwlwifi: fw: skip PPAG for JF (bsc#1012628).
  - pNFS/filelayout: Fix coalescing test for single DS
    (bsc#1012628).
  - selftests/bpf: check null propagation only neither reg is
    PTR_TO_BTF_ID (bsc#1012628).
  - net: ethernet: marvell: octeontx2: Fix uninitialized variable
    warning (bsc#1012628).
  - tools/virtio: initialize spinlocks in vring_test.c
    (bsc#1012628).
  - vdpa/mlx5: Return error on vlan ctrl commands if not supported
    (bsc#1012628).
  - vdpa/mlx5: Avoid using reslock in event_handler (bsc#1012628).
  - vdpa/mlx5: Avoid overwriting CVQ iotlb (bsc#1012628).
  - virtio_pci: modify ENOENT to EINVAL (bsc#1012628).
  - vduse: Validate vq_num in vduse_validate_config() (bsc#1012628).
  - vdpa_sim_net: should not drop the multicast/broadcast packet
    (bsc#1012628).
  - net/ethtool/ioctl: return -EOPNOTSUPP if we have no phy stats
    (bsc#1012628).
  - r8169: move rtl_wol_enable_rx() and rtl_prepare_power_down()
    (bsc#1012628).
  - r8169: fix dmar pte write access is not set error (bsc#1012628).
  - bpf: keep a reference to the mm, in case the task is dead
    (bsc#1012628).
  - RDMA/srp: Move large values to a new enum for gcc13
    (bsc#1012628).
  - selftests: net: fix cmsg_so_mark.sh test hang (bsc#1012628).
  - btrfs: always report error in run_one_delayed_ref()
    (bsc#1012628).
  - x86/asm: Fix an assembler warning with current binutils
    (bsc#1012628).
  - f2fs: let's avoid panic if extent_tree is not created
    (bsc#1012628).
  - perf/x86/rapl: Treat Tigerlake like Icelake (bsc#1012628).
  - cifs: fix race in assemble_neg_contexts() (bsc#1012628).
  - memblock tests: Fix compilation error (bsc#1012628).
  - perf/x86/rapl: Add support for Intel Meteor Lake (bsc#1012628).
  - perf/x86/rapl: Add support for Intel Emerald Rapids
    (bsc#1012628).
  - of: fdt: Honor CONFIG_CMDLINE* even without /chosen node,
    take 2 (bsc#1012628).
  - fbdev: omapfb: avoid stack overflow warning (bsc#1012628).
  - Bluetooth: hci_sync: Fix use HCI_OP_LE_READ_BUFFER_SIZE_V2
    (bsc#1012628).
  - Bluetooth: hci_qca: Fix driver shutdown on closed serdev
    (bsc#1012628).
  - wifi: brcmfmac: fix regression for Broadcom PCIe wifi devices
    (bsc#1012628).
  - wifi: mac80211: fix MLO + AP_VLAN check (bsc#1012628).
  - wifi: mac80211: reset multiple BSSID options in stop_ap()
    (bsc#1012628).
  - wifi: mac80211: sdata can be NULL during AMPDU start
    (bsc#1012628).
  - nommu: fix memory leak in do_mmap() error path (bsc#1012628).
  - nommu: fix do_munmap() error path (bsc#1012628).
  - nommu: fix split_vma() map_count error (bsc#1012628).
  - proc: fix PIE proc-empty-vm, proc-pid-vm tests (bsc#1012628).
  - Add exception protection processing for vd in
    axi_chan_handle_err function (bsc#1012628).
  - LoongArch: Add HWCAP_LOONGARCH_CPUCFG to elf_hwcap
    (bsc#1012628).
  - zonefs: Detect append writes at invalid locations (bsc#1012628).
  - nilfs2: fix general protection fault in nilfs_btree_insert()
    (bsc#1012628).
  - mm/shmem: restore SHMEM_HUGE_DENY precedence over MADV_COLLAPSE
    (bsc#1012628).
  - hugetlb: unshare some PMDs when splitting VMAs (bsc#1012628).
  - mm/khugepaged: fix collapse_pte_mapped_thp() to allow anon_vma
    (bsc#1012628).
  - serial: stm32: Merge hard IRQ and threaded IRQ handling into
    single IRQ handler (bsc#1012628).
  - Revert "serial: stm32: Merge hard IRQ and threaded IRQ handling
    into single IRQ handler" (bsc#1012628).
  - xhci-pci: set the dma max_seg_size (bsc#1012628).
  - usb: xhci: Check endpoint is valid before dereferencing it
    (bsc#1012628).
  - xhci: Fix null pointer dereference when host dies (bsc#1012628).
  - xhci: Add update_hub_device override for PCI xHCI hosts
    (bsc#1012628).
  - xhci: Add a flag to disable USB3 lpm on a xhci root port level
    (bsc#1012628).
  - usb: acpi: add helper to check port lpm capability using acpi
    _DSM (bsc#1012628).
  - xhci: Detect lpm incapable xHC USB3 roothub ports from ACPI
    tables (bsc#1012628).
  - prlimit: do_prlimit needs to have a speculation check
    (bsc#1012628).
  - USB: serial: option: add Quectel EM05-G (GR) modem
    (bsc#1012628).
  - USB: serial: option: add Quectel EM05-G (CS) modem
    (bsc#1012628).
  - USB: serial: option: add Quectel EM05-G (RS) modem
    (bsc#1012628).
  - USB: serial: option: add Quectel EC200U modem (bsc#1012628).
  - USB: serial: option: add Quectel EM05CN (SG) modem
    (bsc#1012628).
  - USB: serial: option: add Quectel EM05CN modem (bsc#1012628).
  - staging: vchiq_arm: fix enum vchiq_status return types
    (bsc#1012628).
  - USB: misc: iowarrior: fix up header size for
    USB_DEVICE_ID_CODEMERCS_IOW100 (bsc#1012628).
  - usb: misc: onboard_hub: Invert driver registration order
    (bsc#1012628).
  - usb: misc: onboard_hub: Move 'attach' work to the driver
    (bsc#1012628).
  - misc: fastrpc: Fix use-after-free and race in fastrpc_map_find
    (bsc#1012628).
  - misc: fastrpc: Don't remove map on creater_process and
    device_release (bsc#1012628).
  - misc: fastrpc: Fix use-after-free race condition for maps
    (bsc#1012628).
  - usb: core: hub: disable autosuspend for TI TUSB8041
    (bsc#1012628).
  - comedi: adv_pci1760: Fix PWM instruction handling (bsc#1012628).
  - ACPI: PRM: Check whether EFI runtime is available (bsc#1012628).
  - mmc: sunxi-mmc: Fix clock refcount imbalance during unbind
    (bsc#1012628).
  - mmc: sdhci-esdhc-imx: correct the tuning start tap and step
    setting (bsc#1012628).
  - mm/hugetlb: fix PTE marker handling in
    hugetlb_change_protection() (bsc#1012628).
  - mm/hugetlb: fix uffd-wp handling for migration entries in
    hugetlb_change_protection() (bsc#1012628).
  - mm/hugetlb: pre-allocate pgtable pages for uffd wr-protects
    (bsc#1012628).
  - mm/userfaultfd: enable writenotify while userfaultfd-wp is
    enabled for a VMA (bsc#1012628).
  - mm/MADV_COLLAPSE: don't expand collapse when vm_end is past
    requested end (bsc#1012628).
  - btrfs: add extra error messages to cover non-ENOMEM errors
    from device_add_list() (bsc#1012628).
  - btrfs: fix missing error handling when logging directory items
    (bsc#1012628).
  - btrfs: fix directory logging due to race with concurrent index
    key deletion (bsc#1012628).
  - btrfs: add missing setup of log for full commit at
    add_conflicting_inode() (bsc#1012628).
  - btrfs: do not abort transaction on failure to write log tree
    when syncing log (bsc#1012628).
  - btrfs: do not abort transaction on failure to update log root
    (bsc#1012628).
  - btrfs: fix invalid leaf access due to inline extent during lseek
    (bsc#1012628).
  - btrfs: fix race between quota rescan and disable leading to
    NULL pointer deref (bsc#1012628).
  - cifs: do not include page data when checking signature
    (bsc#1012628).
  - thunderbolt: Disable XDomain lane 1 only in software connection
    manager (bsc#1012628).
  - thunderbolt: Use correct function to calculate maximum USB3
    link rate (bsc#1012628).
  - thunderbolt: Do not report errors if on-board retimers are found
    (bsc#1012628).
  - thunderbolt: Do not call PM runtime functions in
    tb_retimer_scan() (bsc#1012628).
  - riscv: dts: sifive: fu740: fix size of pcie 32bit memory
    (bsc#1012628).
  - bpf: restore the ebpf program ID for BPF_AUDIT_UNLOAD and
    PERF_BPF_EVENT_PROG_UNLOAD (bsc#1012628).
  - tty: serial: qcom-geni-serial: fix slab-out-of-bounds on RX
    FIFO buffer (bsc#1012628).
  - tty: fix possible null-ptr-defer in spk_ttyio_release
    (bsc#1012628).
  - pktcdvd: check for NULL returna fter calling
    bio_split_to_limits() (bsc#1012628).
  - io_uring/poll: don't reissue in case of poll race on multishot
    request (bsc#1012628).
  - mptcp: explicitly specify sock family at subflow creation time
    (bsc#1012628).
  - mptcp: netlink: respect v4/v6-only sockets (bsc#1012628).
  - selftests: mptcp: userspace: validate v4-v6 subflows mix
    (bsc#1012628).
  - USB: gadgetfs: Fix race between mounting and unmounting
    (bsc#1012628).
  - USB: serial: cp210x: add SCALANCE LPE-9000 device id
    (bsc#1012628).
  - usb: cdns3: remove fetched trb from cache before dequeuing
    (bsc#1012628).
  - usb: host: ehci-fsl: Fix module alias (bsc#1012628).
  - usb: musb: fix error return code in omap2430_probe()
    (bsc#1012628).
  - usb: typec: tcpm: Fix altmode re-registration causes sysfs
    create fail (bsc#1012628).
  - usb: typec: altmodes/displayport: Add pin assignment helper
    (bsc#1012628).
  - usb: typec: altmodes/displayport: Fix pin assignment calculation
    (bsc#1012628).
  - usb: gadget: g_webcam: Send color matching descriptor per frame
    (bsc#1012628).
  - USB: gadget: Add ID numbers to configfs-gadget driver names
    (bsc#1012628).
  - usb: gadget: f_ncm: fix potential NULL ptr deref in
    ncm_bitrate() (bsc#1012628).
  - usb-storage: apply IGNORE_UAS only for HIKSEMI MD202 on RTL9210
    (bsc#1012628).
  - arm64: dts: imx8mp: correct usb clocks (bsc#1012628).
  - dt-bindings: phy: g12a-usb2-phy: fix compatible string
    documentation (bsc#1012628).
  - dt-bindings: phy: g12a-usb3-pcie-phy: fix compatible string
    documentation (bsc#1012628).
  - serial: pch_uart: Pass correct sg to dma_unmap_sg()
    (bsc#1012628).
  - dmaengine: lgm: Move DT parsing after initialization
    (bsc#1012628).
  - dmaengine: tegra210-adma: fix global intr clear (bsc#1012628).
  - dmaengine: idxd: Let probe fail when workqueue cannot be enabled
    (bsc#1012628).
  - dmaengine: idxd: Prevent use after free on completion memory
    (bsc#1012628).
  - dmaengine: idxd: Do not call DMX TX callbacks during workqueue
    disable (bsc#1012628).
  - serial: amba-pl011: fix high priority character transmission
    in rs486 mode (bsc#1012628).
  - serial: atmel: fix incorrect baudrate setup (bsc#1012628).
  - serial: exar: Add support for Sealevel 7xxxC serial cards
    (bsc#1012628).
  - gsmi: fix null-deref in gsmi_get_variable (bsc#1012628).
  - mei: bus: fix unlink on bus in error path (bsc#1012628).
  - mei: me: add meteor lake point M DID (bsc#1012628).
  - VMCI: Use threaded irqs instead of tasklets (bsc#1012628).
  - ARM: dts: qcom: apq8084-ifc6540: fix overriding SDHCI
    (bsc#1012628).
  - ARM: omap1: fix !ARCH_OMAP1_ANY link failures (bsc#1012628).
  - drm/amdgpu: fix amdgpu_job_free_resources v2 (bsc#1012628).
  - drm/amdgpu: allow multipipe policy on ASICs with one MEC
    (bsc#1012628).
  - drm/amdgpu: Correct the power calcultion for Renior/Cezanne
    (bsc#1012628).
  - drm/i915: re-disable RC6p on Sandy Bridge (bsc#1012628).
  - drm/i915/display: Check source height is > 0 (bsc#1012628).
  - drm/i915: Allow switching away via vga-switcheroo if
    uninitialized (bsc#1012628).
  - drm/i915: Remove unused variable (bsc#1012628).
  - drm/amd/display: Fix set scaling doesn's work (bsc#1012628).
  - drm/amd/display: Calculate output_color_space after pixel
    encoding adjustment (bsc#1012628).
  - drm/amd/display: Fix COLOR_SPACE_YCBCR2020_TYPE matrix
    (bsc#1012628).
  - drm/amd/display: disable S/G display on DCN 3.1.5 (bsc#1012628).
  - drm/amd/display: disable S/G display on DCN 3.1.4 (bsc#1012628).
  - cifs: reduce roundtrips on create/qinfo requests (bsc#1012628).
  - fs/ntfs3: Fix attr_punch_hole() null pointer derenference
    (bsc#1012628).
  - arm64: efi: Execute runtime services from a dedicated stack
    (bsc#1012628).
  - efi: rt-wrapper: Add missing include (bsc#1012628).
  - panic: Separate sysctl logic from CONFIG_SMP (bsc#1012628).
  - exit: Put an upper limit on how often we can oops (bsc#1012628).
  - exit: Expose "oops_count" to sysfs (bsc#1012628).
  - exit: Allow oops_limit to be disabled (bsc#1012628).
  - panic: Consolidate open-coded panic_on_warn checks
    (bsc#1012628).
  - panic: Introduce warn_limit (bsc#1012628).
  - panic: Expose "warn_count" to sysfs (bsc#1012628).
  - docs: Fix path paste-o for /sys/kernel/warn_count (bsc#1012628).
  - exit: Use READ_ONCE() for all oops/warn limit reads
    (bsc#1012628).
  - x86/fpu: Use _Alignof to avoid undefined behavior in TYPE_ALIGN
    (bsc#1012628).
  - drm/amdgpu/discovery: enable soc21 common for GC 11.0.4
    (bsc#1012628).
  - drm/amdgpu/discovery: enable gmc v11 for GC 11.0.4
    (bsc#1012628).
  - drm/amdgpu/discovery: enable gfx v11 for GC 11.0.4
    (bsc#1012628).
  - drm/amdgpu/discovery: enable mes support for GC v11.0.4
    (bsc#1012628).
  - drm/amdgpu: set GC 11.0.4 family (bsc#1012628).
  - drm/amdgpu/discovery: set the APU flag for GC 11.0.4
    (bsc#1012628).
  - drm/amdgpu: add gfx support for GC 11.0.4 (bsc#1012628).
  - drm/amdgpu: add gmc v11 support for GC 11.0.4 (bsc#1012628).
  - drm/amdgpu/discovery: add PSP IP v13.0.11 support (bsc#1012628).
  - drm/amdgpu/pm: enable swsmu for SMU IP v13.0.11 (bsc#1012628).
  - drm/amdgpu: add smu 13 support for smu 13.0.11 (bsc#1012628).
  - drm/amdgpu/pm: add GFXOFF control IP version check for SMU IP
    v13.0.11 (bsc#1012628).
  - drm/amdgpu/soc21: add mode2 asic reset for SMU IP v13.0.11
    (bsc#1012628).
  - drm/amdgpu/pm: use the specific mailbox registers only for
    SMU IP v13.0.4 (bsc#1012628).
  - drm/amdgpu/discovery: enable nbio support for NBIO v7.7.1
    (bsc#1012628).
  - drm/amdgpu: enable PSP IP v13.0.11 support (bsc#1012628).
  - drm/amdgpu: enable GFX IP v11.0.4 CG support (bsc#1012628).
  - drm/amdgpu: enable GFX Power Gating for GC IP v11.0.4
    (bsc#1012628).
  - drm/amdgpu: enable GFX Clock Gating control for GC IP v11.0.4
    (bsc#1012628).
  - drm/amdgpu: add tmz support for GC 11.0.1 (bsc#1012628).
  - drm/amdgpu: add tmz support for GC IP v11.0.4 (bsc#1012628).
  - drm/amdgpu: correct MEC number for gfx11 APUs (bsc#1012628).
  - octeontx2-pf: Avoid use of GFP_KERNEL in atomic context
    (bsc#1012628).
  - net/ulp: use consistent error code when blocking ULP
    (bsc#1012628).
  - octeontx2-pf: Fix the use of GFP_KERNEL in atomic context on rt
    (bsc#1012628).
  - net/mlx5: fix missing mutex_unlock in
    mlx5_fw_fatal_reporter_err_work() (bsc#1012628).
  - block: mq-deadline: Rename deadline_is_seq_writes()
    (bsc#1012628).
  - Revert "wifi: mac80211: fix memory leak in ieee80211_if_add()"
    (bsc#1012628).
  - soc: qcom: apr: Make qcom,protection-domain optional again
    (bsc#1012628).
  - commit baebfe0
* Mon Jan 23 2023 jslaby@suse.cz
  - Update config files.
    Only run oldconfig. This is a left-over from commit 2ebd33fc0df1 (Update
    config files. Set saa7146 to pre-6.1 state (bsc#1206774)).
  - commit 7ea99cf
* Mon Jan 23 2023 jslaby@suse.cz
  - btrfs: qgroup: do not warn on record without old_roots populated
    (bsc#1206681).
  - commit ab906a1
* Mon Jan 23 2023 jslaby@suse.cz
  - Refresh
    patches.suse/v4-wifi-mac80211-fix-initialization-of-rx--link-and-rx--link_sta.patch.
    Update to upstream version.
  - commit a02770c
* Sun Jan 22 2023 mkubecek@suse.cz
  - objtool: Check that module init/exit function is an indirect
    call target.
  - commit 39a491d
* Sun Jan 22 2023 mkubecek@suse.cz
  - Update to 6.2-rc5
  - refresh configs
  - commit e1e1e9c
* Fri Jan 20 2023 jslaby@suse.cz
  - Update config files. Set saa7146 to pre-6.1 state (bsc#1206774).
    The driver was moved to staging and disabled by us in 6.1. Now it turned
    out it is actually used. So the driver is getting cleaned up. So enable
    it even when it is in staging, so that users can use it properly.
  - commit 2ebd33f
* Fri Jan 20 2023 ddiss@suse.de
  - git_sort: add usb-linus branch for gregkh/usb
  - commit 9c240f9
* Wed Jan 18 2023 jslaby@suse.cz
  - Linux 6.1.7 (bsc#1012628).
  - netfilter: nft_payload: incorrect arithmetics when fetching
    VLAN header bits (bsc#1012628).
  - ALSA: control-led: use strscpy in set_led_id() (bsc#1012628).
  - ALSA: usb-audio: Always initialize fixed_rate in
    snd_usb_find_implicit_fb_sync_format() (bsc#1012628).
  - ALSA: hda/realtek - Turn on power early (bsc#1012628).
  - ALSA: hda/realtek: Enable mute/micmute LEDs on HP Spectre x360
    13-aw0xxx (bsc#1012628).
  - KVM: x86: Do not return host topology information from
    KVM_GET_SUPPORTED_CPUID (bsc#1012628).
  - KVM: arm64: Fix S1PTW handling on RO memslots (bsc#1012628).
  - efi: fix userspace infinite retry read efivars after EFI
    runtime services page fault (bsc#1012628).
  - efi: tpm: Avoid READ_ONCE() for accessing the event log
    (bsc#1012628).
  - io_uring/poll: add hash if ready poll request can't complete
    inline (bsc#1012628).
  - arm64: mte: Fix double-freeing of the temporary tag storage
    during coredump (bsc#1012628).
  - arm64: mte: Avoid the racy walk of the vma list during core dump
    (bsc#1012628).
  - arm64: cmpxchg_double*: hazard against entire exchange variable
    (bsc#1012628).
  - ACPI: Fix selecting wrong ACPI fwnode for the iGPU on some
    Dell laptops (bsc#1012628).
  - net: stmmac: add aux timestamps fifo clearance wait
    (bsc#1012628).
  - perf auxtrace: Fix address filter duplicate symbol selection
    (bsc#1012628).
  - s390/kexec: fix ipl report address for kdump (bsc#1012628).
  - brcmfmac: Prefer DT board type over DMI board type
    (bsc#1012628).
  - ASoC: qcom: lpass-cpu: Fix fallback SD line index handling
    (bsc#1012628).
  - elfcore: Add a cprm parameter to
    elf_core_extra_{phdrs,data_size} (bsc#1012628).
  - cpufreq: amd-pstate: fix kernel hang issue while amd-pstate
    unregistering (bsc#1012628).
  - s390/cpum_sf: add READ_ONCE() semantics to compare and swap
    loops (bsc#1012628).
  - s390/percpu: add READ_ONCE() to arch_this_cpu_to_op_simple()
    (bsc#1012628).
  - drm/virtio: Fix GEM handle creation UAF (bsc#1012628).
  - drm/amd/pm/smu13: BACO is supported when it's in BACO state
    (bsc#1012628).
  - drm: Optimize drm buddy top-down allocation method
    (bsc#1012628).
  - drm/i915/gt: Reset twice (bsc#1012628).
  - drm/i915: Reserve enough fence slot for i915_vma_unbind_async
    (bsc#1012628).
  - drm/i915: Fix potential context UAFs (bsc#1012628).
  - drm/amd: Delay removal of the firmware framebuffer
    (bsc#1012628).
  - drm/amdgpu: Fixed bug on error when unloading amdgpu
    (bsc#1012628).
  - drm/amd/pm: correct the reference clock for fan speed(rpm)
    calculation (bsc#1012628).
  - drm/amd/pm: add the missing mapping for PPT feature on SMU13.0.0
    and 13.0.7 (bsc#1012628).
  - drm/amd/display: move remaining FPU code to dml folder
    (bsc#1012628).
  - Revert "drm/amdgpu: Revert "drm/amdgpu: getting fan speed pwm
    for vega10 properly"" (bsc#1012628).
  - cifs: Fix uninitialized memory read for smb311 posix symlink
    create (bsc#1012628).
  - cifs: fix file info setting in cifs_query_path_info()
    (bsc#1012628).
  - cifs: fix file info setting in cifs_open_file() (bsc#1012628).
  - cifs: do not query ifaces on smb1 mounts (bsc#1012628).
  - cifs: fix double free on failed kerberos auth (bsc#1012628).
  - io_uring/fdinfo: include locked hash table in fdinfo output
    (bsc#1012628).
  - ASoC: rt9120: Make dev PM runtime bind AsoC component PM
    (bsc#1012628).
  - ACPI: video: Allow selecting NVidia-WMI-EC or Apple GMUX
    backlight from the cmdline (bsc#1012628).
  - platform/x86: dell-privacy: Only register SW_CAMERA_LENS_COVER
    if present (bsc#1012628).
  - platform/surface: aggregator: Ignore command messages not
    intended for us (bsc#1012628).
  - platform/x86: int3472/discrete: Ensure the clk/power enable
    pins are in output mode (bsc#1012628).
  - platform/x86: thinkpad_acpi: Fix profile mode display in AMT
    mode (bsc#1012628).
  - platform/x86: asus-wmi: Don't load fan curves without fan
    (bsc#1012628).
  - platform/x86: dell-privacy: Fix SW_CAMERA_LENS_COVER reporting
    (bsc#1012628).
  - dt-bindings: msm: dsi-controller-main: Fix operating-points-v2
    constraint (bsc#1012628).
  - drm/msm: another fix for the headless Adreno GPU (bsc#1012628).
  - firmware/psci: Fix MEM_PROTECT_RANGE function numbers
    (bsc#1012628).
  - firmware/psci: Don't register with debugfs if PSCI isn't
    available (bsc#1012628).
  - drm/msm/adreno: Make adreno quirks not overwrite each other
    (bsc#1012628).
  - arm64/signal: Always allocate SVE signal frames on SME only
    systems (bsc#1012628).
  - dt-bindings: msm: dsi-controller-main: Fix power-domain
    constraint (bsc#1012628).
  - dt-bindings: msm: dsi-controller-main: Fix description of core
    clock (bsc#1012628).
  - arm64/signal: Always accept SVE signal frames on SME only
    systems (bsc#1012628).
  - arm64/mm: add pud_user_exec() check in
    pud_user_accessible_page() (bsc#1012628).
  - dt-bindings: msm: dsi-phy-28nm: Add missing qcom,
    dsi-phy-regulator-ldo-mode (bsc#1012628).
  - arm64: ptrace: Use ARM64_SME to guard the SME register
    enumerations (bsc#1012628).
  - arm64/mm: fix incorrect file_map_count for invalid pmd
    (bsc#1012628).
  - platform/x86: ideapad-laptop: Add Legion 5 15ARH05 DMI id to
    set_fn_lock_led_list[] (bsc#1012628).
  - drm/msm/dp: do not complete dp_aux_cmd_fifo_tx() if irq is
    not for aux transfer (bsc#1012628).
  - dt-bindings: msm/dsi: Don't require vdds-supply on 10nm PHY
    (bsc#1012628).
  - dt-bindings: msm/dsi: Don't require vcca-supply on 14nm PHY
    (bsc#1012628).
  - platform/x86: sony-laptop: Don't turn off 0x153 keyboard
    backlight during probe (bsc#1012628).
  - ixgbe: fix pci device refcount leak (bsc#1012628).
  - ipv6: raw: Deduct extension header length in
    rawv6_push_pending_frames (bsc#1012628).
  - iavf/iavf_main: actually log ->src mask when talking about it
    (bsc#1012628).
  - drm/i915/gt: Cleanup partial engine discovery failures
    (bsc#1012628).
  - usb: ulpi: defer ulpi_register on ulpi_read_id timeout
    (bsc#1012628).
  - drm/amd/pm: enable mode1 reset on smu_v13_0_10 (bsc#1012628).
  - drm/amd/pm: Enable bad memory page/channel recording support
    for smu v13_0_0 (bsc#1012628).
  - drm/amd/pm: enable GPO dynamic control support for SMU13.0.0
    (bsc#1012628).
  - drm/amd/pm: enable GPO dynamic control support for SMU13.0.7
    (bsc#1012628).
  - drm/amdgpu: add soc21 common ip block support for GC 11.0.4
    (bsc#1012628).
  - drm/amdgpu: Enable pg/cg flags on GC11_0_4 for VCN
    (bsc#1012628).
  - drm/amdgpu: enable VCN DPG for GC IP v11.0.4 (bsc#1012628).
  - mm: Always release pages to the buddy allocator in
    memblock_free_late() (bsc#1012628).
  - iommu/iova: Fix alloc iova overflows issue (bsc#1012628).
  - iommu/arm-smmu-v3: Don't unregister on shutdown (bsc#1012628).
  - iommu/mediatek-v1: Fix an error handling path in
    mtk_iommu_v1_probe() (bsc#1012628).
  - iommu/arm-smmu: Don't unregister on shutdown (bsc#1012628).
  - iommu/arm-smmu: Report IOMMU_CAP_CACHE_COHERENCY even betterer
    (bsc#1012628).
  - sched/core: Fix use-after-free bug in dup_user_cpus_ptr()
    (bsc#1012628).
  - netfilter: ipset: Fix overflow before widen in the
    bitmap_ip_create() function (bsc#1012628).
  - selftests: netfilter: fix transaction test script timeout
    handling (bsc#1012628).
  - powerpc/imc-pmu: Fix use of mutex in IRQs disabled section
    (bsc#1012628).
  - x86/boot: Avoid using Intel mnemonics in AT&T syntax asm
    (bsc#1012628).
  - EDAC/device: Fix period calculation in
    edac_device_reset_delay_period() (bsc#1012628).
  - x86/pat: Fix pat_x_mtrr_type() for MTRR disabled case
    (bsc#1012628).
  - x86/resctrl: Fix task CLOSID/RMID update race (bsc#1012628).
  - x86/resctrl: Fix event counts regression in reused RMIDs
    (bsc#1012628).
  - regulator: da9211: Use irq handler when ready (bsc#1012628).
  - scsi: storvsc: Fix swiotlb bounce buffer leak in confidential VM
    (bsc#1012628).
  - scsi: mpi3mr: Refer CONFIG_SCSI_MPI3MR in Makefile
    (bsc#1012628).
  - scsi: ufs: core: WLUN suspend SSU/enter hibern8 fail recovery
    (bsc#1012628).
  - ASoC: Intel: fix sof-nau8825 link failure (bsc#1012628).
  - ASoC: Intel: sof_nau8825: support rt1015p speaker amplifier
    (bsc#1012628).
  - ASoC: Intel: sof-nau8825: fix module alias overflow
    (bsc#1012628).
  - drm/msm/dpu: Fix some kernel-doc comments (bsc#1012628).
  - drm/msm/dpu: Fix memory leak in msm_mdss_parse_data_bus_icc_path
    (bsc#1012628).
  - ASoC: wm8904: fix wrong outputs volume after power reactivation
    (bsc#1012628).
  - mtd: parsers: scpart: fix __udivdi3 undefined on mips
    (bsc#1012628).
  - mtd: cfi: allow building spi-intel standalone (bsc#1012628).
  - stmmac: dwmac-mediatek: remove the dwmac_fix_mac_speed
    (bsc#1012628).
  - tipc: fix unexpected link reset due to discovery messages
    (bsc#1012628).
  - NFSD: Pass the target nfsd_file to nfsd_commit() (bsc#1012628).
  - NFSD: Revert "NFSD: NFSv4 CLOSE should release an nfsd_file
    immediately" (bsc#1012628).
  - NFSD: Add an NFSD_FILE_GC flag to enable nfsd_file garbage
    collection (bsc#1012628).
  - nfsd: remove the pages_flushed statistic from filecache
    (bsc#1012628).
  - nfsd: reorganize filecache.c (bsc#1012628).
  - NFSD: Add an nfsd_file_fsync tracepoint (bsc#1012628).
  - nfsd: rework refcounting in filecache (bsc#1012628).
  - nfsd: fix handling of cached open files in nfsd4_open codepath
    (bsc#1012628).
  - octeontx2-af: Fix LMAC config in cgx_lmac_rx_tx_enable
    (bsc#1012628).
  - sched/core: Fix arch_scale_freq_tick() on tickless systems
    (bsc#1012628).
  - hvc/xen: lock console list traversal (bsc#1012628).
  - nfc: pn533: Wait for out_urb's completion in
    pn533_usb_send_frame() (bsc#1012628).
  - gro: avoid checking for a failed search (bsc#1012628).
  - gro: take care of DODGY packets (bsc#1012628).
  - af_unix: selftest: Fix the size of the parameter to connect()
    (bsc#1012628).
  - ASoC: qcom: Fix building APQ8016 machine driver without
    SOUNDWIRE (bsc#1012628).
  - tools/nolibc: restore mips branch ordering in the _start block
    (bsc#1012628).
  - tools/nolibc: fix the O_* fcntl/open macro definitions for riscv
    (bsc#1012628).
  - drm/amdgpu: Fix potential NULL dereference (bsc#1012628).
  - ice: Fix potential memory leak in ice_gnss_tty_write()
    (bsc#1012628).
  - ice: Add check for kzalloc (bsc#1012628).
  - drm/vmwgfx: Write the driver id registers (bsc#1012628).
  - drm/vmwgfx: Refactor resource manager's hashtable to use
    linux/hashtable implementation (bsc#1012628).
  - drm/vmwgfx: Remove ttm object hashtable (bsc#1012628).
  - drm/vmwgfx: Refactor resource validation hashtable to use
    linux/hashtable implementation (bsc#1012628).
  - drm/vmwgfx: Refactor ttm reference object hashtable to use
    linux/hashtable (bsc#1012628).
  - drm/vmwgfx: Remove vmwgfx_hashtab (bsc#1012628).
  - drm/vmwgfx: Remove rcu locks from user resources (bsc#1012628).
  - net/sched: act_mpls: Fix warning during failed attribute
    validation (bsc#1012628).
  - Revert "r8169: disable detection of chip version 36"
    (bsc#1012628).
  - net/mlx5: check attr pointer validity before dereferencing it
    (bsc#1012628).
  - net/mlx5e: TC, Keep mod hdr actions after mod hdr alloc
    (bsc#1012628).
  - net/mlx5: Fix command stats access after free (bsc#1012628).
  - net/mlx5e: Verify dev is present for fix features ndo
    (bsc#1012628).
  - net/mlx5e: IPoIB, Block queue count configuration when sub
    interfaces are present (bsc#1012628).
  - net/mlx5e: IPoIB, Block PKEY interfaces with less rx queues
    than parent (bsc#1012628).
  - net/mlx5e: IPoIB, Fix child PKEY interface stats on rx path
    (bsc#1012628).
  - net/mlx5: Fix ptp max frequency adjustment range (bsc#1012628).
  - net/mlx5e: Don't support encap rules with gbp option
    (bsc#1012628).
  - net/mlx5e: Fix macsec ssci attribute handling in offload path
    (bsc#1012628).
  - net/mlx5e: Fix macsec possible null dereference when updating
    MAC security entity (SecY) (bsc#1012628).
  - selftests/net: l2_tos_ttl_inherit.sh: Set IPv6 addresses with
    "nodad" (bsc#1012628).
  - selftests/net: l2_tos_ttl_inherit.sh: Run tests in their own
    netns (bsc#1012628).
  - selftests/net: l2_tos_ttl_inherit.sh: Ensure environment
    cleanup on failure (bsc#1012628).
  - octeontx2-pf: Fix resource leakage in VF driver unbind
    (bsc#1012628).
  - perf build: Properly guard libbpf includes (bsc#1012628).
  - perf kmem: Support legacy tracepoints (bsc#1012628).
  - perf kmem: Support field "node" in evsel__process_alloc_event()
    coping with recent tracepoint restructuring (bsc#1012628).
  - igc: Fix PPS delta between two synchronized end-points
    (bsc#1012628).
  - net: lan966x: check for ptp to be enabled in
    lan966x_ptp_deinit() (bsc#1012628).
  - net: hns3: fix wrong use of rss size during VF rss config
    (bsc#1012628).
  - bnxt: make sure we return pages to the pool (bsc#1012628).
  - platform/surface: aggregator: Add missing call to
    ssam_request_sync_free() (bsc#1012628).
  - platform/x86/amd: Fix refcount leak in amd_pmc_probe
    (bsc#1012628).
  - ALSA: usb-audio: Fix possible NULL pointer dereference in
    snd_usb_pcm_has_fixed_rate() (bsc#1012628).
  - efi: fix NULL-deref in init error path (bsc#1012628).
  - io_uring: lock overflowing for IOPOLL (bsc#1012628).
  - io_uring/poll: attempt request issue after racy poll wakeup
    (bsc#1012628).
  - drm/i915: Fix CFI violations in gt_sysfs (bsc#1012628).
  - io_uring/io-wq: free worker if task_work creation is canceled
    (bsc#1012628).
  - io_uring/io-wq: only free worker if it was allocated for
    creation (bsc#1012628).
  - block: handle bio_split_to_limits() NULL return (bsc#1012628).
  - Revert "usb: ulpi: defer ulpi_register on ulpi_read_id timeout"
    (bsc#1012628).
  - pinctrl: amd: Add dynamic debugging for active GPIOs
    (bsc#1012628).
  - Update config files.
  - commit 872045c
* Tue Jan 17 2023 vbabka@suse.cz
  - mm, mremap: fix mremap() expanding for vma's with
    vm_ops->close() (bsc#1206359).
  - commit e6ff94b
* Tue Jan 17 2023 jslaby@suse.cz
  - Refresh
    patches.suse/ALSA-usb-audio-Make-sure-to-stop-endpoints-before-cl.patch.
  - Refresh
    patches.suse/ALSA-usb-audio-More-refactoring-of-hw-constraint-rul.patch.
  - Refresh
    patches.suse/ALSA-usb-audio-Relax-hw-constraints-for-implicit-fb-.patch.
  - Refresh
    patches.suse/Revert-ALSA-usb-audio-Drop-superfluous-interface-set.patch.
  - Update
    patches.suse/docs-Fix-the-docs-build-with-Sphinx-6.0.patch
    (sphinx_6.0).
    Update upstream statuses.
  - commit ffddea5
* Mon Jan 16 2023 schwab@suse.de
  - rpm/mkspec-dtb: add riscv64 dtb-renesas subpackage
  - commit 6020754
* Mon Jan 16 2023 msuchanek@suse.de
  - Refresh patches.rpmify/bpf_doc-Fix-build-error-with-older-python-versions.patch.
  - commit df46e81
* Mon Jan 16 2023 jslaby@suse.cz
  - Linux 6.1.6 (bsc#1012628).
  - ALSA: hda: cs35l41: Check runtime suspend capability at
    runtime_idle (bsc#1012628).
  - ALSA: hda - Enable headset mic on another Dell laptop with
    ALC3254 (bsc#1012628).
  - ALSA: hda: cs35l41: Don't return -EINVAL from system
    suspend/resume (bsc#1012628).
  - ALSA: hda/realtek: fix mute/micmute LEDs don't work for a HP
    platform (bsc#1012628).
  - ALSA: hda/hdmi: Add a HP device 0x8715 to force connect list
    (bsc#1012628).
  - ALSA: pcm: Move rwsem lock inside snd_ctl_elem_read to prevent
    UAF (bsc#1012628).
  - net: sched: disallow noqueue for qdisc classes (bsc#1012628).
  - gcc: disable -Warray-bounds for gcc-11 too (bsc#1012628).
  - Update config files.
  - Revert "SUNRPC: Use RMW bitops in single-threaded hot paths"
    (bsc#1012628).
  - selftests/vm/pkeys: Add a regression test for setting PKRU
    through ptrace (bsc#1012628).
  - x86/fpu: Emulate XRSTOR's behavior if the xfeatures PKRU bit
    is not set (bsc#1012628).
  - x86/fpu: Allow PKRU to be (once again) written by ptrace
    (bsc#1012628).
  - x86/fpu: Add a pkru argument to copy_uabi_to_xstate()
    (bsc#1012628).
  - x86/fpu: Add a pkru argument to
    copy_uabi_from_kernel_to_xstate() (bsc#1012628).
  - x86/fpu: Take task_struct* in
    copy_sigframe_from_user_to_xstate() (bsc#1012628).
  - parisc: Align parisc MADV_XXX constants with all other
    architectures (bsc#1012628).
  - commit 573f4a9
* Sun Jan 15 2023 mkubecek@suse.cz
  - Update to 6.2-rc4
  - eliminate 2 patches
    - patches.suse/docs-Fix-the-docs-build-with-Sphinx-6.0.patch
    - patches.suse/drm-amdgpu-fix-pipeline-sync-v2.patch
  - update configs
    - ARM64_ERRATUM_2645198=y (arm64)
    - SND_SOC_APQ8016_SBC=m (armv7hl/default, value from arm64)
    - SND_SOC_MSM8996=m (armv7hl/default, value from arm64)
    - SND_SOC_SC7180=m (armv7hl/default, value from arm64)
  - commit b1ecb39
* Fri Jan 13 2023 vbabka@suse.cz
  - Revert "mm/compaction: fix set skip in fast_find_migrateblock"
    (bsc#1206848).
  - commit 5049637
* Fri Jan 13 2023 vbabka@suse.cz
  - Revert "mm/compaction: fix set skip in fast_find_migrateblock"
    (bsc#1206848).
  - commit e99ed0e
* Fri Jan 13 2023 mkubecek@suse.cz
  - drm/amdgpu: fix pipeline sync v2
    (https://gitlab.freedesktop.org/drm/amd/-/issues/2323).
  - Delete
    patches.suse/Revert-drm-amdgpu-move-explicit-sync-check-into-the-.patch.
  - Delete
    patches.suse/Revert-drm-amdgpu-use-scheduler-dependencies-for-CS.patch.
  - Delete
    patches.suse/Revert-drm-scheduler-remove-drm_sched_dependency_opt.patch.
    Replace reverts of offending commits by queued upstream fix.
  - commit 90ac672
* Thu Jan 12 2023 jslaby@suse.cz
  - Linux 6.1.5 (bsc#1012628).
  - ARM: renumber bits related to _TIF_WORK_MASK (bsc#1012628).
  - btrfs: replace strncpy() with strscpy() (bsc#1012628).
  - cifs: fix interface count calculation during refresh
    (bsc#1012628).
  - cifs: refcount only the selected iface during interface update
    (bsc#1012628).
  - usb: dwc3: gadget: Ignore End Transfer delay on teardown
    (bsc#1012628).
  - btrfs: fix off-by-one in delalloc search during lseek
    (bsc#1012628).
  - btrfs: fix compat_ro checks against remount (bsc#1012628).
  - perf probe: Use dwarf_attr_integrate as generic DWARF attr
    accessor (bsc#1012628).
  - perf probe: Fix to get the DW_AT_decl_file and DW_AT_call_file
    as unsinged data (bsc#1012628).
  - phy: qcom-qmp-combo: fix broken power on (bsc#1012628).
  - btrfs: fix an error handling path in btrfs_defrag_leaves()
    (bsc#1012628).
  - SUNRPC: ensure the matching upcall is in-flight upon downcall
    (bsc#1012628).
  - wifi: ath9k: use proper statements in conditionals
    (bsc#1012628).
  - bpf: pull before calling skb_postpull_rcsum() (bsc#1012628).
  - drm/panfrost: Fix GEM handle creation ref-counting
    (bsc#1012628).
  - netfilter: nf_tables: consolidate set description (bsc#1012628).
  - netfilter: nf_tables: add function to create set stateful
    expressions (bsc#1012628).
  - netfilter: nf_tables: perform type checking for existing sets
    (bsc#1012628).
  - ice: xsk: do not use xdp_return_frame() on tx_buf->raw_buf
    (bsc#1012628).
  - net: vrf: determine the dst using the original ifindex for
    multicast (bsc#1012628).
  - vmxnet3: correctly report csum_level for encapsulated packet
    (bsc#1012628).
  - mptcp: fix deadlock in fastopen error path (bsc#1012628).
  - mptcp: fix lockdep false positive (bsc#1012628).
  - netfilter: nf_tables: honor set timeout and garbage collection
    updates (bsc#1012628).
  - bonding: fix lockdep splat in bond_miimon_commit()
    (bsc#1012628).
  - net: lan966x: Fix configuration of the PCS (bsc#1012628).
  - veth: Fix race with AF_XDP exposing old or uninitialized
    descriptors (bsc#1012628).
  - nfsd: shut down the NFSv4 state objects before the filecache
    (bsc#1012628).
  - net: hns3: add interrupts re-initialization while doing VF FLR
    (bsc#1012628).
  - net: hns3: fix miss L3E checking for rx packet (bsc#1012628).
  - net: hns3: fix VF promisc mode not update when mac table full
    (bsc#1012628).
  - net: sched: fix memory leak in tcindex_set_parms (bsc#1012628).
  - qlcnic: prevent ->dcb use-after-free on qlcnic_dcb_enable()
    failure (bsc#1012628).
  - net: dsa: mv88e6xxx: depend on PTP conditionally (bsc#1012628).
  - nfc: Fix potential resource leaks (bsc#1012628).
  - bnxt_en: Simplify bnxt_xdp_buff_init() (bsc#1012628).
  - bnxt_en: Fix XDP RX path (bsc#1012628).
  - bnxt_en: Fix first buffer size calculations for XDP multi-buffer
    (bsc#1012628).
  - bnxt_en: Fix HDS and jumbo thresholds for RX packets
    (bsc#1012628).
  - vdpa/mlx5: Fix rule forwarding VLAN to TIR (bsc#1012628).
  - vdpa/mlx5: Fix wrong mac address deletion (bsc#1012628).
  - vdpa_sim: fix possible memory leak in vdpasim_net_init()
    and vdpasim_blk_init() (bsc#1012628).
  - vhost/vsock: Fix error handling in vhost_vsock_init()
    (bsc#1012628).
  - vringh: fix range used in iotlb_translate() (bsc#1012628).
  - vhost: fix range used in translate_desc() (bsc#1012628).
  - vhost-vdpa: fix an iotlb memory leak (bsc#1012628).
  - vdpa_sim: fix vringh initialization in vdpasim_queue_ready()
    (bsc#1012628).
  - virtio-crypto: fix memory leak in
    virtio_crypto_alg_skcipher_close_session() (bsc#1012628).
  - vdpa/vp_vdpa: fix kfree a wrong pointer in vp_vdpa_remove
    (bsc#1012628).
  - vdpasim: fix memory leak when freeing IOTLBs (bsc#1012628).
  - net/mlx5: E-Switch, properly handle ingress tagged packets on
    VST (bsc#1012628).
  - net/mlx5: Add forgotten cleanup calls into mlx5_init_once()
    error path (bsc#1012628).
  - net/mlx5: Fix io_eq_size and event_eq_size params validation
    (bsc#1012628).
  - net/mlx5: Avoid recovery in probe flows (bsc#1012628).
  - net/mlx5: Fix RoCE setting at HCA level (bsc#1012628).
  - net/mlx5e: IPoIB, Don't allow CQE compression to be turned on
    by default (bsc#1012628).
  - net/mlx5e: Fix RX reporter for XSK RQs (bsc#1012628).
  - net/mlx5e: CT: Fix ct debugfs folder name (bsc#1012628).
  - net/mlx5e: Always clear dest encap in neigh-update-del
    (bsc#1012628).
  - net/mlx5e: Fix hw mtu initializing at XDP SQ allocation
    (bsc#1012628).
  - net/mlx5e: Set geneve_tlv_option_0_exist when matching on
    geneve option (bsc#1012628).
  - net/mlx5: Lag, fix failure to cancel delayed bond work
    (bsc#1012628).
  - bpf: Always use maximal size for copy_array() (bsc#1012628).
  - net: hns3: refine the handling for VF heartbeat (bsc#1012628).
  - net: amd-xgbe: add missed tasklet_kill (bsc#1012628).
  - net: ena: Fix toeplitz initial hash value (bsc#1012628).
  - net: ena: Don't register memory info on XDP exchange
    (bsc#1012628).
  - net: ena: Account for the number of processed bytes in XDP
    (bsc#1012628).
  - net: ena: Use bitmask to indicate packet redirection
    (bsc#1012628).
  - net: ena: Fix rx_copybreak value update (bsc#1012628).
  - net: ena: Set default value for RX interrupt moderation
    (bsc#1012628).
  - net: ena: Update NUMA TPH hint register upon NUMA node update
    (bsc#1012628).
  - net: phy: xgmiitorgmii: Fix refcount leak in xgmiitorgmii_probe
    (bsc#1012628).
  - gpio: pca953x: avoid to use uninitialized value pinctrl
    (bsc#1012628).
  - RDMA/mlx5: Fix mlx5_ib_get_hw_stats when used for device
    (bsc#1012628).
  - RDMA/mlx5: Fix validation of max_rd_atomic caps for DC
    (bsc#1012628).
  - selftests: net: fix cleanup_v6() for arp_ndisc_evict_nocarrier
    (bsc#1012628).
  - selftests: net: return non-zero for failures reported in
    arp_ndisc_evict_nocarrier (bsc#1012628).
  - drm/meson: Reduce the FIFO lines held when AFBC is not used
    (bsc#1012628).
  - filelock: new helper: vfs_inode_has_locks (bsc#1012628).
  - ceph: switch to vfs_inode_has_locks() to fix file lock bug
    (bsc#1012628).
  - gpio: sifive: Fix refcount leak in sifive_gpio_probe
    (bsc#1012628).
  - net: sched: atm: dont intepret cls results when asked to drop
    (bsc#1012628).
  - net: sched: cbq: dont intepret cls results when asked to drop
    (bsc#1012628).
  - vxlan: Fix memory leaks in error path (bsc#1012628).
  - net: sparx5: Fix reading of the MAC address (bsc#1012628).
  - netfilter: ipset: fix hash:net,port,net hang with /0 subnet
    (bsc#1012628).
  - netfilter: ipset: Rework long task execution when
    adding/deleting entries (bsc#1012628).
  - drm/virtio: Fix memory leak in virtio_gpu_object_create()
    (bsc#1012628).
  - perf tools: Fix resources leak in perf_data__open_dir()
    (bsc#1012628).
  - drm/imx: ipuv3-plane: Fix overlay plane width (bsc#1012628).
  - fs/ntfs3: don't hold ni_lock when calling truncate_setsize()
    (bsc#1012628).
  - drivers/net/bonding/bond_3ad: return when there's no aggregator
    (bsc#1012628).
  - octeontx2-pf: Fix lmtst ID used in aura free (bsc#1012628).
  - usb: rndis_host: Secure rndis_query check against int overflow
    (bsc#1012628).
  - perf lock contention: Fix core dump related to not finding the
    "__sched_text_end" symbol on s/390 (bsc#1012628).
  - perf stat: Fix handling of unsupported cgroup events when
    using BPF counters (bsc#1012628).
  - perf stat: Fix handling of --for-each-cgroup with --bpf-counters
    to match non BPF mode (bsc#1012628).
  - drm/i915: unpin on error in intel_vgpu_shadow_mm_pin()
    (bsc#1012628).
  - drm/i915/gvt: fix double free bug in split_2MB_gtt_entry
    (bsc#1012628).
  - ublk: honor IO_URING_F_NONBLOCK for handling control command
    (bsc#1012628).
  - qed: allow sleep in qed_mcp_trace_dump() (bsc#1012628).
  - net/ulp: prevent ULP without clone op from entering the LISTEN
    status (bsc#1012628).
  - caif: fix memory leak in cfctrl_linkup_request() (bsc#1012628).
  - udf: Fix extension of the last extent in the file (bsc#1012628).
  - usb: dwc3: xilinx: include linux/gpio/consumer.h (bsc#1012628).
  - hfs/hfsplus: avoid WARN_ON() for sanity check, use proper
    error handling (bsc#1012628).
  - ASoC: SOF: Revert: "core: unregister clients and machine
    drivers in .shutdown" (bsc#1012628).
  - 9p/client: fix data race on req->status (bsc#1012628).
  - ASoC: Intel: bytcr_rt5640: Add quirk for the Advantech MICA-071
    tablet (bsc#1012628).
  - ASoC: SOF: mediatek: initialize panic_info to zero
    (bsc#1012628).
  - drm/amdgpu: Fix size validation for non-exclusive domains (v4)
    (bsc#1012628).
  - drm/amdkfd: Fix kfd_process_device_init_vm error handling
    (bsc#1012628).
  - drm/amdkfd: Fix double release compute pasid (bsc#1012628).
  - io_uring/cancel: re-grab ctx mutex after finishing wait
    (bsc#1012628).
  - nvme: fix multipath crash caused by flush request when blktrace
    is enabled (bsc#1012628).
  - ACPI: video: Allow GPU drivers to report no panels
    (bsc#1012628).
  - drm/amd/display: Report to ACPI video if no panels were found
    (bsc#1012628).
  - ACPI: video: Don't enable fallback path for creating ACPI
    backlight by default (bsc#1012628).
  - io_uring: check for valid register opcode earlier (bsc#1012628).
  - kunit: alloc_string_stream_fragment error handling bug fix
    (bsc#1012628).
  - nvmet: use NVME_CMD_EFFECTS_CSUPP instead of open coding it
    (bsc#1012628).
  - nvme: also return I/O command effects from nvme_command_effects
    (bsc#1012628).
  - ASoC: SOF: Intel: pci-tgl: unblock S5 entry if DMA stop has
    failed" (bsc#1012628).
  - x86/kexec: Fix double-free of elf header buffer (bsc#1012628).
  - x86/bugs: Flush IBP in ib_prctl_set() (bsc#1012628).
  - nfsd: fix handling of readdir in v4root vs. mount upcall timeout
    (bsc#1012628).
  - fbdev: matroxfb: G200eW: Increase max memory from 1 MB to 16 MB
    (bsc#1012628).
  - bpf: Fix panic due to wrong pageattr of im->image (bsc#1012628).
  - Revert "drm/amd/display: Enable Freesync Video Mode by default"
    (bsc#1012628).
  - Revert "net: dsa: qca8k: cache lo and hi for mdio write"
    (bsc#1012628).
  - net: dsa: qca8k: fix wrong length value for mgmt eth packet
    (bsc#1012628).
  - net: dsa: tag_qca: fix wrong MGMT_DATA2 size (bsc#1012628).
  - block: don't allow splitting of a REQ_NOWAIT bio (bsc#1012628).
  - io_uring: pin context while queueing deferred tw (bsc#1012628).
  - io_uring: fix CQ waiting timeout handling (bsc#1012628).
  - tpm: Allow system suspend to continue when TPM suspend fails
    (bsc#1012628).
  - vhost_vdpa: fix the crash in unmap a large memory (bsc#1012628).
  - thermal: int340x: Add missing attribute for data rate base
    (bsc#1012628).
  - riscv: uaccess: fix type of 0 variable on error in get_user()
    (bsc#1012628).
  - riscv, kprobes: Stricter c.jr/c.jalr decoding (bsc#1012628).
  - of/fdt: run soc memory setup when early_init_dt_scan_memory
    fails (bsc#1012628).
  - drm/plane-helper: Add the missing declaration of
    drm_atomic_state (bsc#1012628).
  - drm/amdkfd: Fix kernel warning during topology setup
    (bsc#1012628).
  - drm/i915/gvt: fix gvt debugfs destroy (bsc#1012628).
  - drm/i915/gvt: fix vgpu debugfs clean in remove (bsc#1012628).
  - virtio-blk: use a helper to handle request queuing errors
    (bsc#1012628).
  - virtio_blk: Fix signedness bug in virtblk_prep_rq()
    (bsc#1012628).
  - drm/amd/display: Add check for DET fetch latency hiding for
    dcn32 (bsc#1012628).
  - drm/amd/display: Uninitialized variables causing 4k60 UCLK to
    stay at DPM1 and not DPM0 (bsc#1012628).
  - btrfs: handle case when repair happens with dev-replace
    (bsc#1012628).
  - ksmbd: fix infinite loop in ksmbd_conn_handler_loop()
    (bsc#1012628).
  - ksmbd: send proper error response in smb2_tree_connect()
    (bsc#1012628).
  - ksmbd: check nt_len to be at least CIFS_ENCPWD_SIZE in
    ksmbd_decode_ntlmssp_auth_blob (bsc#1012628).
  - drm/i915/dsi: add support for ICL+ native MIPI GPIO sequence
    (bsc#1012628).
  - drm/i915/dsi: fix MIPI_BKLT_EN_1 native GPIO index
    (bsc#1012628).
  - efi: random: combine bootloader provided RNG seed with RNG
    protocol output (bsc#1012628).
  - wifi: ath11k: Send PME message during wakeup from D3cold
    (bsc#1012628).
  - commit 0fb77d6
* Mon Jan 09 2023 svarbanov@suse.de
  - Update armv7 to 6.2.0-rc3
  - update configs
  - re-enable armv7
  - commit e578e47
* Mon Jan 09 2023 svarbanov@suse.de
  - Update arm64 to 6.2.0-rc3
  - update configs
  - re-enable arm64
  - commit 72fe5c3
* Mon Jan 09 2023 svarbanov@suse.de
  - Update armv6 to 6.2.0-rc3
  - update configs
  - reenable armv6
  - commit ed1892b
* Mon Jan 09 2023 jslaby@suse.cz
  - docs: Fix the docs build with Sphinx 6.0 (sphinx_6.0-staging_E).
  - commit 4b9b43c
* Mon Jan 09 2023 jslaby@suse.cz
  - Linux 6.1.4 (bsc#1012628).
  - drm/amdgpu: skip MES for S0ix as well since it's part of GFX
    (bsc#1012628).
  - drm/amdgpu: skip mes self test after s0i3 resume for MES IP
    v11.0 (bsc#1012628).
  - media: stv0288: use explicitly signed char (bsc#1012628).
  - cxl/region: Fix memdev reuse check (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: fix UFS DMA coherency (bsc#1012628).
  - arm64: Prohibit instrumentation on arch_stack_walk()
    (bsc#1012628).
  - soc: qcom: Select REMAP_MMIO for LLCC driver (bsc#1012628).
  - soc: qcom: Select REMAP_MMIO for ICC_BWMON driver (bsc#1012628).
  - kest.pl: Fix grub2 menu handling for rebooting (bsc#1012628).
  - ktest.pl minconfig: Unset configs instead of just removing them
    (bsc#1012628).
  - jbd2: use the correct print format (bsc#1012628).
  - perf/x86/intel/uncore: Disable I/O stacks to PMU mapping on
    ICX-D (bsc#1012628).
  - perf/x86/intel/uncore: Clear attr_update properly (bsc#1012628).
  - arm64: dts: qcom: sdm845-db845c: correct SPI2 pins drive
    strength (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: fix UFS reference clocks
    (bsc#1012628).
  - mmc: sdhci-sprd: Disable CLK_AUTO when the clock is less than
    400K (bsc#1012628).
  - phy: qcom-qmp-combo: fix out-of-bounds clock access
    (bsc#1012628).
  - drm/amd/pm: update SMU13.0.0 reported maximum shader clock
    (bsc#1012628).
  - drm/amd/pm: correct SMU13.0.0 pstate profiling clock settings
    (bsc#1012628).
  - btrfs: fix uninitialized parent in insert_state (bsc#1012628).
  - btrfs: fix extent map use-after-free when handling missing
    device in read_one_chunk (bsc#1012628).
  - btrfs: fix resolving backrefs for inline extent followed by
    prealloc (bsc#1012628).
  - ARM: ux500: do not directly dereference __iomem (bsc#1012628).
  - arm64: dts: qcom: sdm850-samsung-w737: correct I2C12 pins
    drive strength (bsc#1012628).
  - random: use rejection sampling for uniform bounded random
    integers (bsc#1012628).
  - x86/fpu/xstate: Fix XSTATE_WARN_ON() to emit relevant
    diagnostics (bsc#1012628).
  - arm64: dts: qcom: sdm850-lenovo-yoga-c630: correct I2C12 pins
    drive strength (bsc#1012628).
  - cxl/region: Fix missing probe failure (bsc#1012628).
  - EDAC/mc_sysfs: Increase legacy channel support to 12
    (bsc#1012628).
  - selftests: Use optional USERCFLAGS and USERLDFLAGS
    (bsc#1012628).
  - x86/MCE/AMD: Clear DFR errors found in THR handler
    (bsc#1012628).
  - random: add helpers for random numbers with given floor or range
    (bsc#1012628).
  - PM/devfreq: governor: Add a private governor_data for governor
    (bsc#1012628).
  - cpufreq: Init completion before kobject_init_and_add()
    (bsc#1012628).
  - ext2: unbugger ext2_empty_dir() (bsc#1012628).
  - media: s5p-mfc: Fix to handle reference queue during finishing
    (bsc#1012628).
  - media: s5p-mfc: Clear workbit to handle error condition
    (bsc#1012628).
  - media: s5p-mfc: Fix in register read and write for H264
    (bsc#1012628).
  - bpf: Resolve fext program type when checking map compatibility
    (bsc#1012628).
  - ALSA: patch_realtek: Fix Dell Inspiron Plus 16 (bsc#1012628).
  - ALSA: hda/realtek: Apply dual codec fixup for Dell Latitude
    laptops (bsc#1012628).
  - platform/x86: thinkpad_acpi: Fix max_brightness of thinklight
    (bsc#1012628).
  - platform/x86: ideapad-laptop: Revert "check for touchpad
    support in _CFG" (bsc#1012628).
  - platform/x86: ideapad-laptop: Add new _CFG bit numbers for
    future use (bsc#1012628).
  - platform/x86: ideapad-laptop: support for more special keys
    in WMI (bsc#1012628).
  - ACPI: video: Simplify __acpi_video_get_backlight_type()
    (bsc#1012628).
  - ACPI: video: Prefer native over vendor (bsc#1012628).
  - platform/x86: ideapad-laptop: Refactor
    ideapad_sync_touchpad_state() (bsc#1012628).
  - platform/x86: ideapad-laptop: Do not send KEY_TOUCHPAD* events
    on probe / resume (bsc#1012628).
  - platform/x86: ideapad-laptop: Only toggle ps2 aux port on/off
    on select models (bsc#1012628).
  - platform/x86: ideapad-laptop: Send KEY_TOUCHPAD_TOGGLE on some
    models (bsc#1012628).
  - platform/x86: ideapad-laptop: Stop writing VPCCMD_W_TOUCHPAD
    at probe time (bsc#1012628).
  - platform/x86: intel-uncore-freq: add Emerald Rapids support
    (bsc#1012628).
  - ALSA: hda/cirrus: Add extra 10 ms delay to allow PLL settle
    and lock (bsc#1012628).
  - platform/x86: x86-android-tablets: Add Medion Lifetab S10346
    data (bsc#1012628).
  - platform/x86: x86-android-tablets: Add Lenovo Yoga Tab 3
    (YT3-X90F) charger + fuel-gauge data (bsc#1012628).
  - platform/x86: x86-android-tablets: Add Advantech MICA-071
    extra button (bsc#1012628).
  - HID: Ignore HP Envy x360 eu0009nv stylus battery (bsc#1012628).
  - ALSA: usb-audio: Add new quirk FIXED_RATE for JBL Quantum810
    Wireless (bsc#1012628).
  - fs: dlm: fix sock release if listen fails (bsc#1012628).
  - fs: dlm: retry accept() until -EAGAIN or error returns
    (bsc#1012628).
  - mptcp: netlink: fix some error return code (bsc#1012628).
  - mptcp: remove MPTCP 'ifdef' in TCP SYN cookies (bsc#1012628).
  - mptcp: dedicated request sock for subflow in v6 (bsc#1012628).
  - mptcp: use proper req destructor for IPv6 (bsc#1012628).
  - dm cache: Fix ABBA deadlock between shrink_slab and
    dm_cache_metadata_abort (bsc#1012628).
  - dm thin: Fix ABBA deadlock between shrink_slab and
    dm_pool_abort_metadata (bsc#1012628).
  - dm thin: Use last transaction's pmd->root when commit failed
    (bsc#1012628).
  - dm thin: resume even if in FAIL mode (bsc#1012628).
  - dm thin: Fix UAF in run_timer_softirq() (bsc#1012628).
  - dm integrity: Fix UAF in dm_integrity_dtr() (bsc#1012628).
  - dm clone: Fix UAF in clone_dtr() (bsc#1012628).
  - dm cache: Fix UAF in destroy() (bsc#1012628).
  - dm cache: set needs_check flag after aborting metadata
    (bsc#1012628).
  - ata: ahci: fix enum constants for gcc-13 (bsc#1012628).
  - PCI/DOE: Fix maximum data object length miscalculation
    (bsc#1012628).
  - tracing/hist: Fix out-of-bound write on
    'action_data.var_ref_idx' (bsc#1012628).
  - perf/core: Call LSM hook after copying perf_event_attr
    (bsc#1012628).
  - xtensa: add __umulsidi3 helper (bsc#1012628).
  - of/kexec: Fix reading 32-bit "linux,initrd-{start,end}" values
    (bsc#1012628).
  - ima: Fix hash dependency to correct algorithm (bsc#1012628).
  - KVM: VMX: Resume guest immediately when injecting #GP on ECREATE
    (bsc#1012628).
  - KVM: nVMX: Inject #GP, not #UD, if "generic" VMXON CR0/CR4
    check fails (bsc#1012628).
  - KVM: x86: fix APICv/x2AVIC disabled when vm reboot by itself
    (bsc#1012628).
  - KVM: nVMX: Properly expose ENABLE_USR_WAIT_PAUSE control to L1
    (bsc#1012628).
  - x86/microcode/intel: Do not retry microcode reloading on the
    APs (bsc#1012628).
  - ftrace/x86: Add back ftrace_expected for ftrace bug reports
    (bsc#1012628).
  - x86/kprobes: Fix kprobes instruction boudary check with
    CONFIG_RETHUNK (bsc#1012628).
  - x86/kprobes: Fix optprobe optimization check with CONFIG_RETHUNK
    (bsc#1012628).
  - tracing: Fix race where eprobes can be called before the event
    (bsc#1012628).
  - powerpc/ftrace: fix syscall tracing on PPC64_ELF_ABI_V1
    (bsc#1012628).
  - tracing: Fix complicated dependency of CONFIG_TRACER_MAX_TRACE
    (bsc#1012628).
  - tracing/hist: Fix wrong return value in parse_action_params()
    (bsc#1012628).
  - tracing/probes: Handle system names with hyphens (bsc#1012628).
  - tracing: Fix issue of missing one synthetic field (bsc#1012628).
  - tracing: Fix infinite loop in tracing_read_pipe on overflowed
    print_trace_line (bsc#1012628).
  - staging: media: tegra-video: fix chan->mipi value on error
    (bsc#1012628).
  - staging: media: tegra-video: fix device_node use after free
    (bsc#1012628).
  - arm64: dts: mediatek: mt8195-demo: fix the memory size of node
    secmon (bsc#1012628).
  - ARM: 9256/1: NWFPE: avoid compiler-generated __aeabi_uldivmod
    (bsc#1012628).
  - media: dvb-core: Fix double free in dvb_register_device()
    (bsc#1012628).
  - cifs: fix confusing debug message (bsc#1012628).
  - cifs: fix missing display of three mount options (bsc#1012628).
  - cifs: set correct tcon status after initial tree connect
    (bsc#1012628).
  - cifs: set correct ipc status after initial tree connect
    (bsc#1012628).
  - cifs: set correct status of tcon ipc when reconnecting
    (bsc#1012628).
  - ravb: Fix "failed to switch device to config mode" message
    during unbind (bsc#1012628).
  - rtc: ds1347: fix value written to century register
    (bsc#1012628).
  - drm/amdgpu: fix mmhub register base coding error (bsc#1012628).
  - block: mq-deadline: Fix dd_finish_request() for zoned devices
    (bsc#1012628).
  - block: mq-deadline: Do not break sequential write streams to
    zoned HDDs (bsc#1012628).
  - md/bitmap: Fix bitmap chunk size overflow issues (bsc#1012628).
  - efi: Add iMac Pro 2017 to uefi skip cert quirk (bsc#1012628).
  - wifi: wilc1000: sdio: fix module autoloading (bsc#1012628).
  - ASoC: jz4740-i2s: Handle independent FIFO flush bits
    (bsc#1012628).
  - ipu3-imgu: Fix NULL pointer dereference in
    imgu_subdev_set_selection() (bsc#1012628).
  - ipmi: fix long wait in unload when IPMI disconnect
    (bsc#1012628).
  - mtd: spi-nor: Check for zero erase size in
    spi_nor_find_best_erase_type() (bsc#1012628).
  - ima: Fix a potential NULL pointer access in
    ima_restore_measurement_list (bsc#1012628).
  - ipmi: fix use after free in _ipmi_destroy_user() (bsc#1012628).
  - mtd: spi-nor: gigadevice: gd25q256: replace
    gd25q256_default_init with gd25q256_post_bfpt (bsc#1012628).
  - ima: Fix memory leak in __ima_inode_hash() (bsc#1012628).
  - um: virt-pci: Avoid GCC non-NULL warning (bsc#1012628).
  - crypto: ccree,hisilicon - Fix dependencies to correct algorithm
    (bsc#1012628).
  - PCI: Fix pci_device_is_present() for VFs by checking PF
    (bsc#1012628).
  - PCI/sysfs: Fix double free in error path (bsc#1012628).
  - RISC-V: kexec: Fix memory leak of fdt buffer (bsc#1012628).
  - riscv: Fixup compile error with !MMU (bsc#1012628).
  - RISC-V: kexec: Fix memory leak of elf header buffer
    (bsc#1012628).
  - riscv: stacktrace: Fixup ftrace_graph_ret_addr retp argument
    (bsc#1012628).
  - riscv: mm: notify remote harts about mmu cache updates
    (bsc#1012628).
  - crypto: n2 - add missing hash statesize (bsc#1012628).
  - crypto: ccp - Add support for TEE for PCI ID 0x14CA
    (bsc#1012628).
  - driver core: Fix bus_type.match() error handling in
    __driver_attach() (bsc#1012628).
  - bus: mhi: host: Fix race between channel preparation and M0
    event (bsc#1012628).
  - phy: qcom-qmp-combo: fix sdm845 reset (bsc#1012628).
  - phy: qcom-qmp-combo: fix sc8180x reset (bsc#1012628).
  - iommu/amd: Fix ivrs_acpihid cmdline parsing code (bsc#1012628).
  - iommu/amd: Fix ill-formed ivrs_ioapic, ivrs_hpet and
    ivrs_acpihid options (bsc#1012628).
  - test_kprobes: Fix implicit declaration error of test_kprobes
    (bsc#1012628).
  - hugetlb: really allocate vma lock for all sharable vmas
    (bsc#1012628).
  - remoteproc: imx_dsp_rproc: Add mutex protection for workqueue
    (bsc#1012628).
  - remoteproc: core: Do pm_relax when in RPROC_OFFLINE state
    (bsc#1012628).
  - remoteproc: imx_rproc: Correct i.MX93 DRAM mapping
    (bsc#1012628).
  - parisc: led: Fix potential null-ptr-deref in start_task()
    (bsc#1012628).
  - parisc: Drop locking in pdc console code (bsc#1012628).
  - parisc: Fix locking in pdc_iodc_print() firmware call
    (bsc#1012628).
  - parisc: Add missing FORCE prerequisites in Makefile
    (bsc#1012628).
  - parisc: Drop duplicate kgdb_pdc console (bsc#1012628).
  - parisc: Drop PMD_SHIFT from calculation in pgtable.h
    (bsc#1012628).
  - device_cgroup: Roll back to original exceptions after copy
    failure (bsc#1012628).
  - drm/connector: send hotplug uevent on connector cleanup
    (bsc#1012628).
  - drm/vmwgfx: Validate the box size for the snooped cursor
    (bsc#1012628).
  - drm/mgag200: Fix PLL setup for G200_SE_A rev >=4 (bsc#1012628).
  - drm/etnaviv: move idle mapping reaping into separate function
    (bsc#1012628).
  - drm/i915/dsi: fix VBT send packet port selection for dual link
    DSI (bsc#1012628).
  - drm/ingenic: Fix missing platform_driver_unregister() call in
    ingenic_drm_init() (bsc#1012628).
  - drm/etnaviv: reap idle mapping if it doesn't match the softpin
    address (bsc#1012628).
  - ext4: silence the warning when evicting inode with
    dioread_nolock (bsc#1012628).
  - ext4: add inode table check in __ext4_get_inode_loc to aovid
    possible infinite loop (bsc#1012628).
  - ext4: remove trailing newline from ext4_msg() message
    (bsc#1012628).
  - ext4: correct inconsistent error msg in nojournal mode
    (bsc#1012628).
  - fs: ext4: initialize fsdata in pagecache_write() (bsc#1012628).
  - ext4: fix use-after-free in ext4_orphan_cleanup (bsc#1012628).
  - ext4: fix undefined behavior in bit shift for
    ext4_check_flag_values (bsc#1012628).
  - ext4: add EXT4_IGET_BAD flag to prevent unexpected bad inode
    (bsc#1012628).
  - ext4: add helper to check quota inums (bsc#1012628).
  - ext4: fix bug_on in __es_tree_search caused by bad quota inode
    (bsc#1012628).
  - ext4: fix reserved cluster accounting in __es_remove_extent()
    (bsc#1012628).
  - ext4: journal_path mount options should follow links
    (bsc#1012628).
  - ext4: check and assert if marking an no_delete evicting inode
    dirty (bsc#1012628).
  - ext4: fix bug_on in __es_tree_search caused by bad boot loader
    inode (bsc#1012628).
  - ext4: don't allow journal inode to have encrypt flag
    (bsc#1012628).
  - ext4: disable fast-commit of encrypted dir operations
    (bsc#1012628).
  - ext4: fix leaking uninitialized memory in fast-commit journal
    (bsc#1012628).
  - ext4: don't set up encryption key during jbd2 transaction
    (bsc#1012628).
  - ext4: add missing validation of fast-commit record lengths
    (bsc#1012628).
  - ext4: fix unaligned memory access in ext4_fc_reserve_space()
    (bsc#1012628).
  - ext4: fix off-by-one errors in fast-commit block filling
    (bsc#1012628).
  - ext4: fix uninititialized value in 'ext4_evict_inode'
    (bsc#1012628).
  - ext4: init quota for 'old.inode' in 'ext4_rename' (bsc#1012628).
  - ext4: don't fail GETFSUUID when the caller provides a long
    buffer (bsc#1012628).
  - ext4: fix delayed allocation bug in ext4_clu_mapped for bigalloc
    + inline (bsc#1012628).
  - ext4: fix corruption when online resizing a 1K bigalloc fs
    (bsc#1012628).
  - ext4: fix error code return to user-space in ext4_get_branch()
    (bsc#1012628).
  - ext4: fix bad checksum after online resize (bsc#1012628).
  - ext4: dont return EINVAL from GETFSUUID when reporting UUID
    length (bsc#1012628).
  - ext4: fix corrupt backup group descriptors after online resize
    (bsc#1012628).
  - ext4: avoid BUG_ON when creating xattrs (bsc#1012628).
  - ext4: fix deadlock due to mbcache entry corruption
    (bsc#1012628).
  - ext4: fix kernel BUG in 'ext4_write_inline_data_end()'
    (bsc#1012628).
  - ext4: fix inode leak in ext4_xattr_inode_create() on an error
    path (bsc#1012628).
  - ext4: initialize quota before expanding inode in setproject
    ioctl (bsc#1012628).
  - ext4: avoid unaccounted block allocation when expanding inode
    (bsc#1012628).
  - ext4: allocate extended attribute value in vmalloc area
    (bsc#1012628).
  - drm/i915/ttm: consider CCS for backup objects (bsc#1012628).
  - drm/amd/display: Add DCN314 display SG Support (bsc#1012628).
  - drm/amdgpu: handle polaris10/11 overlap asics (v2)
    (bsc#1012628).
  - drm/amdgpu: make display pinning more flexible (v2)
    (bsc#1012628).
  - drm/i915: improve the catch-all evict to handle lock contention
    (bsc#1012628).
  - drm/i915/migrate: Account for the reserved_space (bsc#1012628).
  - drm/amd/pm: add missing SMU13.0.0 mm_dpm feature mapping
    (bsc#1012628).
  - drm/amd/pm: add missing SMU13.0.7 mm_dpm feature mapping
    (bsc#1012628).
  - drm/amd/pm: bump SMU13.0.0 driver_if header to version 0x34
    (bsc#1012628).
  - drm/amd/pm: correct the fan speed retrieving in PWM for some
    SMU13 asics (bsc#1012628).
  - commit 9fd04e2
* Sun Jan 08 2023 mkubecek@suse.cz
  - Revert "drm/amdgpu: move explicit sync check into the CS"
    (https://gitlab.freedesktop.org/drm/amd/-/issues/2323).
  - Revert "drm/amdgpu: use scheduler dependencies for CS"
    (https://gitlab.freedesktop.org/drm/amd/-/issues/2323).
  - Revert "drm/scheduler: remove drm_sched_dependency_optimized"
    (https://gitlab.freedesktop.org/drm/amd/-/issues/2323).
  - commit 13b3e26
* Sun Jan 08 2023 mkubecek@suse.cz
  - Update to 6.2-rc3
  - eliminate 1 patch
    - patches.suse/tcp-Add-TIME_WAIT-sockets-in-bhash2.patch
  - refresh
    - patches.suse/add-suse-supported-flag.patch
  - update configs
    - CDROM_PKTCDVD=m (restored, except s390x)
    - CDROM_PKTCDVD_BUFFERS=8 (restored, except s390x)
    - CDROM_PKTCDVD_WCACHE=y (restored, except s390x)
  - commit 8fc2af0
* Fri Jan 06 2023 jeffm@suse.com
  - rpm/kernel-binary.spec.in: Add Enhances and Supplements tags to in-tree KMPs
    This makes in-tree KMPs more consistent with externally built KMPs and
    silences several rpmlint warnings.
  - commit 02b7735
* Fri Jan 06 2023 mkubecek@suse.cz
  - rpm/check-for-config-changes: add OBJTOOL and FTRACE_MCOUNT_USE_*
    Dummy gcc pretends to support -mrecord-mcount option but actual gcc on
    ppc64le does not. Therefore ppc64le builds of 6.2-rc1 and later in OBS
    enable FTRACE_MCOUNT_USE_OBJTOOL and OBJTOOL config options, resulting in
    check failure.
    As we already have FTRACE_MCOUNT_USE_CC and FTRACE_MCOUNT_USE_RECORDMCOUNT
    in the exception list, replace them with a general pattern. And add OBJTOOL
    as well.
  - commit 887416f
* Fri Jan 06 2023 msuchanek@suse.de
  - bpf_doc: Fix build error with older python versions
    (TypeError: '_sre.SRE_Match' object is not subscriptable).
  - commit 37f7888
* Thu Jan 05 2023 jeffm@suse.com
  - supported-flag: fix build failures with SUSE_KERNEL_SUPPORTED=y
    Upstream commit 425937381ec (kbuild: re-run modpost when it is updated)
    added an expectation that the MODPOST variable would only point to the
    modpost executable and moved arguments to the modpost-args variable.
    Also removed some legacy stuff, like the assumption that MODVERDIR would
    exist (and then later creating it and using it) when the only two
    places we ever care about Module.supported being located are the directory
    in which an external module is being built and the current directory.
  - commit 2e19141
* Thu Jan 05 2023 jeffm@suse.com
  - config: Added product codes to suse_version.h for comparison
    Refreshed
    patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch.
  - commit 3dffbad
* Thu Jan 05 2023 jeffm@suse.com
  - config: Added support for ALP releases in product identifiers
  - Refresh patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch.
  - commit 1b2e183
* Thu Jan 05 2023 tiwai@suse.de
  - Revert "ALSA: usb-audio: Drop superfluous interface setup at
    parsing" (bsc#1206766).
  - ALSA: usb-audio: More refactoring of hw constraint rules
    (bsc#1206766).
  - ALSA: usb-audio: Relax hw constraints for implicit fb sync
    (bsc#1206766).
  - ALSA: usb-audio: Make sure to stop endpoints before closing EPs
    (bsc#1206766).
  - commit 80a4df2
* Wed Jan 04 2023 jslaby@suse.cz
  - Linux 6.1.3 (bsc#1012628).
  - eventpoll: add EPOLL_URING_WAKE poll wakeup flag (bsc#1012628).
  - eventfd: provide a eventfd_signal_mask() helper (bsc#1012628).
  - io_uring: pass in EPOLL_URING_WAKE for eventfd signaling and
    wakeups (bsc#1012628).
  - nvme-pci: fix doorbell buffer value endianness (bsc#1012628).
  - nvme-pci: fix mempool alloc size (bsc#1012628).
  - nvme-pci: fix page size checks (bsc#1012628).
  - ACPI: resource: do IRQ override on XMG Core 15 (bsc#1012628).
  - ACPI: resource: do IRQ override on Lenovo 14ALC7 (bsc#1012628).
  - ACPI: resource: Add Asus ExpertBook B2502 to Asus quirks
    (bsc#1012628).
  - ACPI: video: Fix Apple GMUX backlight detection (bsc#1012628).
  - block, bfq: fix uaf for bfqq in bfq_exit_icq_bfqq (bsc#1012628).
  - ata: ahci: Fix PCS quirk application for suspend (bsc#1012628).
  - nvme: fix the NVME_CMD_EFFECTS_CSE_MASK definition
    (bsc#1012628).
  - nvmet: don't defer passthrough commands with trivial effects
    to the workqueue (bsc#1012628).
  - fs/ntfs3: Validate BOOT record_size (bsc#1012628).
  - fs/ntfs3: Add overflow check for attribute size (bsc#1012628).
  - fs/ntfs3: Validate data run offset (bsc#1012628).
  - fs/ntfs3: Add null pointer check to attr_load_runs_vcn
    (bsc#1012628).
  - fs/ntfs3: Fix memory leak on ntfs_fill_super() error path
    (bsc#1012628).
  - fs/ntfs3: Add null pointer check for inode operations
    (bsc#1012628).
  - fs/ntfs3: Validate attribute name offset (bsc#1012628).
  - fs/ntfs3: Validate buffer length while parsing index
    (bsc#1012628).
  - fs/ntfs3: Validate resident attribute name (bsc#1012628).
  - fs/ntfs3: Fix slab-out-of-bounds read in run_unpack
    (bsc#1012628).
  - soundwire: dmi-quirks: add quirk variant for LAPBC710 NUC15
    (bsc#1012628).
  - phy: sun4i-usb: Introduce port2 SIDDQ quirk (bsc#1012628).
  - phy: sun4i-usb: Add support for the H616 USB PHY (bsc#1012628).
  - fs/ntfs3: Validate index root when initialize NTFS security
    (bsc#1012628).
  - fs/ntfs3: Use __GFP_NOWARN allocation at wnd_init()
    (bsc#1012628).
  - fs/ntfs3: Use __GFP_NOWARN allocation at ntfs_fill_super()
    (bsc#1012628).
  - fs/ntfs3: Delete duplicate condition in ntfs_read_mft()
    (bsc#1012628).
  - fs/ntfs3: Fix slab-out-of-bounds in r_page (bsc#1012628).
  - objtool: Fix SEGFAULT (bsc#1012628).
  - iommu/mediatek: Fix crash on isr after kexec() (bsc#1012628).
  - powerpc/rtas: avoid device tree lookups in rtas_os_term()
    (bsc#1012628).
  - powerpc/rtas: avoid scheduling in rtas_os_term() (bsc#1012628).
  - rtc: msc313: Fix function prototype mismatch in
    msc313_rtc_probe() (bsc#1012628).
  - kprobes: kretprobe events missing on 2-core KVM guest
    (bsc#1012628).
  - HID: multitouch: fix Asus ExpertBook P2 P2451FA trackpoint
    (bsc#1012628).
  - HID: plantronics: Additional PIDs for double volume key presses
    quirk (bsc#1012628).
  - futex: Fix futex_waitv() hrtimer debug object leak on kcalloc
    error (bsc#1012628).
  - rtmutex: Add acquire semantics for rtmutex lock acquisition
    slow path (bsc#1012628).
  - mm/mempolicy: fix memory leak in set_mempolicy_home_node system
    call (bsc#1012628).
  - kmsan: export kmsan_handle_urb (bsc#1012628).
  - kmsan: include linux/vmalloc.h (bsc#1012628).
  - pstore: Properly assign mem_type property (bsc#1012628).
  - pstore/zone: Use GFP_ATOMIC to allocate zone buffer
    (bsc#1012628).
  - hfsplus: fix bug causing custom uid and gid being unable to
    be assigned with mount (bsc#1012628).
  - ACPI: x86: s2idle: Force AMD GUID/_REV 2 on HP Elitebook 865
    (bsc#1012628).
  - ACPI: x86: s2idle: Stop using AMD specific codepath for
    Rembrandt+ (bsc#1012628).
  - binfmt: Fix error return code in load_elf_fdpic_binary()
    (bsc#1012628).
  - ovl: Use ovl mounter's fsuid and fsgid in ovl_link()
    (bsc#1012628).
  - ovl: update ->f_iocb_flags when ovl_change_flags() modifies
    - >f_flags (bsc#1012628).
  - ALSA: line6: correct midi status byte when receiving data from
    podxt (bsc#1012628).
  - ALSA: line6: fix stack overflow in line6_midi_transmit
    (bsc#1012628).
  - pnode: terminate at peers of source (bsc#1012628).
  - mfd: mt6360: Add bounds checking in Regmap read/write call-backs
    (bsc#1012628).
  - md: fix a crash in mempool_free (bsc#1012628).
  - mm, compaction: fix fast_isolate_around() to stay within
    boundaries (bsc#1012628).
  - f2fs: should put a page when checking the summary info
    (bsc#1012628).
  - f2fs: allow to read node block after shutdown (bsc#1012628).
  - block: Do not reread partition table on exclusively open device
    (bsc#1012628).
  - mmc: vub300: fix warning - do not call blocking ops when
    !TASK_RUNNING (bsc#1012628).
  - tpm: acpi: Call acpi_put_table() to fix memory leak
    (bsc#1012628).
  - tpm: tpm_crb: Add the missed acpi_put_table() to fix memory leak
    (bsc#1012628).
  - tpm: tpm_tis: Add the missed acpi_put_table() to fix memory leak
    (bsc#1012628).
  - SUNRPC: Don't leak netobj memory when gss_read_proxy_verf()
    fails (bsc#1012628).
  - kcsan: Instrument memcpy/memset/memmove with newer Clang
    (bsc#1012628).
  - commit a5315fb
* Mon Jan 02 2023 tiwai@suse.de
  - Enable Qualcomm soundwire stuff on armv7hl/default (bsc#1206782)
  - commit fe2e154
* Mon Jan 02 2023 jslaby@suse.cz
  - mm, mremap: fix mremap() expanding vma with addr inside vma
    (bsc#1206359).
    Update upstream status.
  - commit 05f50ad
* Mon Jan 02 2023 jslaby@suse.cz
  - Refresh patches.suse/tcp-Add-TIME_WAIT-sockets-in-bhash2.patch.
    Update upstream status.
  - commit c14f878
* Mon Jan 02 2023 msuchanek@suse.de
  - ppc64: Swap out FB_OF for OFDRM (boo#1193476)
    FB_OF=n
    AGP=n
    OFDRM=y
    DRM=y
    This disables support for the Apple UniNorth AGP bridge.
    yast does not support installing on Apple hardware anyway.
  - commit eebb76b
* Mon Jan 02 2023 schwab@suse.de
  - config: riscv64: disable CONFIG_IPMMU_VMSA
    This is an ARM-only driver.
  - commit dc8fbaa
* Mon Jan 02 2023 mkubecek@suse.cz
  - Update to 6.2-rc2
  - refresh
    - patches.suse/add-suse-supported-flag.patch
  - commit 8e1570b
* Sun Jan 01 2023 jslaby@suse.cz
  - Linux 6.1.2 (bsc#1012628).
  - MIPS: DTS: CI20: fix reset line polarity of the ethernet
    controller (bsc#1012628).
  - usb: musb: remove extra check in musb_gadget_vbus_draw
    (bsc#1012628).
  - arm64: dts: renesas: r8a779g0: Fix HSCIF0 "brg_int" clock
    (bsc#1012628).
  - arm64: dts: qcom: ipq6018-cp01-c1: use BLSPI1 pins
    (bsc#1012628).
  - arm64: dts: qcom: sm8250-sony-xperia-edo: fix touchscreen
    bias-disable (bsc#1012628).
  - arm64: dts: qcom: sdm845-xiaomi-polaris: fix codec pin conf name
    (bsc#1012628).
  - arm64: dts: qcom: msm8996: Add MSM8996 Pro support
    (bsc#1012628).
  - arm64: dts: qcom: msm8996: fix supported-hw in cpufreq OPP
    tables (bsc#1012628).
  - arm64: dts: qcom: msm8996: fix GPU OPP table (bsc#1012628).
  - ARM: dts: qcom: apq8064: fix coresight compatible (bsc#1012628).
  - arm64: dts: qcom: sdm630: fix UART1 pin bias (bsc#1012628).
  - arm64: dts: qcom: sdm845-cheza: fix AP suspend pin bias
    (bsc#1012628).
  - arm64: dts: qcom: msm8916: Drop MSS fallback compatible
    (bsc#1012628).
  - arm64: dts: fsd: fix drive strength macros as per FSD HW UM
    (bsc#1012628).
  - arm64: dts: fsd: fix drive strength values as per FSD HW UM
    (bsc#1012628).
  - memory: renesas-rpc-if: Clear HS bit during hardware
    initialization (bsc#1012628).
  - objtool, kcsan: Add volatile read/write instrumentation to
    whitelist (bsc#1012628).
  - ARM: dts: stm32: Drop stm32mp15xc.dtsi from Avenger96
    (bsc#1012628).
  - ARM: dts: stm32: Fix AV96 WLAN regulator gpio property
    (bsc#1012628).
  - drivers: soc: ti: knav_qmss_queue: Mark knav_acc_firmwares as
    static (bsc#1012628).
  - firmware: ti_sci: Fix polled mode during system suspend
    (bsc#1012628).
  - riscv: dts: microchip: fix memory node unit address for icicle
    (bsc#1012628).
  - arm64: dts: qcom: pm660: Use unique ADC5_VCOIN address in node
    name (bsc#1012628).
  - arm64: dts: qcom: sm8250: correct LPASS pin pull down
    (bsc#1012628).
  - arm64: dts: qcom: sc7180-trogdor-homestar: fully configure
    secondary I2S pins (bsc#1012628).
  - soc: qcom: llcc: make irq truly optional (bsc#1012628).
  - arm64: dts: qcom: sm8150: fix UFS PHY registers (bsc#1012628).
  - arm64: dts: qcom: sm8250: fix UFS PHY registers (bsc#1012628).
  - arm64: dts: qcom: sm8350: fix UFS PHY registers (bsc#1012628).
  - arm64: dts: qcom: sm8450: fix UFS PHY registers (bsc#1012628).
  - arm64: dts: qcom: msm8996: fix sound card reset line polarity
    (bsc#1012628).
  - arm64: dts: qcom: sm8250-mtp: fix reset line polarity
    (bsc#1012628).
  - arm64: dts: qcom: sc7280: fix codec reset line polarity for
    CRD 3.0/3.1 (bsc#1012628).
  - arm64: dts: qcom: sc7280: fix codec reset line polarity for
    CRD 1.0/2.0 (bsc#1012628).
  - arm64: dts: qcom: sm8250: drop bogus DP PHY clock (bsc#1012628).
  - arm64: dts: qcom: sm6350: drop bogus DP PHY clock (bsc#1012628).
  - soc: qcom: apr: Add check for idr_alloc and
    of_property_read_string_index (bsc#1012628).
  - arm64: dts: qcom: pm6350: Include header for KEY_POWER
    (bsc#1012628).
  - arm64: dts: qcom: sm6125: fix SDHCI CQE reg names (bsc#1012628).
  - arm64: dts: renesas: r8a779f0: Fix HSCIF "brg_int" clock
    (bsc#1012628).
  - arm64: dts: renesas: r8a779f0: Fix SCIF "brg_int" clock
    (bsc#1012628).
  - arm64: dts: renesas: r9a09g011: Fix unit address format error
    (bsc#1012628).
  - arm64: dts: renesas: r9a09g011: Fix I2C SoC specific strings
    (bsc#1012628).
  - dt-bindings: pwm: fix microchip corePWM's pwm-cells
    (bsc#1012628).
  - soc: sifive: ccache: fix missing iounmap() in error path in
    sifive_ccache_init() (bsc#1012628).
  - soc: sifive: ccache: fix missing free_irq() in error path in
    sifive_ccache_init() (bsc#1012628).
  - soc: sifive: ccache: fix missing of_node_put() in
    sifive_ccache_init() (bsc#1012628).
  - arm64: dts: mt7986: fix trng node name (bsc#1012628).
  - soc/tegra: cbb: Use correct master_id mask for CBB NOC in
    Tegra194 (bsc#1012628).
  - soc/tegra: cbb: Update slave maps for Tegra234 (bsc#1012628).
  - soc/tegra: cbb: Add checks for potential out of bound errors
    (bsc#1012628).
  - soc/tegra: cbb: Check firewall before enabling error reporting
    (bsc#1012628).
  - arm64: dts: qcom: sc7280: Mark all Qualcomm reference boards
    as LTE (bsc#1012628).
  - arm: dts: spear600: Fix clcd interrupt (bsc#1012628).
  - riscv: dts: microchip: fix the icicle's #pwm-cells
    (bsc#1012628).
  - soc: ti: knav_qmss_queue: Fix PM disable depth imbalance in
    knav_queue_probe (bsc#1012628).
  - soc: ti: smartreflex: Fix PM disable depth imbalance in
    omap_sr_probe (bsc#1012628).
  - arm64: mm: kfence: only handle translation faults (bsc#1012628).
  - perf: arm_dsu: Fix hotplug callback leak in dsu_pmu_init()
    (bsc#1012628).
  - drivers: perf: marvell_cn10k: Fix hotplug callback leak in
    tad_pmu_init() (bsc#1012628).
  - perf/arm_dmc620: Fix hotplug callback leak in dmc620_pmu_init()
    (bsc#1012628).
  - perf/smmuv3: Fix hotplug callback leak in arm_smmu_pmu_init()
    (bsc#1012628).
  - arm64: dts: ti: k3-am65-main: Drop dma-coherent in crypto node
    (bsc#1012628).
  - arm64: dts: ti: k3-j721e-main: Drop dma-coherent in crypto node
    (bsc#1012628).
  - arm64: dts: ti: k3-j7200-mcu-wakeup: Drop dma-coherent in
    crypto node (bsc#1012628).
  - arm64: dts: ti: k3-j721s2: Fix the interrupt ranges property
    for main & wkup gpio intr (bsc#1012628).
  - riscv: dts: microchip: remove pcie node from the sev kit
    (bsc#1012628).
  - ARM: dts: nuvoton: Remove bogus unit addresses from
    fixed-partition nodes (bsc#1012628).
  - arm64: dts: mediatek: mt8195: Fix CPUs capacity-dmips-mhz
    (bsc#1012628).
  - arm64: dts: mt7896a: Fix unit_address_vs_reg warning for
    oscillator (bsc#1012628).
  - arm64: dts: mt6779: Fix devicetree build warnings (bsc#1012628).
  - arm64: dts: mt2712e: Fix unit_address_vs_reg warning for
    oscillators (bsc#1012628).
  - arm64: dts: mt2712e: Fix unit address for pinctrl node
    (bsc#1012628).
  - arm64: dts: mt2712-evb: Fix vproc fixed regulators unit names
    (bsc#1012628).
  - arm64: dts: mt2712-evb: Fix usb vbus regulators unit names
    (bsc#1012628).
  - arm64: dts: mediatek: pumpkin-common: Fix devicetree warnings
    (bsc#1012628).
  - arm64: dts: mediatek: mt6797: Fix 26M oscillator unit name
    (bsc#1012628).
  - arm64: tegra: Fix Prefetchable aperture ranges of Tegra234
    PCIe controllers (bsc#1012628).
  - arm64: tegra: Fix non-prefetchable aperture of PCIe C3
    controller (bsc#1012628).
  - arm64: dts: mt7986: move wed_pcie node (bsc#1012628).
  - ARM: dts: dove: Fix assigned-addresses for every PCIe Root Port
    (bsc#1012628).
  - ARM: dts: armada-370: Fix assigned-addresses for every PCIe
    Root Port (bsc#1012628).
  - ARM: dts: armada-xp: Fix assigned-addresses for every PCIe
    Root Port (bsc#1012628).
  - ARM: dts: armada-375: Fix assigned-addresses for every PCIe
    Root Port (bsc#1012628).
  - ARM: dts: armada-38x: Fix assigned-addresses for every PCIe
    Root Port (bsc#1012628).
  - ARM: dts: armada-39x: Fix assigned-addresses for every PCIe
    Root Port (bsc#1012628).
  - ARM: dts: turris-omnia: Add ethernet aliases (bsc#1012628).
  - ARM: dts: turris-omnia: Add switch port 6 node (bsc#1012628).
  - arm64: dts: armada-3720-turris-mox: Add missing interrupt for
    RTC (bsc#1012628).
  - soc: apple: sart: Stop casting function pointer signatures
    (bsc#1012628).
  - soc: apple: rtkit: Stop casting function pointer signatures
    (bsc#1012628).
  - drivers/perf: hisi: Fix some event id for hisi-pcie-pmu
    (bsc#1012628).
  - seccomp: Move copy_seccomp() to no failure path (bsc#1012628).
  - pstore/ram: Fix error return code in ramoops_probe()
    (bsc#1012628).
  - ARM: mmp: fix timer_read delay (bsc#1012628).
  - pstore: Avoid kcore oops by vmap()ing with VM_IOREMAP
    (bsc#1012628).
  - arch: arm64: apple: t8103: Use standard "iommu" node name
    (bsc#1012628).
  - tpm: tis_i2c: Fix sanity check interrupt enable mask
    (bsc#1012628).
  - tpm: Add flag to use default cancellation policy (bsc#1012628).
  - tpm/tpm_ftpm_tee: Fix error handling in ftpm_mod_init()
    (bsc#1012628).
  - tpm/tpm_crb: Fix error message in __crb_relinquish_locality()
    (bsc#1012628).
  - ovl: remove privs in ovl_copyfile() (bsc#1012628).
  - ovl: remove privs in ovl_fallocate() (bsc#1012628).
  - sched/uclamp: Fix relationship between uclamp and migration
    margin (bsc#1012628).
  - sched/uclamp: Make task_fits_capacity() use util_fits_cpu()
    (bsc#1012628).
  - sched/uclamp: Fix fits_capacity() check in feec() (bsc#1012628).
  - sched/uclamp: Make select_idle_capacity() use util_fits_cpu()
    (bsc#1012628).
  - sched/uclamp: Make asym_fits_capacity() use util_fits_cpu()
    (bsc#1012628).
  - sched/uclamp: Make cpu_overutilized() use util_fits_cpu()
    (bsc#1012628).
  - sched/uclamp: Cater for uclamp in find_energy_efficient_cpu()'s
    early exit condition (bsc#1012628).
  - cpuidle: dt: Return the correct numbers of parsed idle states
    (bsc#1012628).
  - alpha: fix TIF_NOTIFY_SIGNAL handling (bsc#1012628).
  - alpha: fix syscall entry in !AUDUT_SYSCALL case (bsc#1012628).
  - sched/psi: Fix possible missing or delayed pending event
    (bsc#1012628).
  - x86/sgx: Reduce delay and interference of enclave release
    (bsc#1012628).
  - PM: hibernate: Fix mistake in kerneldoc comment (bsc#1012628).
  - fs: don't audit the capability check in simple_xattr_list()
    (bsc#1012628).
  - cpufreq: qcom-hw: Fix memory leak in qcom_cpufreq_hw_read_lut()
    (bsc#1012628).
  - x86/split_lock: Add sysctl to control the misery mode
    (bsc#1012628).
  - ACPI: irq: Fix some kernel-doc issues (bsc#1012628).
  - selftests/ftrace: event_triggers: wait longer for
    test_event_enable (bsc#1012628).
  - perf: Fix possible memleak in pmu_dev_alloc() (bsc#1012628).
  - lib/debugobjects: fix stat count and optimize
    debug_objects_mem_init (bsc#1012628).
  - platform/x86: huawei-wmi: fix return value calculation
    (bsc#1012628).
  - timerqueue: Use rb_entry_safe() in timerqueue_getnext()
    (bsc#1012628).
  - proc: fixup uptime selftest (bsc#1012628).
  - lib/fonts: fix undefined behavior in bit shift for
    get_default_font (bsc#1012628).
  - ocfs2: fix memory leak in ocfs2_stack_glue_init() (bsc#1012628).
  - selftests: cgroup: fix unsigned comparison with less than zero
    (bsc#1012628).
  - cpufreq: qcom-hw: Fix the frequency returned by
    cpufreq_driver->get() (bsc#1012628).
  - MIPS: vpe-mt: fix possible memory leak while module exiting
    (bsc#1012628).
  - MIPS: vpe-cmp: fix possible memory leak while module exiting
    (bsc#1012628).
  - selftests/efivarfs: Add checking of the test return value
    (bsc#1012628).
  - PNP: fix name memory leak in pnp_alloc_dev() (bsc#1012628).
  - mailbox: pcc: Reset pcc_chan_count to zero in case of PCC
    probe failure (bsc#1012628).
  - ACPI: pfr_telemetry: use ACPI_FREE() to free acpi_object
    (bsc#1012628).
  - ACPI: pfr_update: use ACPI_FREE() to free acpi_object
    (bsc#1012628).
  - perf/x86/intel/uncore: Fix reference count leak in
    sad_cfg_iio_topology() (bsc#1012628).
  - perf/x86/intel/uncore: Fix reference count leak in
    hswep_has_limit_sbox() (bsc#1012628).
  - perf/x86/intel/uncore: Fix reference count leak in
    snr_uncore_mmio_map() (bsc#1012628).
  - perf/x86/intel/uncore: Fix reference count leak in
    __uncore_imc_init_box() (bsc#1012628).
  - platform/chrome: cros_usbpd_notify: Fix error handling in
    cros_usbpd_notify_init() (bsc#1012628).
  - thermal: core: fix some possible name leaks in error paths
    (bsc#1012628).
  - irqchip/loongson-pch-pic: Fix translate callback for DT path
    (bsc#1012628).
  - irqchip: gic-pm: Use pm_runtime_resume_and_get() in gic_probe()
    (bsc#1012628).
  - irqchip/wpcm450: Fix memory leak in wpcm450_aic_of_init()
    (bsc#1012628).
  - irqchip/loongson-liointc: Fix improper error handling in
    liointc_init() (bsc#1012628).
  - EDAC/i10nm: fix refcount leak in pci_get_dev_wrapper()
    (bsc#1012628).
  - NFSD: Finish converting the NFSv2 GETACL result encoder
    (bsc#1012628).
  - NFSD: Finish converting the NFSv3 GETACL result encoder
    (bsc#1012628).
  - nfsd: don't call nfsd_file_put from client states seqfile
    display (bsc#1012628).
  - genirq/irqdesc: Don't try to remove non-existing sysfs files
    (bsc#1012628).
  - cpufreq: amd_freq_sensitivity: Add missing pci_dev_put()
    (bsc#1012628).
  - libfs: add DEFINE_SIMPLE_ATTRIBUTE_SIGNED for signed value
    (bsc#1012628).
  - lib/notifier-error-inject: fix error when writing -errno to
    debugfs file (bsc#1012628).
  - debugfs: fix error when writing negative value to atomic_t
    debugfs file (bsc#1012628).
  - ocfs2: fix memory leak in ocfs2_mount_volume() (bsc#1012628).
  - rapidio: fix possible name leaks when rio_add_device() fails
    (bsc#1012628).
  - rapidio: rio: fix possible name leak in rio_register_mport()
    (bsc#1012628).
  - clocksource/drivers/sh_cmt: Access registers according to spec
    (bsc#1012628).
  - futex: Resend potentially swallowed owner death notification
    (bsc#1012628).
  - cpu/hotplug: Make target_store() a nop when target == state
    (bsc#1012628).
  - cpu/hotplug: Do not bail-out in DYING/STARTING sections
    (bsc#1012628).
  - clocksource/drivers/timer-ti-dm: Fix warning for
    omap_timer_match (bsc#1012628).
  - clocksource/drivers/timer-ti-dm: Fix missing
    clk_disable_unprepare in dmtimer_systimer_init_clock()
    (bsc#1012628).
  - ACPICA: Fix use-after-free in
    acpi_ut_copy_ipackage_to_ipackage() (bsc#1012628).
  - uprobes/x86: Allow to probe a NOP instruction with 0x66 prefix
    (bsc#1012628).
  - x86/xen: Fix memory leak in xen_smp_intr_init{_pv}()
    (bsc#1012628).
  - x86/xen: Fix memory leak in xen_init_lock_cpu() (bsc#1012628).
  - xen/privcmd: Fix a possible warning in
    privcmd_ioctl_mmap_resource() (bsc#1012628).
  - PM: runtime: Do not call __rpm_callback() from rpm_idle()
    (bsc#1012628).
  - erofs: check the uniqueness of fsid in shared domain in advance
    (bsc#1012628).
  - erofs: Fix pcluster memleak when its block address is zero
    (bsc#1012628).
  - erofs: fix missing unmap if z_erofs_get_extent_compressedlen()
    fails (bsc#1012628).
  - erofs: validate the extent length for uncompressed pclusters
    (bsc#1012628).
  - platform/chrome: cros_ec_typec: zero out stale pointers
    (bsc#1012628).
  - platform/x86: mxm-wmi: fix memleak in mxm_wmi_call_mx[ds|mx]()
    (bsc#1012628).
  - platform/x86: intel_scu_ipc: fix possible name leak in
    __intel_scu_ipc_register() (bsc#1012628).
  - MIPS: BCM63xx: Add check for NULL for clk in clk_enable
    (bsc#1012628).
  - MIPS: OCTEON: warn only once if deprecated link status is
    being used (bsc#1012628).
  - lockd: set other missing fields when unlocking files
    (bsc#1012628).
  - nfsd: return error if nfs4_setacl fails (bsc#1012628).
  - NFSD: pass range end to vfs_fsync_range() instead of count
    (bsc#1012628).
  - fs: sysv: Fix sysv_nblocks() returns wrong value (bsc#1012628).
  - rapidio: fix possible UAF when kfifo_alloc() fails
    (bsc#1012628).
  - eventfd: change int to __u64 in eventfd_signal() ifndef
    CONFIG_EVENTFD (bsc#1012628).
  - relay: fix type mismatch when allocating memory in
    relay_create_buf() (bsc#1012628).
  - hfs: Fix OOB Write in hfs_asc2mac (bsc#1012628).
  - rapidio: devices: fix missing put_device in mport_cdev_open
    (bsc#1012628).
  - ipc: fix memory leak in init_mqueue_fs() (bsc#1012628).
  - platform/mellanox: mlxbf-pmc: Fix event typo (bsc#1012628).
  - selftests/bpf: Add missing bpf_iter_vma_offset__destroy call
    (bsc#1012628).
  - wifi: fix multi-link element subelement iteration (bsc#1012628).
  - wifi: mac80211: mlme: fix null-ptr deref on failed assoc
    (bsc#1012628).
  - wifi: mac80211: check link ID in auth/assoc continuation
    (bsc#1012628).
  - wifi: mac80211: fix ifdef symbol name (bsc#1012628).
  - drm/atomic-helper: Don't allocate new plane state in CRTC check
    (bsc#1012628).
  - wifi: ath9k: hif_usb: fix memory leak of urbs in
    ath9k_hif_usb_dealloc_tx_urbs() (bsc#1012628).
  - wifi: ath9k: hif_usb: Fix use-after-free in
    ath9k_hif_usb_reg_in_cb() (bsc#1012628).
  - wifi: rtl8xxxu: Fix reading the vendor of combo chips
    (bsc#1012628).
  - wifi: ath11k: fix firmware assert during bandwidth change for
    peer sta (bsc#1012628).
  - drm/bridge: adv7533: remove dynamic lane switching from adv7533
    bridge (bsc#1012628).
  - libbpf: Fix use-after-free in btf_dump_name_dups (bsc#1012628).
  - libbpf: Fix memory leak in parse_usdt_arg() (bsc#1012628).
  - selftests/bpf: Fix memory leak caused by not destroying skeleton
    (bsc#1012628).
  - selftest/bpf: Fix memory leak in kprobe_multi_test
    (bsc#1012628).
  - selftests/bpf: Fix error failure of case
    test_xdp_adjust_tail_grow (bsc#1012628).
  - selftest/bpf: Fix error usage of ASSERT_OK in xdp_adjust_tail.c
    (bsc#1012628).
  - libbpf: Use elf_getshdrnum() instead of e_shnum (bsc#1012628).
  - libbpf: Deal with section with no data gracefully (bsc#1012628).
  - libbpf: Fix null-pointer dereference in find_prog_by_sec_insn()
    (bsc#1012628).
  - drm: lcdif: Switch to limited range for RGB to YUV conversion
    (bsc#1012628).
  - ata: libata: fix NCQ autosense logic (bsc#1012628).
  - pinctrl: ocelot: add missing destroy_workqueue() in error path
    in ocelot_pinctrl_probe() (bsc#1012628).
  - ASoC: Intel: avs: Fix DMA mask assignment (bsc#1012628).
  - ASoC: Intel: avs: Fix potential RX buffer overflow
    (bsc#1012628).
  - ipmi: kcs: Poll OBF briefly to reduce OBE latency (bsc#1012628).
  - drm/amdgpu: Revert "drm/amdgpu: getting fan speed pwm for
    vega10 properly" (bsc#1012628).
  - drm/amdgpu/powerplay/psm: Fix memory leak in power state init
    (bsc#1012628).
  - net: ethernet: adi: adin1110: Fix SPI transfers (bsc#1012628).
  - samples/bpf: Fix map iteration in xdp1_user (bsc#1012628).
  - samples/bpf: Fix MAC address swapping in xdp2_kern
    (bsc#1012628).
  - selftests/bpf: fix missing BPF object files (bsc#1012628).
  - drm/bridge: it6505: Initialize AUX channel in it6505_i2c_probe
    (bsc#1012628).
  - Input: iqs7222 - protect against undefined slider size
    (bsc#1012628).
  - media: v4l2-ctrls: Fix off-by-one error in integer menu control
    check (bsc#1012628).
  - media: coda: jpeg: Add check for kmalloc (bsc#1012628).
  - media: amphion: reset instance if it's aborted before codec
    header parsed (bsc#1012628).
  - media: adv748x: afe: Select input port when initializing AFE
    (bsc#1012628).
  - media: v4l2-ioctl.c: Unify YCbCr/YUV terms in format
    descriptions (bsc#1012628).
  - media: cedrus: hevc: Fix offset adjustments (bsc#1012628).
  - media: mediatek: vcodec: fix h264 cavlc bitstream fail
    (bsc#1012628).
  - drm/i915/guc: Limit scheduling properties to avoid overflow
    (bsc#1012628).
  - drm/i915: Fix compute pre-emption w/a to apply to compute
    engines (bsc#1012628).
  - media: i2c: hi846: Fix memory leak in hi846_parse_dt()
    (bsc#1012628).
  - media: i2c: ad5820: Fix error path (bsc#1012628).
  - venus: pm_helpers: Fix error check in vcodec_domains_get()
    (bsc#1012628).
  - soreuseport: Fix socket selection for SO_INCOMING_CPU
    (bsc#1012628).
  - media: i2c: ov5648: Free V4L2 fwnode data on unbind
    (bsc#1012628).
  - media: exynos4-is: don't rely on the v4l2_async_subdev internals
    (bsc#1012628).
  - libbpf: Btf dedup identical struct test needs check for nested
    structs/arrays (bsc#1012628).
  - can: kvaser_usb: kvaser_usb_leaf: Get capabilities from device
    (bsc#1012628).
  - can: kvaser_usb: kvaser_usb_leaf:
    Rename {leaf,usbcan}_cmd_error_event to
    {leaf,usbcan}_cmd_can_error_event (bsc#1012628).
  - can: kvaser_usb: kvaser_usb_leaf: Handle CMD_ERROR_EVENT
    (bsc#1012628).
  - can: kvaser_usb_leaf: Set Warning state even without bus errors
    (bsc#1012628).
  - can: kvaser_usb_leaf: Fix improved state not being reported
    (bsc#1012628).
  - can: kvaser_usb_leaf: Fix wrong CAN state after stopping
    (bsc#1012628).
  - can: kvaser_usb_leaf: Fix bogus restart events (bsc#1012628).
  - can: kvaser_usb: Add struct kvaser_usb_busparams (bsc#1012628).
  - can: kvaser_usb: Compare requested bittiming parameters with
    actual parameters in do_set_{,data}_bittiming (bsc#1012628).
  - clk: renesas: r8a779f0: Fix SD0H clock name (bsc#1012628).
  - clk: renesas: r8a779a0: Fix SD0H clock name (bsc#1012628).
  - ASoC: dt-bindings: rt5682: Set sound-dai-cells to 1
    (bsc#1012628).
  - drm/i915/guc: Add error-capture init warnings when needed
    (bsc#1012628).
  - drm/i915/guc: Fix GuC error capture sizing estimation and
    reporting (bsc#1012628).
  - dw9768: Enable low-power probe on ACPI (bsc#1012628).
  - drm/amd/display: wait for vblank during pipe programming
    (bsc#1012628).
  - drm/rockchip: lvds: fix PM usage counter unbalance in poweron
    (bsc#1012628).
  - drm/i915: Handle all GTs on driver (un)load paths (bsc#1012628).
  - drm/i915: Refactor ttm ghost obj detection (bsc#1012628).
  - drm/i915: Encapsulate lmem rpm stuff in intel_runtime_pm
    (bsc#1012628).
  - drm/i915/dgfx: Grab wakeref at i915_ttm_unmap_virtual
    (bsc#1012628).
  - clk: renesas: r9a06g032: Repair grave increment error
    (bsc#1012628).
  - drm: lcdif: change burst size to 256B (bsc#1012628).
  - drm/panel/panel-sitronix-st7701: Fix RTNI calculation
    (bsc#1012628).
  - spi: Update reference to struct spi_controller (bsc#1012628).
  - drm/panel/panel-sitronix-st7701: Remove panel on DSI attach
    failure (bsc#1012628).
  - drm/ttm: fix undefined behavior in bit shift for
    TTM_TT_FLAG_PRIV_POPULATED (bsc#1012628).
  - drm/msm/mdp5: stop overriding drvdata (bsc#1012628).
  - ima: Handle -ESTALE returned by ima_filter_rule_match()
    (bsc#1012628).
  - drm/msm/hdmi: use devres helper for runtime PM management
    (bsc#1012628).
  - bpf: Clobber stack slot when writing over spilled PTR_TO_BTF_ID
    (bsc#1012628).
  - bpf: Fix slot type check in check_stack_write_var_off
    (bsc#1012628).
  - drm/msm/dpu1: Account for DSC's bits_per_pixel having 4
    fractional bits (bsc#1012628).
  - drm/msm/dsi: Remove useless math in DSC calculations
    (bsc#1012628).
  - drm/msm/dsi: Remove repeated calculation of slice_per_intf
    (bsc#1012628).
  - drm/msm/dsi: Use DIV_ROUND_UP instead of conditional increment
    on modulo (bsc#1012628).
  - drm/msm/dsi: Reuse earlier computed dsc->slice_chunk_size
    (bsc#1012628).
  - drm/msm/dsi: Appropriately set dsc->mux_word_size based on bpc
    (bsc#1012628).
  - drm/msm/dsi: Migrate to drm_dsc_compute_rc_parameters()
    (bsc#1012628).
  - drm/msm/dsi: Account for DSC's bits_per_pixel having 4
    fractional bits (bsc#1012628).
  - drm/msm/dsi: Disallow 8 BPC DSC configuration for alternative
    BPC values (bsc#1012628).
  - drm/msm/dsi: Prevent signed BPG offsets from bleeding into
    adjacent bits (bsc#1012628).
  - media: platform: mtk-mdp3: fix error handling in mdp_cmdq_send()
    (bsc#1012628).
  - media: platform: mtk-mdp3: fix error handling about components
    clock_on (bsc#1012628).
  - media: platform: mtk-mdp3: fix error handling in mdp_probe()
    (bsc#1012628).
  - media: rkvdec: Add required padding (bsc#1012628).
  - media: vivid: fix compose size exceed boundary (bsc#1012628).
  - media: platform: exynos4-is: fix return value check in
    fimc_md_probe() (bsc#1012628).
  - bpf: propagate precision in ALU/ALU64 operations (bsc#1012628).
  - bpf: propagate precision across all frames, not just the last
    one (bsc#1012628).
  - clk: qcom: gcc-ipq806x: use parent_data for the last remaining
    entry (bsc#1012628).
  - clk: qcom: dispcc-sm6350: Add CLK_OPS_PARENT_ENABLE to
    pixel&byte src (bsc#1012628).
  - clk: qcom: gcc-sm8250: Use retention mode for USB GDSCs
    (bsc#1012628).
  - mtd: Fix device name leak when register device failed in
    add_mtd_device() (bsc#1012628).
  - mtd: core: fix possible resource leak in init_mtd()
    (bsc#1012628).
  - Input: joystick - fix Kconfig warning for JOYSTICK_ADC
    (bsc#1012628).
  - wifi: rsi: Fix handling of 802.3 EAPOL frames sent via control
    port (bsc#1012628).
  - media: camss: Clean up received buffers on failed start of
    streaming (bsc#1012628).
  - media: camss: Do not attach an already attached power domain
    on MSM8916 platform (bsc#1012628).
  - clk: renesas: r8a779f0: Fix HSCIF parent clocks (bsc#1012628).
  - clk: renesas: r8a779f0: Fix SCIF parent clocks (bsc#1012628).
  - virt/sev-guest: Add a MODULE_ALIAS (bsc#1012628).
  - net, proc: Provide PROC_FS=n fallback for
    proc_create_net_single_write() (bsc#1012628).
  - rxrpc: Fix ack.bufferSize to be 0 when generating an ack
    (bsc#1012628).
  - drm: lcdif: Set and enable FIFO Panic threshold (bsc#1012628).
  - wifi: rtw89: use u32_encode_bits() to fill MAC quota value
    (bsc#1012628).
  - drm: rcar-du: Drop leftovers dependencies from Kconfig
    (bsc#1012628).
  - regmap-irq: Use the new num_config_regs property in
    regmap_add_irq_chip_fwnode (bsc#1012628).
  - drbd: use blk_queue_max_discard_sectors helper (bsc#1012628).
  - bfq: fix waker_bfqq inconsistency crash (bsc#1012628).
  - drm/radeon: Add the missed acpi_put_table() to fix memory leak
    (bsc#1012628).
  - dt-bindings: pinctrl: update uart/mmc bindings for MT7986 SoC
    (bsc#1012628).
  - pinctrl: mediatek: fix the pinconf register offset of some pins
    (bsc#1012628).
  - wifi: iwlwifi: mei: make sure ownership confirmed message is
    sent (bsc#1012628).
  - wifi: iwlwifi: mei: don't send SAP commands if AMT is disabled
    (bsc#1012628).
  - wifi: iwlwifi: mei: fix tx DHCP packet for devices with new
    Tx API (bsc#1012628).
  - wifi: iwlwifi: mei: avoid blocking sap messages handling due
    to rtnl lock (bsc#1012628).
  - wifi: iwlwifi: mei: fix potential NULL-ptr deref after clone
    (bsc#1012628).
  - module: Fix NULL vs IS_ERR checking for module_get_next_page
    (bsc#1012628).
  - ASoC: codecs: wsa883x: Use proper shutdown GPIO polarity
    (bsc#1012628).
  - ASoC: codecs: wsa883x: use correct header file (bsc#1012628).
  - selftests/bpf: Fix xdp_synproxy compilation failure in 32-bit
    arch (bsc#1012628).
  - selftests/bpf: Fix incorrect ASSERT in the tcp_hdr_options test
    (bsc#1012628).
  - drm/mediatek: Modify dpi power on/off sequence (bsc#1012628).
  - ASoC: pxa: fix null-pointer dereference in filter()
    (bsc#1012628).
  - nvmet: only allocate a single slab for bvecs (bsc#1012628).
  - regulator: core: fix unbalanced of node refcount in
    regulator_dev_lookup() (bsc#1012628).
  - amdgpu/pm: prevent array underflow in
    vega20_odn_edit_dpm_table() (bsc#1012628).
  - nvme: return err on nvme_init_non_mdts_limits fail
    (bsc#1012628).
  - wifi: rtw89: Fix some error handling path in
    rtw89_core_sta_assoc() (bsc#1012628).
  - regulator: qcom-rpmh: Fix PMR735a S3 regulator spec
    (bsc#1012628).
  - drm/fourcc: Fix vsub/hsub for Q410 and Q401 (bsc#1012628).
  - ALSA: memalloc: Allocate more contiguous pages for fallback case
    (bsc#1012628).
  - integrity: Fix memory leakage in keyring allocation error path
    (bsc#1012628).
  - ima: Fix misuse of dereference of pointer in
    template_desc_init_fields() (bsc#1012628).
  - block: clear ->slave_dir when dropping the main slave_dir
    reference (bsc#1012628).
  - dm: cleanup open_table_device (bsc#1012628).
  - dm: cleanup close_table_device (bsc#1012628).
  - dm: make sure create and remove dm device won't race with open
    and close table (bsc#1012628).
  - dm: track per-add_disk holder relations in DM (bsc#1012628).
  - selftests/bpf: fix memory leak of lsm_cgroup (bsc#1012628).
  - wifi: ath10k: Fix return value in ath10k_pci_init()
    (bsc#1012628).
  - drm/msm/a6xx: Fix speed-bin detection vs probe-defer
    (bsc#1012628).
  - mtd: lpddr2_nvm: Fix possible null-ptr-deref (bsc#1012628).
  - Input: elants_i2c - properly handle the reset GPIO when power
    is off (bsc#1012628).
  - ASoC: amd: acp: Fix possible UAF in acp_dma_open (bsc#1012628).
  - net: ethernet: mtk_eth_soc: do not overwrite mtu configuration
    running reset routine (bsc#1012628).
  - media: amphion: add lock around vdec_g_fmt (bsc#1012628).
  - media: amphion: apply vb2_queue_error instead of setting
    manually (bsc#1012628).
  - media: vidtv: Fix use-after-free in vidtv_bridge_dvb_init()
    (bsc#1012628).
  - media: solo6x10: fix possible memory leak in solo_sysfs_init()
    (bsc#1012628).
  - media: platform: exynos4-is: Fix error handling in
    fimc_md_init() (bsc#1012628).
  - media: amphion: Fix error handling in vpu_driver_init()
    (bsc#1012628).
  - media: videobuf-dma-contig: use dma_mmap_coherent (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix RSTCTRL_PPE{0,1} definitions
    (bsc#1012628).
  - udp: Clean up some functions (bsc#1012628).
  - net: Return errno in sk->sk_prot->get_port() (bsc#1012628).
  - mtd: spi-nor: hide jedec_id sysfs attribute if not present
    (bsc#1012628).
  - mtd: spi-nor: Fix the number of bytes for the dummy cycles
    (bsc#1012628).
  - clk: imx93: correct the flexspi1 clock setting (bsc#1012628).
  - bpf: Pin the start cgroup in cgroup_iter_seq_init()
    (bsc#1012628).
  - HID: i2c: let RMI devices decide what constitutes wakeup event
    (bsc#1012628).
  - clk: imx93: unmap anatop base in error handling path
    (bsc#1012628).
  - clk: imx93: correct enet clock (bsc#1012628).
  - bpf: Move skb->len == 0 checks into __bpf_redirect
    (bsc#1012628).
  - HID: hid-sensor-custom: set fixed size for custom attributes
    (bsc#1012628).
  - clk: imx: imxrt1050: fix IMXRT1050_CLK_LCDIF_APB offsets
    (bsc#1012628).
  - pinctrl: k210: call of_node_put() (bsc#1012628).
  - wifi: rtw89: fix physts IE page check (bsc#1012628).
  - ASoC: Intel: Skylake: Fix Kconfig dependency (bsc#1012628).
  - ASoC: Intel: avs: Lock substream before snd_pcm_stop()
    (bsc#1012628).
  - ALSA: pcm: fix undefined behavior in bit shift for
    SNDRV_PCM_RATE_KNOT (bsc#1012628).
  - ALSA: seq: fix undefined behavior in bit shift for
    SNDRV_SEQ_FILTER_USE_EVENT (bsc#1012628).
  - regulator: core: use kfree_const() to free space conditionally
    (bsc#1012628).
  - clk: rockchip: Fix memory leak in rockchip_clk_register_pll()
    (bsc#1012628).
  - drm/amdgpu: fix pci device refcount leak (bsc#1012628).
  - drm/i915/guc: make default_lists const data (bsc#1012628).
  - selftests/bpf: Make sure zero-len skbs aren't redirectable
    (bsc#1012628).
  - selftests/bpf: Mount debugfs in setns_by_fd (bsc#1012628).
  - bonding: fix link recovery in mode 2 when updelay is nonzero
    (bsc#1012628).
  - clk: microchip: check for null return of devm_kzalloc()
    (bsc#1012628).
  - mtd: core: Fix refcount error in del_mtd_device() (bsc#1012628).
  - mtd: maps: pxa2xx-flash: fix memory leak in probe (bsc#1012628).
  - drbd: remove call to memset before free
    device/resource/connection (bsc#1012628).
  - drbd: destroy workqueue when drbd device was freed
    (bsc#1012628).
  - ASoC: qcom: Add checks for devm_kcalloc (bsc#1012628).
  - ASoC: qcom: cleanup and fix dependency of QCOM_COMMON
    (bsc#1012628).
  - ASoC: mediatek: mt8186: Correct I2S shared clocks (bsc#1012628).
  - media: vimc: Fix wrong function called when vimc_init() fails
    (bsc#1012628).
  - media: imon: fix a race condition in send_packet()
    (bsc#1012628).
  - media: imx: imx7-media-csi: Clear BIT_MIPI_DOUBLE_CMPNT for
    <16b formats (bsc#1012628).
  - media: mt9p031: Drop bogus v4l2_subdev_get_try_crop() call
    from mt9p031_init_cfg() (bsc#1012628).
  - clk: imx8mn: rename vpu_pll to m7_alt_pll (bsc#1012628).
  - clk: imx: replace osc_hdmi with dummy (bsc#1012628).
  - clk: imx: rename video_pll1 to video_pll (bsc#1012628).
  - clk: imx8mn: fix imx8mn_sai2_sels clocks list (bsc#1012628).
  - clk: imx8mn: fix imx8mn_enet_phy_sels clocks list (bsc#1012628).
  - pinctrl: pinconf-generic: add missing of_node_put()
    (bsc#1012628).
  - media: dvb-core: Fix ignored return value in
    dvb_register_frontend() (bsc#1012628).
  - media: dvb-usb: az6027: fix null-ptr-deref in az6027_i2c_xfer()
    (bsc#1012628).
  - x86/boot: Skip realmode init code when running as Xen PV guest
    (bsc#1012628).
  - media: sun6i-mipi-csi2: Require both pads to be connected for
    streaming (bsc#1012628).
  - media: sun8i-a83t-mipi-csi2: Require both pads to be connected
    for streaming (bsc#1012628).
  - media: sun6i-mipi-csi2: Register async subdev with no sensor
    attached (bsc#1012628).
  - media: sun8i-a83t-mipi-csi2: Register async subdev with no
    sensor attached (bsc#1012628).
  - media: amphion: try to wakeup vpu core to avoid failure
    (bsc#1012628).
  - media: amphion: cancel vpu before release instance
    (bsc#1012628).
  - media: amphion: lock and check m2m_ctx in event handler
    (bsc#1012628).
  - media: mediatek: vcodec: Fix getting NULL pointer for dst buffer
    (bsc#1012628).
  - media: mediatek: vcodec: Fix h264 set lat buffer error
    (bsc#1012628).
  - media: mediatek: vcodec: Setting lat buf to lat_list when lat
    decode error (bsc#1012628).
  - media: mediatek: vcodec: Core thread depends on core_list
    (bsc#1012628).
  - media: s5p-mfc: Add variant data for MFC v7 hardware for Exynos
    3250 SoC (bsc#1012628).
  - drm/tegra: Add missing clk_disable_unprepare() in
    tegra_dc_probe() (bsc#1012628).
  - ASoC: dt-bindings: wcd9335: fix reset line polarity in example
    (bsc#1012628).
  - ASoC: mediatek: mtk-btcvsd: Add checks for write and read of
    mtk_btcvsd_snd (bsc#1012628).
  - drm/msm/mdp5: fix reading hw revision on db410c platform
    (bsc#1012628).
  - NFSv4.2: Clear FATTR4_WORD2_SECURITY_LABEL when done decoding
    (bsc#1012628).
  - NFSv4.2: Always decode the security label (bsc#1012628).
  - NFSv4.2: Fix a memory stomp in decode_attr_security_label
    (bsc#1012628).
  - NFSv4.2: Fix initialisation of struct nfs4_label (bsc#1012628).
  - NFSv4: Fix a credential leak in _nfs4_discover_trunking()
    (bsc#1012628).
  - NFSv4: Fix a deadlock between nfs4_open_recover_helper()
    and delegreturn (bsc#1012628).
  - NFS: Fix an Oops in nfs_d_automount() (bsc#1012628).
  - ALSA: asihpi: fix missing pci_disable_device() (bsc#1012628).
  - wifi: plfxlc: fix potential memory leak in
    __lf_x_usb_enable_rx() (bsc#1012628).
  - wifi: rtl8xxxu: Fix use after rcu_read_unlock in
    rtl8xxxu_bss_info_changed (bsc#1012628).
  - wifi: iwlwifi: mvm: fix double free on tx path (bsc#1012628).
  - ASoC: mediatek: mt8173: Enable IRQ when pdata is ready
    (bsc#1012628).
  - clk: mediatek: fix dependency of MT7986 ADC clocks
    (bsc#1012628).
  - drm/amd/pm/smu11: BACO is supported when it's in BACO state
    (bsc#1012628).
  - amdgpu/nv.c: Corrected typo in the video capabilities resolution
    (bsc#1012628).
  - drm/radeon: Fix PCI device refcount leak in
    radeon_atrm_get_bios() (bsc#1012628).
  - drm/amdgpu: Fix PCI device refcount leak in
    amdgpu_atrm_get_bios() (bsc#1012628).
  - drm/amdkfd: Fix memory leakage (bsc#1012628).
  - drm/i915/bios: fix a memory leak in generate_lfp_data_ptrs
    (bsc#1012628).
  - ASoC: pcm512x: Fix PM disable depth imbalance in pcm512x_probe
    (bsc#1012628).
  - clk: visconti: Fix memory leak in visconti_register_pll()
    (bsc#1012628).
  - netfilter: conntrack: set icmpv6 redirects as RELATED
    (bsc#1012628).
  - Input: wistron_btns - disable on UML (bsc#1012628).
  - bpf, sockmap: Fix repeated calls to sock_put() when msg has
    more_data (bsc#1012628).
  - bpf, sockmap: Fix missing BPF_F_INGRESS flag when using
    apply_bytes (bsc#1012628).
  - bpf, sockmap: Fix data loss caused by using apply_bytes on
    ingress redirect (bsc#1012628).
  - bonding: uninitialized variable in bond_miimon_inspect()
    (bsc#1012628).
  - spi: spidev: mask SPI_CS_HIGH in SPI_IOC_RD_MODE (bsc#1012628).
  - wifi: nl80211: Add checks for nla_nest_start() in
    nl80211_send_iface() (bsc#1012628).
  - wifi: mac80211: fix memory leak in ieee80211_if_add()
    (bsc#1012628).
  - wifi: mac80211: fix maybe-unused warning (bsc#1012628).
  - wifi: cfg80211: Fix not unregister reg_pdev when
    load_builtin_regdb_keys() fails (bsc#1012628).
  - wifi: mt76: mt7921: fix antenna signal are way off in monitor
    mode (bsc#1012628).
  - wifi: mt76: mt7915: fix mt7915_mac_set_timing() (bsc#1012628).
  - wifi: mt76: mt7915: fix reporting of TX AGGR histogram
    (bsc#1012628).
  - wifi: mt76: mt7921: fix reporting of TX AGGR histogram
    (bsc#1012628).
  - wifi: mt76: mt7915: rework eeprom tx paths and streams init
    (bsc#1012628).
  - wifi: mt76: mt7915: Fix chainmask calculation on mt7915 DBDC
    (bsc#1012628).
  - wifi: mt76: mt7921: fix wrong power after multiple SAR set
    (bsc#1012628).
  - wifi: mt76: fix coverity overrun-call in mt76_get_txpower()
    (bsc#1012628).
  - wifi: mt76: mt7921: Add missing __packed annotation of struct
    mt7921_clc (bsc#1012628).
  - wifi: mt76: do not send firmware FW_FEATURE_NON_DL region
    (bsc#1012628).
  - mt76: mt7915: Fix PCI device refcount leak in
    mt7915_pci_init_hif2() (bsc#1012628).
  - regulator: core: fix module refcount leak in set_supply()
    (bsc#1012628).
  - clk: qcom: lpass-sc7280: Fix pm_runtime usage (bsc#1012628).
  - clk: qcom: lpass-sc7180: Fix pm_runtime usage (bsc#1012628).
  - clk: qcom: clk-krait: fix wrong div2 functions (bsc#1012628).
  - Revert "net: hsr: use hlist_head instead of list_head for mac
    addresses" (bsc#1012628).
  - hsr: Add a rcu-read lock to hsr_forward_skb() (bsc#1012628).
  - hsr: Avoid double remove of a node (bsc#1012628).
  - hsr: Disable netpoll (bsc#1012628).
  - hsr: Synchronize sending frames to have always incremented
    outgoing seq nr (bsc#1012628).
  - hsr: Synchronize sequence number updates (bsc#1012628).
  - configfs: fix possible memory leak in configfs_create_dir()
    (bsc#1012628).
  - regulator: core: fix resource leak in regulator_register()
    (bsc#1012628).
  - hwmon: (jc42) Convert register access and caching to
    regmap/regcache (bsc#1012628).
  - hwmon: (jc42) Restore the min/max/critical temperatures on
    resume (bsc#1012628).
  - bpf: Add dummy type reference to nf_conn___init to fix type
    deduplication (bsc#1012628).
  - bpf, sockmap: fix race in sock_map_free() (bsc#1012628).
  - ALSA: pcm: Set missing stop_operating flag at undoing trigger
    start (bsc#1012628).
  - media: saa7164: fix missing pci_disable_device() (bsc#1012628).
  - media: ov5640: set correct default link frequency (bsc#1012628).
  - ALSA: mts64: fix possible null-ptr-defer in snd_mts64_interrupt
    (bsc#1012628).
  - pinctrl: thunderbay: fix possible memory leak in
    thunderbay_build_functions() (bsc#1012628).
  - xprtrdma: Fix regbuf data not freed in rpcrdma_req_create()
    (bsc#1012628).
  - SUNRPC: Fix missing release socket in rpc_sockname()
    (bsc#1012628).
  - NFSv4.2: Set the correct size scratch buffer for decoding
    READ_PLUS (bsc#1012628).
  - NFS: Allow very small rsize & wsize again (bsc#1012628).
  - NFSv4.x: Fail client initialisation if state manager thread
    can't run (bsc#1012628).
  - riscv, bpf: Emit fixed-length instructions for BPF_PSEUDO_FUNC
    (bsc#1012628).
  - bpftool: Fix memory leak in do_build_table_cb (bsc#1012628).
  - hwmon: (emc2305) fix unable to probe emc2301/2/3 (bsc#1012628).
  - hwmon: (emc2305) fix pwm never being able to set lower
    (bsc#1012628).
  - mmc: alcor: fix return value check of mmc_add_host()
    (bsc#1012628).
  - mmc: moxart: fix return value check of mmc_add_host()
    (bsc#1012628).
  - mmc: mxcmmc: fix return value check of mmc_add_host()
    (bsc#1012628).
  - mmc: pxamci: fix return value check of mmc_add_host()
    (bsc#1012628).
  - mmc: rtsx_pci: fix return value check of mmc_add_host()
    (bsc#1012628).
  - mmc: rtsx_usb_sdmmc: fix return value check of mmc_add_host()
    (bsc#1012628).
  - mmc: toshsd: fix return value check of mmc_add_host()
    (bsc#1012628).
  - mmc: vub300: fix return value check of mmc_add_host()
    (bsc#1012628).
  - mmc: wmt-sdmmc: fix return value check of mmc_add_host()
    (bsc#1012628).
  - mmc: litex_mmc: ensure `host->irq == 0` if polling
    (bsc#1012628).
  - mmc: atmel-mci: fix return value check of mmc_add_host()
    (bsc#1012628).
  - mmc: omap_hsmmc: fix return value check of mmc_add_host()
    (bsc#1012628).
  - mmc: meson-gx: fix return value check of mmc_add_host()
    (bsc#1012628).
  - mmc: via-sdmmc: fix return value check of mmc_add_host()
    (bsc#1012628).
  - mmc: wbsd: fix return value check of mmc_add_host()
    (bsc#1012628).
  - mmc: mmci: fix return value check of mmc_add_host()
    (bsc#1012628).
  - mmc: renesas_sdhi: alway populate SCC pointer (bsc#1012628).
  - memstick/ms_block: Add check for alloc_ordered_workqueue
    (bsc#1012628).
  - mmc: core: Normalize the error handling branch in
    sd_read_ext_regs() (bsc#1012628).
  - nvme: pass nr_maps explicitly to nvme_alloc_io_tag_set
    (bsc#1012628).
  - regulator: qcom-labibb: Fix missing of_node_put() in
    qcom_labibb_regulator_probe() (bsc#1012628).
  - media: c8sectpfe: Add of_node_put() when breaking out of loop
    (bsc#1012628).
  - media: coda: Add check for dcoda_iram_alloc (bsc#1012628).
  - media: coda: Add check for kmalloc (bsc#1012628).
  - media: staging: stkwebcam: Restore MEDIA_{USB,CAMERA}_SUPPORT
    dependencies (bsc#1012628).
  - clk: samsung: Fix memory leak in _samsung_clk_register_pll()
    (bsc#1012628).
  - spi: spi-gpio: Don't set MOSI as an input if not 3WIRE mode
    (bsc#1012628).
  - wifi: rtl8xxxu: Add __packed to struct rtl8723bu_c2h
    (bsc#1012628).
  - wifi: rtl8xxxu: Fix the channel width reporting (bsc#1012628).
  - wifi: brcmfmac: Fix error return code in
    brcmf_sdio_download_firmware() (bsc#1012628).
  - blktrace: Fix output non-blktrace event when blk_classic option
    enabled (bsc#1012628).
  - bpf: Do not zero-extend kfunc return values (bsc#1012628).
  - clk: socfpga: Fix memory leak in socfpga_gate_init()
    (bsc#1012628).
  - net: vmw_vsock: vmci: Check memcpy_from_msg() (bsc#1012628).
  - net: defxx: Fix missing err handling in dfx_init()
    (bsc#1012628).
  - net: stmmac: selftests: fix potential memleak in
    stmmac_test_arpoffload() (bsc#1012628).
  - net: stmmac: fix possible memory leak in stmmac_dvr_probe()
    (bsc#1012628).
  - drivers: net: qlcnic: Fix potential memory leak in
    qlcnic_sriov_init() (bsc#1012628).
  - ipvs: use u64_stats_t for the per-cpu counters (bsc#1012628).
  - of: overlay: fix null pointer dereferencing in
    find_dup_cset_node_entry() and find_dup_cset_prop()
    (bsc#1012628).
  - ethernet: s2io: don't call dev_kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - net: farsync: Fix kmemleak when rmmods farsync (bsc#1012628).
  - net/tunnel: wait until all sk_user_data reader finish before
    releasing the sock (bsc#1012628).
  - net: apple: mace: don't call dev_kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - net: apple: bmac: don't call dev_kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - net: emaclite: don't call dev_kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - net: ethernet: dnet: don't call dev_kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - hamradio: don't call dev_kfree_skb() under spin_lock_irqsave()
    (bsc#1012628).
  - net: amd: lance: don't call dev_kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - net: setsockopt: fix IPV6_UNICAST_IF option for connected
    sockets (bsc#1012628).
  - af_unix: call proto_unregister() in the error path in
    af_unix_init() (bsc#1012628).
  - net: amd-xgbe: Fix logic around active and passive cables
    (bsc#1012628).
  - net: amd-xgbe: Check only the minimum speed for active/passive
    cables (bsc#1012628).
  - can: tcan4x5x: Remove invalid write in clear_interrupts
    (bsc#1012628).
  - can: m_can: Call the RAM init directly from m_can_chip_config
    (bsc#1012628).
  - can: tcan4x5x: Fix use of register error status mask
    (bsc#1012628).
  - net: ethernet: ti: am65-cpsw: Fix PM runtime leakage in
    am65_cpsw_nuss_ndo_slave_open() (bsc#1012628).
  - net: lan9303: Fix read error execution path (bsc#1012628).
  - ntb_netdev: Use dev_kfree_skb_any() in interrupt context
    (bsc#1012628).
  - sctp: sysctl: make extra pointers netns aware (bsc#1012628).
  - Bluetooth: hci_core: fix error handling in hci_register_dev()
    (bsc#1012628).
  - Bluetooth: MGMT: Fix error report for ADD_EXT_ADV_PARAMS
    (bsc#1012628).
  - Bluetooth: Fix EALREADY and ELOOP cases in bt_status()
    (bsc#1012628).
  - Bluetooth: hci_conn: Fix crash on hci_create_cis_sync
    (bsc#1012628).
  - Bluetooth: btintel: Fix missing free skb in
    btintel_setup_combined() (bsc#1012628).
  - Bluetooth: btusb: don't call kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - Bluetooth: hci_qca: don't call kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - Bluetooth: hci_ll: don't call kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - Bluetooth: hci_h5: don't call kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - Bluetooth: hci_bcsp: don't call kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - Bluetooth: hci_core: don't call kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - Bluetooth: RFCOMM: don't call kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - octeontx2-af: cn10k: mcs: Fix a resource leak in the probe
    and remove functions (bsc#1012628).
  - stmmac: fix potential division by 0 (bsc#1012628).
  - i40e: Fix the inability to attach XDP program on downed
    interface (bsc#1012628).
  - net: dsa: tag_8021q: avoid leaking ctx on
    dsa_tag_8021q_register() error path (bsc#1012628).
  - apparmor: fix a memleak in multi_transaction_new()
    (bsc#1012628).
  - apparmor: fix lockdep warning when removing a namespace
    (bsc#1012628).
  - apparmor: Fix abi check to include v8 abi (bsc#1012628).
  - apparmor: Fix regression in stacking due to label flags
    (bsc#1012628).
  - crypto: hisilicon/qm - fix incorrect parameters usage
    (bsc#1012628).
  - crypto: hisilicon/qm - re-enable communicate interrupt before
    notifying PF (bsc#1012628).
  - crypto: sun8i-ss - use dma_addr instead u32 (bsc#1012628).
  - crypto: nitrox - avoid double free on error path in
    nitrox_sriov_init() (bsc#1012628).
  - crypto: tcrypt - fix return value for multiple subtests
    (bsc#1012628).
  - scsi: core: Fix a race between scsi_done() and scsi_timeout()
    (bsc#1012628).
  - apparmor: Use pointer to struct aa_label for lbs_cred
    (bsc#1012628).
  - PCI: dwc: Fix n_fts[] array overrun (bsc#1012628).
  - RDMA/core: Fix order of nldev_exit call (bsc#1012628).
  - PCI: pci-epf-test: Register notifier if only core_init_notifier
    is enabled (bsc#1012628).
  - f2fs: Fix the race condition of resize flag between resizefs
    (bsc#1012628).
  - crypto: rockchip - do not do custom power management
    (bsc#1012628).
  - crypto: rockchip - do not store mode globally (bsc#1012628).
  - crypto: rockchip - add fallback for cipher (bsc#1012628).
  - crypto: rockchip - add fallback for ahash (bsc#1012628).
  - crypto: rockchip - better handle cipher key (bsc#1012628).
  - crypto: rockchip - remove non-aligned handling (bsc#1012628).
  - crypto: rockchip - rework by using crypto_engine (bsc#1012628).
  - apparmor: Fix memleak in alloc_ns() (bsc#1012628).
  - fortify: Do not cast to "unsigned char" (bsc#1012628).
  - f2fs: fix to invalidate dcc->f2fs_issue_discard in error path
    (bsc#1012628).
  - f2fs: fix gc mode when gc_urgent_high_remaining is 1
    (bsc#1012628).
  - f2fs: fix normal discard process (bsc#1012628).
  - f2fs: allow to set compression for inlined file (bsc#1012628).
  - f2fs: fix the assign logic of iocb (bsc#1012628).
  - f2fs: fix to destroy sbi->post_read_wq in error path of
    f2fs_fill_super() (bsc#1012628).
  - RDMA/irdma: Report the correct link speed (bsc#1012628).
  - scsi: qla2xxx: Fix set-but-not-used variable warnings
    (bsc#1012628).
  - RDMA/siw: Fix immediate work request flush to completion queue
    (bsc#1012628).
  - IB/mad: Don't call to function that might sleep while in atomic
    context (bsc#1012628).
  - PCI: vmd: Disable MSI remapping after suspend (bsc#1012628).
  - PCI: imx6: Initialize PHY before deasserting core reset
    (bsc#1012628).
  - f2fs: fix to avoid accessing uninitialized spinlock
    (bsc#1012628).
  - RDMA/restrack: Release MR restrack when delete (bsc#1012628).
  - RDMA/core: Make sure "ib_port" is valid when access sysfs node
    (bsc#1012628).
  - RDMA/nldev: Return "-EAGAIN" if the cm_id isn't from expected
    port (bsc#1012628).
  - RDMA/siw: Set defined status for work completion with undefined
    status (bsc#1012628).
  - RDMA/irdma: Fix inline for multiple SGE's (bsc#1012628).
  - RDMA/irdma: Fix RQ completion opcode (bsc#1012628).
  - RDMA/irdma: Do not request 2-level PBLEs for CQ alloc
    (bsc#1012628).
  - scsi: scsi_debug: Fix a warning in resp_write_scat()
    (bsc#1012628).
  - crypto: ccree - Remove debugfs when platform_driver_register
    failed (bsc#1012628).
  - crypto: cryptd - Use request context instead of stack for
    sub-request (bsc#1012628).
  - crypto: hisilicon/qm - add missing pci_dev_put() in q_num_set()
    (bsc#1012628).
  - RDMA/rxe: Fix mr->map double free (bsc#1012628).
  - RDMA/hns: Fix ext_sge num error when post send (bsc#1012628).
  - RDMA/hns: Fix incorrect sge nums calculation (bsc#1012628).
  - PCI: Check for alloc failure in pci_request_irq() (bsc#1012628).
  - RDMA/hfi: Decrease PCI device reference count in error path
    (bsc#1012628).
  - crypto: ccree - Make cc_debugfs_global_fini() available for
    module init function (bsc#1012628).
  - RDMA/irdma: Initialize net_type before checking it
    (bsc#1012628).
  - RDMA/hns: fix memory leak in hns_roce_alloc_mr() (bsc#1012628).
  - RDMA/rxe: Fix NULL-ptr-deref in rxe_qp_do_cleanup() when socket
    create failed (bsc#1012628).
  - dt-bindings: imx6q-pcie: Fix clock names for imx6sx and imx8mq
    (bsc#1012628).
  - dt-bindings: visconti-pcie: Fix interrupts array max constraints
    (bsc#1012628).
  - PCI: endpoint: pci-epf-vntb: Fix call pci_epc_mem_free_addr()
    in error path (bsc#1012628).
  - scsi: hpsa: Fix possible memory leak in hpsa_init_one()
    (bsc#1012628).
  - crypto: tcrypt - Fix multibuffer skcipher speed test mem leak
    (bsc#1012628).
  - padata: Always leave BHs disabled when running ->parallel()
    (bsc#1012628).
  - padata: Fix list iterator in padata_do_serial() (bsc#1012628).
  - crypto: x86/aegis128 - fix possible crash with CFI enabled
    (bsc#1012628).
  - crypto: x86/aria - fix crash with CFI enabled (bsc#1012628).
  - crypto: x86/sha1 - fix possible crash with CFI enabled
    (bsc#1012628).
  - crypto: x86/sha256 - fix possible crash with CFI enabled
    (bsc#1012628).
  - crypto: x86/sha512 - fix possible crash with CFI enabled
    (bsc#1012628).
  - crypto: x86/sm3 - fix possible crash with CFI enabled
    (bsc#1012628).
  - crypto: x86/sm4 - fix crash with CFI enabled (bsc#1012628).
  - crypto: arm64/sm3 - add NEON assembly implementation
    (bsc#1012628).
  - crypto: arm64/sm3 - fix possible crash with CFI enabled
    (bsc#1012628).
  - crypto: hisilicon/qm - fix 'QM_XEQ_DEPTH_CAP' mask value
    (bsc#1012628).
  - scsi: mpt3sas: Fix possible resource leaks in
    mpt3sas_transport_port_add() (bsc#1012628).
  - scsi: hpsa: Fix error handling in hpsa_add_sas_host()
    (bsc#1012628).
  - scsi: hpsa: Fix possible memory leak in hpsa_add_sas_device()
    (bsc#1012628).
  - scsi: efct: Fix possible memleak in efct_device_init()
    (bsc#1012628).
  - scsi: scsi_debug: Fix a warning in resp_verify() (bsc#1012628).
  - scsi: scsi_debug: Fix a warning in resp_report_zones()
    (bsc#1012628).
  - scsi: fcoe: Fix possible name leak when device_register()
    fails (bsc#1012628).
  - scsi: scsi_debug: Fix possible name leak in
    sdebug_add_host_helper() (bsc#1012628).
  - scsi: ipr: Fix WARNING in ipr_init() (bsc#1012628).
  - scsi: fcoe: Fix transport not deattached when fcoe_if_init()
    fails (bsc#1012628).
  - scsi: snic: Fix possible UAF in snic_tgt_create() (bsc#1012628).
  - scsi: ufs: core: Fix the polling implementation (bsc#1012628).
  - RDMA/nldev: Add checks for nla_nest_start() in
    fill_stat_counter_qps() (bsc#1012628).
  - f2fs: set zstd compress level correctly (bsc#1012628).
  - f2fs: fix to enable compress for newly created file if extension
    matches (bsc#1012628).
  - f2fs: avoid victim selection from previous victim section
    (bsc#1012628).
  - RDMA/nldev: Fix failure to send large messages (bsc#1012628).
  - crypto: qat - fix error return code in adf_probe (bsc#1012628).
  - crypto: amlogic - Remove kcalloc without check (bsc#1012628).
  - crypto: omap-sham - Use pm_runtime_resume_and_get() in
    omap_sham_probe() (bsc#1012628).
  - riscv/mm: add arch hook arch_clear_hugepage_flags (bsc#1012628).
  - RDMA: Disable IB HW for UML (bsc#1012628).
  - RDMA/hfi1: Fix error return code in parse_platform_config()
    (bsc#1012628).
  - RDMA/srp: Fix error return code in srp_parse_options()
    (bsc#1012628).
  - PCI: vmd: Fix secondary bus reset for Intel bridges
    (bsc#1012628).
  - orangefs: Fix sysfs not cleanup when dev init failed
    (bsc#1012628).
  - RDMA/hns: Fix the gid problem caused by free mr (bsc#1012628).
  - RDMA/hns: Fix AH attr queried by query_qp (bsc#1012628).
  - RDMA/hns: Fix PBL page MTR find (bsc#1012628).
  - RDMA/hns: Fix page size cap from firmware (bsc#1012628).
  - RDMA/hns: Fix error code of CMD (bsc#1012628).
  - RDMA/hns: Fix XRC caps on HIP08 (bsc#1012628).
  - RISC-V: Fix unannoted hardirqs-on in return to userspace
    slow-path (bsc#1012628).
  - RISC-V: Fix MEMREMAP_WB for systems with Svpbmt (bsc#1012628).
  - riscv: Fix crash during early errata patching (bsc#1012628).
  - crypto: img-hash - Fix variable dereferenced before check
    'hdev->req' (bsc#1012628).
  - hwrng: amd - Fix PCI device refcount leak (bsc#1012628).
  - hwrng: geode - Fix PCI device refcount leak (bsc#1012628).
  - IB/IPoIB: Fix queue count inconsistency for PKEY child
    interfaces (bsc#1012628).
  - RISC-V: Align the shadow stack (bsc#1012628).
  - f2fs: fix iostat parameter for discard (bsc#1012628).
  - riscv: Fix P4D_SHIFT definition for 3-level page table mode
    (bsc#1012628).
  - drivers: dio: fix possible memory leak in dio_init()
    (bsc#1012628).
  - serial: tegra: Read DMA status before terminating (bsc#1012628).
  - serial: 8250_bcm7271: Fix error handling in brcmuart_init()
    (bsc#1012628).
  - drivers: staging: r8188eu: Fix sleep-in-atomic-context bug in
    rtw_join_timeout_handler (bsc#1012628).
  - class: fix possible memory leak in __class_register()
    (bsc#1012628).
  - vfio: platform: Do not pass return buffer to ACPI _RST method
    (bsc#1012628).
  - vfio/iova_bitmap: Fix PAGE_SIZE unaligned bitmaps (bsc#1012628).
  - uio: uio_dmem_genirq: Fix missing unlock in irq configuration
    (bsc#1012628).
  - uio: uio_dmem_genirq: Fix deadlock between irq config and
    handling (bsc#1012628).
  - usb: fotg210-udc: Fix ages old endianness issues (bsc#1012628).
  - interconnect: qcom: sc7180: fix dropped const of qcom_icc_bcm
    (bsc#1012628).
  - staging: vme_user: Fix possible UAF in tsi148_dma_list_add
    (bsc#1012628).
  - usb: typec: Check for ops->exit instead of ops->enter in
    altmode_exit (bsc#1012628).
  - usb: typec: tcpci: fix of node refcount leak in
    tcpci_register_port() (bsc#1012628).
  - usb: typec: tipd: Cleanup resources if devm_tps6598_psy_register
    fails (bsc#1012628).
  - usb: typec: tipd: Fix spurious fwnode_handle_put in error path
    (bsc#1012628).
  - usb: typec: tipd: Fix typec_unregister_port error paths
    (bsc#1012628).
  - usb: musb: omap2430: Fix probe regression for missing resources
    (bsc#1012628).
  - extcon: usbc-tusb320: Update state on probe even if no IRQ
    pending (bsc#1012628).
  - USB: gadget: Fix use-after-free during usb config switch
    (bsc#1012628).
  - serial: amba-pl011: avoid SBSA UART accessing DMACR register
    (bsc#1012628).
  - serial: pl011: Do not clear RX FIFO & RX interrupt in unthrottle
    (bsc#1012628).
  - serial: stm32: move dma_request_chan() before
    clk_prepare_enable() (bsc#1012628).
  - serial: pch: Fix PCI device refcount leak in pch_request_dma()
    (bsc#1012628).
  - serial: altera_uart: fix locking in polling mode (bsc#1012628).
  - serial: sunsab: Fix error handling in sunsab_init()
    (bsc#1012628).
  - habanalabs: fix return value check in
    hl_fw_get_sec_attest_data() (bsc#1012628).
  - test_firmware: fix memory leak in test_firmware_init()
    (bsc#1012628).
  - misc: ocxl: fix possible name leak in ocxl_file_register_afu()
    (bsc#1012628).
  - ocxl: fix pci device refcount leak when calling get_function_0()
    (bsc#1012628).
  - misc: tifm: fix possible memory leak in tifm_7xx1_switch_media()
    (bsc#1012628).
  - firmware: raspberrypi: fix possible memory leak in
    rpi_firmware_probe() (bsc#1012628).
  - cxl: fix possible null-ptr-deref in cxl_guest_init_afu|adapter()
    (bsc#1012628).
  - cxl: fix possible null-ptr-deref in cxl_pci_init_afu|adapter()
    (bsc#1012628).
  - iio: temperature: ltc2983: make bulk write buffer DMA-safe
    (bsc#1012628).
  - iio: adis: add '__adis_enable_irq()' implementation
    (bsc#1012628).
  - counter: stm32-lptimer-cnt: fix the check on arr and cmp
    registers update (bsc#1012628).
  - coresight: trbe: remove cpuhp instance node before remove
    cpuhp state (bsc#1012628).
  - coresight: cti: Fix null pointer error on CTI init before ETM
    (bsc#1012628).
  - tracing/user_events: Fix call print_fmt leak (bsc#1012628).
  - usb: roles: fix of node refcount leak in
    usb_role_switch_is_parent() (bsc#1012628).
  - usb: core: hcd: Fix return value check in
    usb_hcd_setup_local_mem() (bsc#1012628).
  - usb: gadget: f_hid: fix f_hidg lifetime vs cdev (bsc#1012628).
  - usb: gadget: f_hid: fix refcount leak on error path
    (bsc#1012628).
  - drivers: mcb: fix resource leak in mcb_probe() (bsc#1012628).
  - mcb: mcb-parse: fix error handing in chameleon_parse_gdd()
    (bsc#1012628).
  - chardev: fix error handling in cdev_device_add() (bsc#1012628).
  - vfio/iova_bitmap: refactor iova_bitmap_set() to better handle
    page boundaries (bsc#1012628).
  - i2c: pxa-pci: fix missing pci_disable_device() on error in
    ce4100_i2c_probe (bsc#1012628).
  - staging: rtl8192u: Fix use after free in ieee80211_rx()
    (bsc#1012628).
  - staging: rtl8192e: Fix potential use-after-free in
    rtllib_rx_Monitor() (bsc#1012628).
  - vme: Fix error not catched in fake_init() (bsc#1012628).
  - gpiolib: cdev: fix NULL-pointer dereferences (bsc#1012628).
  - gpiolib: protect the GPIO device against being dropped while
    in use by user-space (bsc#1012628).
  - i2c: mux: reg: check return value after calling
    platform_get_resource() (bsc#1012628).
  - i2c: ismt: Fix an out-of-bounds bug in ismt_access()
    (bsc#1012628).
  - usb: storage: Add check for kcalloc (bsc#1012628).
  - usb: typec: wusb3801: fix fwnode refcount leak in
    wusb3801_probe() (bsc#1012628).
  - tracing/hist: Fix issue of losting command info in error_log
    (bsc#1012628).
  - ksmbd: Fix resource leak in ksmbd_session_rpc_open()
    (bsc#1012628).
  - samples: vfio-mdev: Fix missing pci_disable_device() in
    mdpy_fb_probe() (bsc#1012628).
  - thermal/drivers/imx8mm_thermal: Validate temperature range
    (bsc#1012628).
  - thermal/drivers/k3_j72xx_bandgap: Fix the debug print message
    (bsc#1012628).
  - thermal/of: Fix memory leak on thermal_of_zone_register()
    failure (bsc#1012628).
  - thermal/drivers/qcom/temp-alarm: Fix inaccurate warning for gen2
    (bsc#1012628).
  - thermal/drivers/qcom/lmh: Fix irq handler return value
    (bsc#1012628).
  - fbdev: ssd1307fb: Drop optional dependency (bsc#1012628).
  - fbdev: pm2fb: fix missing pci_disable_device() (bsc#1012628).
  - fbdev: via: Fix error in via_core_init() (bsc#1012628).
  - fbdev: vermilion: decrease reference count in error path
    (bsc#1012628).
  - fbdev: ep93xx-fb: Add missing clk_disable_unprepare in
    ep93xxfb_probe() (bsc#1012628).
  - fbdev: geode: don't build on UML (bsc#1012628).
  - fbdev: uvesafb: don't build on UML (bsc#1012628).
  - fbdev: uvesafb: Fixes an error handling path in uvesafb_probe()
    (bsc#1012628).
  - led: qcom-lpg: Fix sleeping in atomic (bsc#1012628).
  - perf tools: Fix "kernel lock contention analysis" test by not
    printing warnings in quiet mode (bsc#1012628).
  - perf stat: Use evsel__is_hybrid() more (bsc#1012628).
  - perf stat: Move common code in print_metric_headers()
    (bsc#1012628).
  - HSI: omap_ssi_core: fix unbalanced pm_runtime_disable()
    (bsc#1012628).
  - HSI: omap_ssi_core: fix possible memory leak in ssi_probe()
    (bsc#1012628).
  - power: supply: fix residue sysfs file in error handle route
    of __power_supply_register() (bsc#1012628).
  - watchdog: iTCO_wdt: Set NO_REBOOT if the watchdog is not
    already running (bsc#1012628).
  - perf trace: Return error if a system call doesn't exist
    (bsc#1012628).
  - perf trace: Use macro RAW_SYSCALL_ARGS_NUM to replace number
    (bsc#1012628).
  - perf trace: Handle failure when trace point folder is missed
    (bsc#1012628).
  - perf symbol: correction while adjusting symbol (bsc#1012628).
  - power: supply: z2_battery: Fix possible memleak in
    z2_batt_probe() (bsc#1012628).
  - power: supply: cw2015: Fix potential null-ptr-deref in
    cw_bat_probe() (bsc#1012628).
  - HSI: omap_ssi_core: Fix error handling in ssi_init()
    (bsc#1012628).
  - power: supply: ab8500: Fix error handling in
    ab8500_charger_init() (bsc#1012628).
  - power: supply: Fix refcount leak in rk817_charger_probe
    (bsc#1012628).
  - power: supply: bq25890: Factor out regulator registration code
    (bsc#1012628).
  - power: supply: bq25890: Convert to i2c's .probe_new()
    (bsc#1012628).
  - power: supply: bq25890: Ensure pump_express_work is cancelled
    on remove (bsc#1012628).
  - perf branch: Fix interpretation of branch records (bsc#1012628).
  - power: supply: fix null pointer dereferencing in
    power_supply_get_battery_info (bsc#1012628).
  - gfs2: Partially revert gfs2_inode_lookup change (bsc#1012628).
  - leds: is31fl319x: Fix setting current limit for is31fl319{0,1,3}
    (bsc#1012628).
  - perf off_cpu: Fix a typo in BTF tracepoint name, it should be
    'btf_trace_sched_switch' (bsc#1012628).
  - ftrace: Allow WITH_ARGS flavour of graph tracer with shadow
    call stack (bsc#1012628).
  - perf stat: Do not delay the workload with --delay (bsc#1012628).
  - RDMA/siw: Fix pointer cast warning (bsc#1012628).
  - fs/ntfs3: Avoid UBSAN error on true_sectors_per_clst()
    (bsc#1012628).
  - fs/ntfs3: Harden against integer overflows (bsc#1012628).
  - phy: marvell: phy-mvebu-a3700-comphy: Reset COMPHY registers
    before USB 3.0 power on (bsc#1012628).
  - phy: qcom-qmp-pcie: drop bogus register update (bsc#1012628).
  - dmaengine: idxd: Make max batch size attributes in sysfs
    invisible for Intel IAA (bsc#1012628).
  - dmaengine: apple-admac: Allocate cache SRAM to channels
    (bsc#1012628).
  - remoteproc: core: Auto select rproc-virtio device id
    (bsc#1012628).
  - phy: qcom-qmp-pcie: drop power-down delay config (bsc#1012628).
  - phy: qcom-qmp-pcie: replace power-down delay (bsc#1012628).
  - phy: qcom-qmp-pcie: fix sc8180x initialisation (bsc#1012628).
  - phy: qcom-qmp-pcie: fix ipq8074-gen3 initialisation
    (bsc#1012628).
  - phy: qcom-qmp-pcie: fix ipq6018 initialisation (bsc#1012628).
  - phy: qcom-qmp-usb: clean up power-down handling (bsc#1012628).
  - phy: qcom-qmp-usb: drop sc8280xp power-down delay (bsc#1012628).
  - phy: qcom-qmp-usb: drop power-down delay config (bsc#1012628).
  - phy: qcom-qmp-usb: clean up status polling (bsc#1012628).
  - phy: qcom-qmp-usb: drop start and pwrdn-ctrl abstraction
    (bsc#1012628).
  - phy: qcom-qmp-usb: correct registers layout for IPQ8074 USB3
    PHY (bsc#1012628).
  - iommu/s390: Fix duplicate domain attachments (bsc#1012628).
  - iommu/sun50i: Fix reset release (bsc#1012628).
  - iommu/sun50i: Consider all fault sources for reset
    (bsc#1012628).
  - iommu/sun50i: Fix R/W permission check (bsc#1012628).
  - iommu/sun50i: Fix flush size (bsc#1012628).
  - iommu/sun50i: Implement .iotlb_sync_map (bsc#1012628).
  - iommu/rockchip: fix permission bits in page table entries v2
    (bsc#1012628).
  - dmaengine: idxd: Make read buffer sysfs attributes invisible
    for Intel IAA (bsc#1012628).
  - phy: qcom-qmp-usb: fix sc8280xp PCS_USB offset (bsc#1012628).
  - phy: usb: s2 WoL wakeup_count not incremented for USB->Eth
    devices (bsc#1012628).
  - phy: usb: Use slow clock for wake enabled suspend (bsc#1012628).
  - phy: usb: Fix clock imbalance for suspend/resume (bsc#1012628).
  - include/uapi/linux/swab: Fix potentially missing __always_inline
    (bsc#1012628).
  - pwm: tegra: Improve required rate calculation (bsc#1012628).
  - pwm: tegra: Ensure the clock rate is not less than needed
    (bsc#1012628).
  - phy: qcom-qmp-pcie: split register tables into common and
    extra parts (bsc#1012628).
  - phy: qcom-qmp-pcie: split pcs_misc init cfg for ipq8074 pcs
    table (bsc#1012628).
  - phy: qcom-qmp-pcie: support separate tables for EP mode
    (bsc#1012628).
  - phy: qcom-qmp-pcie: Support SM8450 PCIe1 PHY in EP mode
    (bsc#1012628).
  - phy: qcom-qmp-pcie: Fix high latency with 4x2 PHY when ASPM
    is enabled (bsc#1012628).
  - phy: qcom-qmp-pcie: Fix sm8450_qmp_gen4x2_pcie_pcs_tbl[]
    register names (bsc#1012628).
  - fs/ntfs3: Fix slab-out-of-bounds read in ntfs_trim_fs
    (bsc#1012628).
  - dmaengine: idxd: Fix crc_val field for completion record
    (bsc#1012628).
  - rtc: rzn1: Check return value in rzn1_rtc_probe (bsc#1012628).
  - rtc: class: Fix potential memleak in devm_rtc_allocate_device()
    (bsc#1012628).
  - rtc: pcf2127: Convert to .probe_new() (bsc#1012628).
  - rtc: cmos: Call cmos_wake_setup() from cmos_do_probe()
    (bsc#1012628).
  - rtc: cmos: Call rtc_wake_setup() from cmos_do_probe()
    (bsc#1012628).
  - rtc: cmos: Eliminate forward declarations of some functions
    (bsc#1012628).
  - rtc: cmos: Rename ACPI-related functions (bsc#1012628).
  - rtc: cmos: Disable ACPI RTC event on removal (bsc#1012628).
  - rtc: snvs: Allow a time difference on clock register read
    (bsc#1012628).
  - rtc: pcf85063: Fix reading alarm (bsc#1012628).
  - iommu/mediatek: Check return value after calling
    platform_get_resource() (bsc#1012628).
  - iommu: Avoid races around device probe (bsc#1012628).
  - iommu/amd: Fix pci device refcount leak in ppr_notifier()
    (bsc#1012628).
  - iommu/fsl_pamu: Fix resource leak in fsl_pamu_probe()
    (bsc#1012628).
  - macintosh: fix possible memory leak in macio_add_one_device()
    (bsc#1012628).
  - macintosh/macio-adb: check the return value of ioremap()
    (bsc#1012628).
  - powerpc/52xx: Fix a resource leak in an error handling path
    (bsc#1012628).
  - cxl: Fix refcount leak in cxl_calc_capp_routing (bsc#1012628).
  - powerpc/xmon: Fix -Wswitch-unreachable warning in bpt_cmds
    (bsc#1012628).
  - powerpc/xive: add missing iounmap() in error path in
    xive_spapr_populate_irq_data() (bsc#1012628).
  - powerpc/pseries: fix the object owners enum value in plpks
    driver (bsc#1012628).
  - powerpc/pseries: Fix the H_CALL error code in PLPKS driver
    (bsc#1012628).
  - powerpc/pseries: Return -EIO instead of -EINTR for H_ABORTED
    error (bsc#1012628).
  - powerpc/pseries: fix plpks_read_var() code for different
    consumers (bsc#1012628).
  - kprobes: Fix check for probe enabled in kill_kprobe()
    (bsc#1012628).
  - powerpc: dts: turris1x.dts: Add channel labels for temperature
    sensor (bsc#1012628).
  - powerpc/perf: callchain validate kernel stack pointer bounds
    (bsc#1012628).
  - powerpc/83xx/mpc832x_rdb: call platform_device_put() in error
    case in of_fsl_spi_probe() (bsc#1012628).
  - powerpc/hv-gpci: Fix hv_gpci event list (bsc#1012628).
  - selftests/powerpc: Fix resource leaks (bsc#1012628).
  - iommu/mediatek: Add platform_device_put for recovering the
    device refcnt (bsc#1012628).
  - iommu/mediatek: Use component_match_add (bsc#1012628).
  - iommu/mediatek: Add error path for loop of mm_dts_parse
    (bsc#1012628).
  - iommu/mediatek: Validate number of phandles associated with
    "mediatek,larbs" (bsc#1012628).
  - iommu/sun50i: Remove IOMMU_DOMAIN_IDENTITY (bsc#1012628).
  - pwm: sifive: Call pwm_sifive_update_clock() while mutex is held
    (bsc#1012628).
  - pwm: mtk-disp: Fix the parameters calculated by the enabled
    flag of disp_pwm (bsc#1012628).
  - pwm: mediatek: always use bus clock for PWM on MT7622
    (bsc#1012628).
  - RISC-V: KVM: Fix reg_val check in
    kvm_riscv_vcpu_set_reg_config() (bsc#1012628).
  - remoteproc: sysmon: fix memory leak in qcom_add_sysmon_subdev()
    (bsc#1012628).
  - remoteproc: qcom: q6v5: Fix potential null-ptr-deref in
    q6v5_wcss_init_mmio() (bsc#1012628).
  - remoteproc: qcom_q6v5_pas: disable wakeup on probe fail or
    remove (bsc#1012628).
  - remoteproc: qcom_q6v5_pas: detach power domains on remove
    (bsc#1012628).
  - remoteproc: qcom_q6v5_pas: Fix missing of_node_put() in
    adsp_alloc_memory_region() (bsc#1012628).
  - remoteproc: qcom: q6v5: Fix missing clk_disable_unprepare()
    in q6v5_wcss_qcs404_power_on() (bsc#1012628).
  - powerpc/pseries/eeh: use correct API for error log size
    (bsc#1012628).
  - dt-bindings: mfd: qcom,spmi-pmic: Drop PWM reg dependency
    (bsc#1012628).
  - mfd: axp20x: Do not sleep in the power off handler
    (bsc#1012628).
  - mfd: bd957x: Fix Kconfig dependency on REGMAP_IRQ (bsc#1012628).
  - mfd: qcom_rpm: Fix an error handling path in qcom_rpm_probe()
    (bsc#1012628).
  - mfd: pm8008: Fix return value check in pm8008_probe()
    (bsc#1012628).
  - netfilter: flowtable: really fix NAT IPv6 offload (bsc#1012628).
  - rtc: st-lpc: Add missing clk_disable_unprepare in st_rtc_probe()
    (bsc#1012628).
  - rtc: pic32: Move devm_rtc_allocate_device earlier in
    pic32_rtc_probe() (bsc#1012628).
  - rtc: pcf85063: fix pcf85063_clkout_control (bsc#1012628).
  - iommu/mediatek: Fix forever loop in error handling
    (bsc#1012628).
  - nfsd: under NFSv4.1, fix double svc_xprt_put on rpc_create
    failure (bsc#1012628).
  - net: macsec: fix net device access prior to holding a lock
    (bsc#1012628).
  - bonding: add missed __rcu annotation for curr_active_slave
    (bsc#1012628).
  - bonding: do failover when high prio link up (bsc#1012628).
  - mISDN: hfcsusb: don't call dev_kfree_skb/kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - mISDN: hfcpci: don't call dev_kfree_skb/kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - mISDN: hfcmulti: don't call dev_kfree_skb/kfree_skb() under
    spin_lock_irqsave() (bsc#1012628).
  - block, bfq: fix possible uaf for 'bfqq->bic' (bsc#1012628).
  - selftests/bpf: Select CONFIG_FUNCTION_ERROR_INJECTION
    (bsc#1012628).
  - bpf: prevent leak of lsm program after failed attach
    (bsc#1012628).
  - media: v4l2-ctrls-api.c: add back dropped ctrl->is_new = 1
    (bsc#1012628).
  - net: enetc: avoid buffer leaks on xdp_do_redirect() failure
    (bsc#1012628).
  - nfc: pn533: Clear nfc_target before being used (bsc#1012628).
  - unix: Fix race in SOCK_SEQPACKET's unix_dgram_sendmsg()
    (bsc#1012628).
  - r6040: Fix kmemleak in probe and remove (bsc#1012628).
  - net: dsa: mv88e6xxx: avoid reg_lock deadlock in
    mv88e6xxx_setup_port() (bsc#1012628).
  - igc: Enhance Qbv scheduling by using first flag bit
    (bsc#1012628).
  - igc: Use strict cycles for Qbv scheduling (bsc#1012628).
  - igc: Add checking for basetime less than zero (bsc#1012628).
  - igc: allow BaseTime 0 enrollment for Qbv (bsc#1012628).
  - igc: recalculate Qbv end_time by considering cycle time
    (bsc#1012628).
  - igc: Set Qbv start_time and end_time to end_time if not being
    configured in GCL (bsc#1012628).
  - rtc: mxc_v2: Add missing clk_disable_unprepare() (bsc#1012628).
  - devlink: hold region lock when flushing snapshots (bsc#1012628).
  - selftests: devlink: fix the fd redirect in dummy_reporter_test
    (bsc#1012628).
  - openvswitch: Fix flow lookup to use unmasked key (bsc#1012628).
  - soc: mediatek: pm-domains: Fix the power glitch issue
    (bsc#1012628).
  - arm64: dts: mt8183: Fix Mali GPU clock (bsc#1012628).
  - devlink: protect devlink dump by the instance lock
    (bsc#1012628).
  - skbuff: Account for tail adjustment during pull operations
    (bsc#1012628).
  - mailbox: mpfs: read the system controller's status
    (bsc#1012628).
  - mailbox: arm_mhuv2: Fix return value check in mhuv2_probe()
    (bsc#1012628).
  - mailbox: zynq-ipi: fix error handling while device_register()
    fails (bsc#1012628).
  - net_sched: reject TCF_EM_SIMPLE case for complex ematch module
    (bsc#1012628).
  - rxrpc: Fix missing unlock in rxrpc_do_sendmsg() (bsc#1012628).
  - myri10ge: Fix an error handling path in myri10ge_probe()
    (bsc#1012628).
  - net: stream: purge sk_error_queue in sk_stream_kill_queues()
    (bsc#1012628).
  - mctp: serial: Fix starting value for frame check sequence
    (bsc#1012628).
  - cifs: don't leak -ENOMEM in smb2_open_file() (bsc#1012628).
  - net: dsa: microchip: remove IRQF_TRIGGER_FALLING in
    request_threaded_irq (bsc#1012628).
  - mctp: Remove device type check at unregister (bsc#1012628).
  - HID: amd_sfh: Add missing check for dma_alloc_coherent
    (bsc#1012628).
  - net: fec: check the return value of build_skb() (bsc#1012628).
  - rcu: Fix __this_cpu_read() lockdep warning in
    rcu_force_quiescent_state() (bsc#1012628).
  - arm64: make is_ttbrX_addr() noinstr-safe (bsc#1012628).
  - ARM: dts: aspeed: rainier,everest: Move reserved memory regions
    (bsc#1012628).
  - video: hyperv_fb: Avoid taking busy spinlock on panic path
    (bsc#1012628).
  - x86/hyperv: Remove unregister syscore call from Hyper-V cleanup
    (bsc#1012628).
  - binfmt_misc: fix shift-out-of-bounds in check_special_flags
    (bsc#1012628).
  - arm64: dts: qcom: sm8450: disable SDHCI SDR104/SDR50 on all
    boards (bsc#1012628).
  - arm64: dts: qcom: sm6350: Add apps_smmu with streamID to SDHCI
    1/2 nodes (bsc#1012628).
  - fs: jfs: fix shift-out-of-bounds in dbAllocAG (bsc#1012628).
  - udf: Avoid double brelse() in udf_rename() (bsc#1012628).
  - jfs: Fix fortify moan in symlink (bsc#1012628).
  - fs: jfs: fix shift-out-of-bounds in dbDiscardAG (bsc#1012628).
  - ACPI: processor: idle: Check acpi_fetch_acpi_dev() return value
    (bsc#1012628).
  - ACPI: EC: Add quirk for the HP Pavilion Gaming 15-cx0041ur
    (bsc#1012628).
  - ACPICA: Fix error code path in acpi_ds_call_control_method()
    (bsc#1012628).
  - thermal/core: Ensure that thermal device is registered in
    thermal_zone_get_temp (bsc#1012628).
  - ACPI: video: Change GIGABYTE GB-BXBT-2807 quirk to force_none
    (bsc#1012628).
  - ACPI: video: Change Sony Vaio VPCEH3U1E quirk to force_native
    (bsc#1012628).
  - ACPI: video: Add force_vendor quirk for Sony Vaio PCG-FRV35
    (bsc#1012628).
  - ACPI: video: Add force_native quirk for Sony Vaio VPCY11S1E
    (bsc#1012628).
  - nilfs2: fix shift-out-of-bounds/overflow in
    nilfs_sb2_bad_offset() (bsc#1012628).
  - nilfs2: fix shift-out-of-bounds due to too large exponent of
    block size (bsc#1012628).
  - acct: fix potential integer overflow in encode_comp_t()
    (bsc#1012628).
  - x86/apic: Handle no CONFIG_X86_X2APIC on systems with x2APIC
    enabled by BIOS (bsc#1012628).
  - ACPI: x86: Add skip i2c clients quirk for Lenovo Yoga Tab 3 Pro
    (YT3-X90F) (bsc#1012628).
  - btrfs: do not panic if we can't allocate a prealloc extent state
    (bsc#1012628).
  - ACPI: x86: Add skip i2c clients quirk for Medion Lifetab S10346
    (bsc#1012628).
  - hfs: fix OOB Read in __hfs_brec_find (bsc#1012628).
  - drm/etnaviv: add missing quirks for GC300 (bsc#1012628).
  - media: imx-jpeg: Disable useless interrupt to avoid kernel panic
    (bsc#1012628).
  - brcmfmac: return error when getting invalid max_flowrings from
    dongle (bsc#1012628).
  - wifi: ath9k: verify the expected usb_endpoints are present
    (bsc#1012628).
  - wifi: ar5523: Fix use-after-free on ar5523_cmd() timed out
    (bsc#1012628).
  - ASoC: codecs: rt298: Add quirk for KBL-R RVP platform
    (bsc#1012628).
  - ASoC: Intel: avs: Add quirk for KBL-R RVP platform
    (bsc#1012628).
  - ipmi: fix memleak when unload ipmi driver (bsc#1012628).
  - wifi: ath10k: Delay the unmapping of the buffer (bsc#1012628).
  - openvswitch: Use kmalloc_size_roundup() to match ksize() usage
    (bsc#1012628).
  - bnx2: Use kmalloc_size_roundup() to match ksize() usage
    (bsc#1012628).
  - drm/amd/display: skip commit minimal transition state
    (bsc#1012628).
  - drm/amd/display: prevent memory leak (bsc#1012628).
  - drm/edid: add a quirk for two LG monitors to get them to work
    on 10bpc (bsc#1012628).
  - Revert "drm/amd/display: Limit max DSC target bpp for specific
    monitors" (bsc#1012628).
  - drm/rockchip: use pm_runtime_resume_and_get() instead of
    pm_runtime_get_sync() (bsc#1012628).
  - blk-mq: avoid double ->queue_rq() because of early timeout
    (bsc#1012628).
  - HID: apple: fix key translations where multiple quirks attempt
    to translate the same key (bsc#1012628).
  - HID: apple: enable APPLE_ISO_TILDE_QUIRK for the keyboards of
    Macs with the T2 chip (bsc#1012628).
  - wifi: ath11k: Fix qmi_msg_handler data structure initialization
    (bsc#1012628).
  - qed (gcc13): use u16 for fid to be big enough (bsc#1012628).
  - drm/meson: Fix return type of meson_encoder_cvbs_mode_valid()
    (bsc#1012628).
  - bpf: make sure skb->len != 0 when redirecting to a tunneling
    device (bsc#1012628).
  - net: ethernet: ti: Fix return type of netcp_ndo_start_xmit()
    (bsc#1012628).
  - hamradio: baycom_epp: Fix return type of baycom_send_packet()
    (bsc#1012628).
  - wifi: brcmfmac: Fix potential shift-out-of-bounds in
    brcmf_fw_alloc_request() (bsc#1012628).
  - wifi: brcmfmac: Fix potential NULL pointer dereference in
    'brcmf_c_preinit_dcmds()' (bsc#1012628).
  - HID: input: do not query XP-PEN Deco LW battery (bsc#1012628).
  - HID: uclogic: Add support for XP-PEN Deco LW (bsc#1012628).
  - igb: Do not free q_vector unless new one was allocated
    (bsc#1012628).
  - drm/amdgpu: Fix type of second parameter in trans_msg() callback
    (bsc#1012628).
  - drm/amdgpu: Fix type of second parameter in odn_edit_dpm_table()
    callback (bsc#1012628).
  - s390/ctcm: Fix return type of ctc{mp,}m_tx() (bsc#1012628).
  - s390/netiucv: Fix return type of netiucv_tx() (bsc#1012628).
  - s390/lcs: Fix return type of lcs_start_xmit() (bsc#1012628).
  - drm/amd/display: Use min transition for SubVP into MPO
    (bsc#1012628).
  - drm/amd/display: Disable DRR actions during state commit
    (bsc#1012628).
  - drm/msm: Use drm_mode_copy() (bsc#1012628).
  - drm/rockchip: Use drm_mode_copy() (bsc#1012628).
  - drm/sti: Use drm_mode_copy() (bsc#1012628).
  - drm/mediatek: Fix return type of mtk_hdmi_bridge_mode_valid()
    (bsc#1012628).
  - drivers/md/md-bitmap: check the return value of
    md_bitmap_get_counter() (bsc#1012628).
  - md/raid0, raid10: Don't set discard sectors for request queue
    (bsc#1012628).
  - md/raid1: stop mdx_raid1 thread when raid1 array run failed
    (bsc#1012628).
  - drm/amd/display: Workaround to increase phantom pipe vactive
    in pipesplit (bsc#1012628).
  - drm/amd/display: fix array index out of bound error in bios
    parser (bsc#1012628).
  - nvme-auth: don't override ctrl keys before validation
    (bsc#1012628).
  - net: add atomic_long_t to net_device_stats fields (bsc#1012628).
  - ipv6/sit: use DEV_STATS_INC() to avoid data-races (bsc#1012628).
  - mrp: introduce active flags to prevent UAF when applicant uninit
    (bsc#1012628).
  - net: ethernet: mtk_eth_soc: drop packets to WDMA if the ring
    is full (bsc#1012628).
  - bpf/verifier: Use kmalloc_size_roundup() to match ksize()
    usage (bsc#1012628).
  - ppp: associate skb with a device at tx (bsc#1012628).
  - drm/amd/display: Fix display corruption w/ VSR enable
    (bsc#1012628).
  - bpf: Fix a BTF_ID_LIST bug with CONFIG_DEBUG_INFO_BTF not set
    (bsc#1012628).
  - bpf: Prevent decl_tag from being referenced in func_proto arg
    (bsc#1012628).
  - ethtool: avoiding integer overflow in ethtool_phys_id()
    (bsc#1012628).
  - media: dvb-frontends: fix leak of memory fw (bsc#1012628).
  - media: dvbdev: adopts refcnt to avoid UAF (bsc#1012628).
  - media: dvb-usb: fix memory leak in dvb_usb_adapter_init()
    (bsc#1012628).
  - media: mediatek: vcodec: Can't set dst buffer to done when
    lat decode error (bsc#1012628).
  - blk-mq: fix possible memleak when register 'hctx' failed
    (bsc#1012628).
  - ALSA: usb-audio: Add quirk for Tascam Model 12 (bsc#1012628).
  - drm/amdgpu: Fix potential double free and null pointer
    dereference (bsc#1012628).
  - drm/amd/display: Use the largest vready_offset in pipe group
    (bsc#1012628).
  - drm/amd/display: Fix DTBCLK disable requests and SRC_SEL
    programming (bsc#1012628).
  - ASoC: amd: yc: Add Xiaomi Redmi Book Pro 14 2022 into DMI table
    (bsc#1012628).
  - libbpf: Avoid enum forward-declarations in public API in C++
    mode (bsc#1012628).
  - regulator: core: fix use_count leakage when handling boot-on
    (bsc#1012628).
  - wifi: mt76: do not run mt76u_status_worker if the device is
    not running (bsc#1012628).
  - hwmon: (nct6775) add ASUS CROSSHAIR VIII/TUF/ProArt B550M
    (bsc#1012628).
  - selftests/bpf: Fix conflicts with built-in functions in
    bpf_iter_ksym (bsc#1012628).
  - nfs: fix possible null-ptr-deref when parsing param
    (bsc#1012628).
  - mmc: f-sdh30: Add quirks for broken timeout clock capability
    (bsc#1012628).
  - mmc: renesas_sdhi: add quirk for broken register layout
    (bsc#1012628).
  - mmc: renesas_sdhi: better reset from HS400 mode (bsc#1012628).
  - mmc: sdhci-tegra: Issue CMD and DAT resets together
    (bsc#1012628).
  - media: si470x: Fix use-after-free in si470x_int_in_callback()
    (bsc#1012628).
  - clk: st: Fix memory leak in st_of_quadfs_setup() (bsc#1012628).
  - regulator: core: Use different devices for resource allocation
    and DT lookup (bsc#1012628).
  - ice: synchronize the misc IRQ when tearing down Tx tracker
    (bsc#1012628).
  - Bluetooth: hci_bcm: Add CYW4373A0 support (bsc#1012628).
  - Bluetooth: Add quirk to disable extended scanning (bsc#1012628).
  - Bluetooth: Add quirk to disable MWS Transport Configuration
    (bsc#1012628).
  - regulator: core: Fix resolve supply lookup issue (bsc#1012628).
  - crypto: hisilicon/hpre - fix resource leak in remove process
    (bsc#1012628).
  - scsi: lpfc: Fix hard lockup when reading the rx_monitor from
    debugfs (bsc#1012628).
  - scsi: ufs: Reduce the START STOP UNIT timeout (bsc#1012628).
  - crypto: hisilicon/qm - increase the memory of local variables
    (bsc#1012628).
  - Revert "PCI: Clear PCI_STATUS when setting up device"
    (bsc#1012628).
  - scsi: elx: libefc: Fix second parameter type in state callbacks
    (bsc#1012628).
  - hugetlbfs: fix null-ptr-deref in hugetlbfs_parse_param()
    (bsc#1012628).
  - scsi: smartpqi: Add new controller PCI IDs (bsc#1012628).
  - scsi: smartpqi: Correct device removal for multi-actuator
    devices (bsc#1012628).
  - drm/fsl-dcu: Fix return type of
    fsl_dcu_drm_connector_mode_valid() (bsc#1012628).
  - drm/sti: Fix return type of
    sti_{dvo,hda,hdmi}_connector_mode_valid() (bsc#1012628).
  - scsi: target: iscsi: Fix a race condition between login_work
    and the login thread (bsc#1012628).
  - orangefs: Fix kmemleak in orangefs_prepare_debugfs_help_string()
    (bsc#1012628).
  - orangefs: Fix kmemleak in orangefs_sysfs_init() (bsc#1012628).
  - orangefs: Fix kmemleak in orangefs_{kernel,client}_debug_init()
    (bsc#1012628).
  - hwmon: (jc42) Fix missing unlock on error in jc42_write()
    (bsc#1012628).
  - ASoC: sof_es8336: fix possible use-after-free in
    sof_es8336_remove() (bsc#1012628).
  - ASoC: Intel: Skylake: Fix driver hang during shutdown
    (bsc#1012628).
  - ASoC: mediatek: mt8173-rt5650-rt5514: fix refcount leak in
    mt8173_rt5650_rt5514_dev_probe() (bsc#1012628).
  - ASoC: audio-graph-card: fix refcount leak of cpu_ep in
    __graph_for_each_link() (bsc#1012628).
  - ASoC: rockchip: pdm: Add missing clk_disable_unprepare()
    in rockchip_pdm_runtime_resume() (bsc#1012628).
  - ASoC: mediatek: mt8183: fix refcount leak in
    mt8183_mt6358_ts3a227_max98357_dev_probe() (bsc#1012628).
  - ALSA: hda/hdmi: fix i915 silent stream programming flow
    (bsc#1012628).
  - ALSA: hda/hdmi: set default audio parameters for KAE
    silent-stream (bsc#1012628).
  - ALSA: hda/hdmi: fix stream-id config keep-alive for rt suspend
    (bsc#1012628).
  - ASoC: wm8994: Fix potential deadlock (bsc#1012628).
  - ASoC: rockchip: spdif: Add missing clk_disable_unprepare()
    in rk_spdif_runtime_resume() (bsc#1012628).
  - ASoC: rt5670: Remove unbalanced pm_runtime_put() (bsc#1012628).
  - drm/i915/display: Don't disable DDI/Transcoder when setting
    phy test pattern (bsc#1012628).
  - LoadPin: Ignore the "contents" argument of the LSM hooks
    (bsc#1012628).
  - lkdtm: cfi: Make PAC test work with GCC 7 and 8 (bsc#1012628).
  - pstore: Switch pmsg_lock to an rt_mutex to avoid priority
    inversion (bsc#1012628).
  - drm/amd/pm: avoid large variable on kernel stack (bsc#1012628).
  - perf debug: Set debug_peo_args and redirect_to_stderr variable
    to correct values in perf_quiet_option() (bsc#1012628).
  - perf tools: Make quiet mode consistent between tools
    (bsc#1012628).
  - perf probe: Check -v and -q options in the right place
    (bsc#1012628).
  - MIPS: ralink: mt7621: avoid to init common ralink reset
    controller (bsc#1012628).
  - perf test: Fix "all PMU test" to skip parametrized events
    (bsc#1012628).
  - afs: Fix lost servers_outstanding count (bsc#1012628).
  - cfi: Fix CFI failure with KASAN (bsc#1012628).
  - pstore: Make sure CONFIG_PSTORE_PMSG selects CONFIG_RT_MUTEXES
    (bsc#1012628).
  - ima: Simplify ima_lsm_copy_rule (bsc#1012628).
  - Input: iqs7222 - drop unused device node references
    (bsc#1012628).
  - Input: iqs7222 - report malformed properties (bsc#1012628).
  - Input: iqs7222 - add support for IQS7222A v1.13+ (bsc#1012628).
  - dt-bindings: input: iqs7222: Reduce 'linux,code' to optional
    (bsc#1012628).
  - dt-bindings: input: iqs7222: Correct minimum slider size
    (bsc#1012628).
  - dt-bindings: input: iqs7222: Add support for IQS7222A v1.13+
    (bsc#1012628).
  - ALSA: usb-audio: Workaround for XRUN at prepare (bsc#1012628).
  - ALSA: usb-audio: add the quirk for KT0206 device (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Lenovo TianYi510Pro-14IOB
    (bsc#1012628).
  - ALSA: hda/hdmi: Add HP Device 0x8711 to force connect list
    (bsc#1012628).
  - HID: logitech-hidpp: Guard FF init code against non-USB devices
    (bsc#1012628).
  - usb: cdnsp: fix lack of ZLP for ep0 (bsc#1012628).
  - usb: xhci-mtk: fix leakage of shared hcd when fail to set
    wakeup irq (bsc#1012628).
  - arm64: dts: qcom: sm6350: fix USB-DP PHY registers
    (bsc#1012628).
  - arm64: dts: qcom: sm8250: fix USB-DP PHY registers
    (bsc#1012628).
  - dt-bindings: clocks: imx8mp: Add ID for usb suspend clock
    (bsc#1012628).
  - clk: imx: imx8mp: add shared clk gate for usb suspend clk
    (bsc#1012628).
  - usb: dwc3: Fix race between dwc3_set_mode and __dwc3_set_mode
    (bsc#1012628).
  - usb: dwc3: core: defer probe on ulpi_read_id timeout
    (bsc#1012628).
  - usb: dwc3: qcom: Fix memory leak in dwc3_qcom_interconnect_init
    (bsc#1012628).
  - xhci: Prevent infinite loop in transaction errors recovery
    for streams (bsc#1012628).
  - HID: wacom: Ensure bootloader PID is usable in hidraw mode
    (bsc#1012628).
  - HID: mcp2221: don't connect hidraw (bsc#1012628).
  - loop: Fix the max_loop commandline argument treatment when it
    is set to 0 (bsc#1012628).
  - 9p: set req refcount to zero to avoid uninitialized usage
    (bsc#1012628).
  - security: Restrict CONFIG_ZERO_CALL_USED_REGS to gcc or clang >
    15.0.6 (bsc#1012628).
  - reiserfs: Add missing calls to reiserfs_security_free()
    (bsc#1012628).
  - iio: fix memory leak in iio_device_register_eventset()
    (bsc#1012628).
  - iio: adc: ad_sigma_delta: do not use internal iio_dev lock
    (bsc#1012628).
  - iio: adc128s052: add proper .data members in adc128_of_match
    table (bsc#1012628).
  - iio: addac: ad74413r: fix integer promotion bug in
    ad74413_get_input_current_offset() (bsc#1012628).
  - regulator: core: fix deadlock on regulator enable (bsc#1012628).
  - spi: fsl_spi: Don't change speed while chipselect is active
    (bsc#1012628).
  - floppy: Fix memory leak in do_floppy_init() (bsc#1012628).
  - gcov: add support for checksum field (bsc#1012628).
  - test_maple_tree: add test for mas_spanning_rebalance() on
    insufficient data (bsc#1012628).
  - maple_tree: fix mas_spanning_rebalance() on insufficient data
    (bsc#1012628).
  - fbdev: fbcon: release buffer when fbcon_do_set_font() failed
    (bsc#1012628).
  - ovl: fix use inode directly in rcu-walk mode (bsc#1012628).
  - btrfs: do not BUG_ON() on ENOMEM when dropping extent items
    for a range (bsc#1012628).
  - mm/gup: disallow FOLL_FORCE|FOLL_WRITE on hugetlb mappings
    (bsc#1012628).
  - scsi: qla2xxx: Fix crash when I/O abort times out (bsc#1012628).
  - blk-iolatency: Fix memory leak on add_disk() failures
    (bsc#1012628).
  - io_uring/net: introduce IORING_SEND_ZC_REPORT_USAGE flag
    (bsc#1012628).
  - io_uring: add completion locking for iopoll (bsc#1012628).
  - io_uring: dont remove file from msg_ring reqs (bsc#1012628).
  - io_uring: improve io_double_lock_ctx fail handling
    (bsc#1012628).
  - io_uring/net: fix cleanup after recycle (bsc#1012628).
  - io_uring: protect cq_timeouts with timeout_lock (bsc#1012628).
  - io_uring: remove iopoll spinlock (bsc#1012628).
  - net: stmmac: fix errno when create_singlethread_workqueue()
    fails (bsc#1012628).
  - media: dvbdev: fix build warning due to comments (bsc#1012628).
  - media: dvbdev: fix refcnt bug (bsc#1012628).
  - drm/amd/display: revert Disable DRR actions during state commit
    (bsc#1012628).
  - mfd: qcom_rpm: Use devm_of_platform_populate() to simplify code
    (bsc#1012628).
  - pwm: tegra: Fix 32 bit build (bsc#1012628).
  - Update config files.
  - commit 7fea150
* Sun Jan 01 2023 tiwai@suse.de
  - ALSA: hda/hdmi: Static PCM mapping again with AMD HDMI codecs
    (bsc#1206759).
  - commit 8a7bf0c
* Fri Dec 30 2022 tiwai@suse.de
  - wifi: mac80211: fix initialization of rx->link and rx->link_sta
    (bsc#1206683).
  - commit 081acb5
* Mon Dec 26 2022 mkubecek@suse.cz
  - Update to 6.2-rc1
  - drop 32 patches (25 stable, 7 mainline)
    - patches.kernel.org/*
    - patches.suse/NFSD-fix-use-after-free-in-__nfs42_ssc_open.patch
    - patches.suse/char-xillybus-Fix-trivial-bug-with-mutex.patch
    - patches.suse/char-xillybus-Prevent-use-after-free-due-to-race-con.patch
    - patches.suse/io_uring-net-ensure-compat-import-handlers-clear-fre.patch
    - patches.suse/media-dvb-core-Fix-UAF-due-to-refcount-races-at-rele.patch
    - patches.suse/misc-sgi-gru-fix-use-after-free-error-in-gru_set_con.patch
    - patches.suse/mm-mremap-fix-mremap-expanding-vma-with-addr-inside-.patch
  - refresh
    - patches.suse/Input-elan_i2c-Add-deny-list-for-Lenovo-Yoga-Slim-7.patch
    - patches.suse/add-suse-supported-flag.patch
    - patches.suse/crasher.patch
    - patches.suse/vfs-add-super_operations-get_inode_dev
  - disable ARM architectures (need config update)
  - new config options
    - General setup
    - RCU_LAZY=n
    - KALLSYMS_SELFTEST=n
    - Processor type and features
    - EFI_HANDOVER_PROTOCOL=y
    - Mitigations for speculative execution vulnerabilities
    - CALL_DEPTH_TRACKING=y
    - CALL_THUNKS_DEBUG=n
    - Power management and ACPI options
    - ACPI_FFH=y
    - Virtualization
    - KVM_SMM=y
    - Memory Management options
    - SLOB_DEPRECATED=n
    - SLUB_TINY=n
    - Networking support
    - BT_LE_L2CAP_ECRED=y
    - BT_HCIBTUSB_POLL_SYNC=y
    - BT_HCIBCM4377=m
    - RXPERF=m
    - File systems
    - SQUASHFS_CHOICE_DECOMP_BY_MOUNT=y
    - NFSD_V2=n
    - Kernel hacking
    - DEBUG_INFO_COMPRESSED_NONE=y
    - DEBUG_INFO_COMPRESSED_ZLIB=n
    - DEBUG_CGROUP_REF=n
    - FAULT_INJECTION_STACKTRACE_FILTER=n
    - Network device support
    - NFP_NET_IPSEC=y
    - MT7996E=m
    - RTW88_8822BU=m
    - RTW88_8822CU=m
    - RTW88_8723DU=m
    - RTW88_8821CU=m
    - RTW89_8852BE=m
    - Input device support
    - TOUCHSCREEN_CYTTSP5=m
    - TOUCHSCREEN_HYNITRON_CSTXXX=m
    - TOUCHSCREEN_HIMAX_HX83112B=m
    - Hardware Monitoring support
    - SENSORS_OCC_P8_I2C=m
    - SENSORS_OXP=m
    - Multimedia support
    - VIDEO_OV08X40=m
    - VIDEO_OV4689=m
    - VIDEO_TC358746=m
    - Graphics support
    - DRM_I915_PREEMPT_TIMEOUT_COMPUTE=7500
    - DRM_ACCEL=y
    - DRM_ACCEL=y
    - Sound card support
    - SND_SOC_INTEL_AVS_MACH_MAX98927=m
    - SND_SOC_INTEL_AVS_MACH_PROBE=m
    - SND_SOC_WM8961=n
    - X86 Platform Specific Device Drivers
    - DELL_WMI_DDV=m
    - X86_PLATFORM_DRIVERS_HP=y
    - INTEL_IFS=m
    - Industrial I/O support
    - IIO_KX022A_SPI=n
    - IIO_KX022A_I2C=n
    - AD4130=n
    - MAX11410=n
    - AD74115=n
    - ADF4377=n
    - MAX30208=m
    - Misc devices
    - CXL_REGION_INVALIDATION_TEST=n
    - ZRAM_MULTI_COMP=y
    - LEGACY_TIOCSTI=n
    - SPI_PCI1XXXX=n
    - GPIO_LATCH=m
    - ADVANTECH_EC_WDT=m
    - MFD_SMPRO=n
    - REGULATOR_RT6190=m
    - MANA_INFINIBAND=m
    - TDX_GUEST_DRIVER=m
    - CROS_HPS_I2C=m
    - IOMMUFD=n
    - NVDIMM_SECURITY_TEST=n
    - FPGA_MGR_LATTICE_SYSCONFIG_SPI=m
    - OF dependent (i386, ppc64/ppc64le, riscv64)
    - SPI_SN_F_OSPI=n
    - MFD_TPS65219=n
    - VIDEO_ST_VGXY61=m
    - DRM_PANEL_JADARD_JD9365DA_H3=n
    - DRM_PANEL_NEWVISION_NV3051D=n
    - i386
    - SND_SOC_RT1318_SDW=n
    - ppc64le / ppc64
    - SSIF_IPMI_BMC=m
    - SENSORS_OCC_P9_SBE=m
    - DRM_OFDRM=m
    - ppc64
    - PPC64_BIG_ENDIAN_ELF_ABI_V2=y
    - s390x
    - VCAP=y
    - HUGETLB_PAGE_OPTIMIZE_VMEMMAP_DEFAULT_ON=n
    - riscv64
    - ARCH_RENESAS=y
    - ERRATA_THEAD_PMU=y
    - CPU_FREQ=y
    - CPU_FREQ_STAT=y (arm64)
    - CPU_FREQ_DEFAULT_GOV_ONDEMAND=y (arm64)
    - CPU_FREQ_GOV_POWERSAVE=m
    - CPU_FREQ_GOV_USERSPACE=m
    - CPU_FREQ_GOV_CONSERVATIVE=m
    - CPU_FREQ_GOV_SCHEDUTIL=y
    - CPUFREQ_DT=m
    - PCIE_RCAR_HOST=y
    - PCIE_RCAR_EP=y
    - MTD_NAND_RENESAS=m
    - SCSI_LPFC=m
    - SCSI_LPFC_DEBUG_FS=n
    - SATA_RCAR=m
    - SH_ETH=m
    - RAVB=m
    - RENESAS_ETHER_SWITCH=m
    - CAN_RCAR=m
    - CAN_RCAR_CANFD=m
    - SERIAL_8250_EM=y
    - SERIAL_SH_SCI=n
    - I2C_RIIC=m
    - I2C_RZV2M=m
    - I2C_SH_MOBILE=m
    - I2C_RCAR=m
    - I2C_SLAVE_EEPROM=m
    - I2C_SLAVE_TESTUNIT=n
    - SPI_RSPI=m
    - SPI_SH_MSIOF=m
    - SPI_SH_HSPI=m
    - GPIO_RCAR=m
    - CPU_FREQ_THERMAL=y
    - RCAR_THERMAL=m
    - RCAR_GEN3_THERMAL=m
    - RZG2L_THERMAL=m
    - RENESAS_WDT=m
    - RENESAS_RZAWDT=m
    - RENESAS_RZN1WDT=m
    - RENESAS_RZG2LWDT=m
    - DRM_RZG2L_MIPI_DSI=n
    - FB_SH_MOBILE_LCDC=n
    - SND_SOC_SH4_FSI=n
    - SND_SOC_RCAR=n
    - MMC_SDHI=m
    - MMC_SDHI_SYS_DMAC=m
    - MMC_SH_MMCIF=m
    - SCSI_UFS_RENESAS=m
    - RTC_DRV_SH=m
    - RCAR_DMAC=m
    - RENESAS_USB_DMAC=m
    - CLK_RCAR_USB2_CLOCK_SEL=y
    - RENESAS_OSTM=y
    - IPMMU_VMSA=y
    - ARCH_R9A07G043=y
    - RENESAS_RPCIF=m
    - RZG2L_ADC=n
    - PWM_RCAR=m
    - PWM_RENESAS_TPU=m
    - RESET_RZG2L_USBPHY_CTRL=m
    - PHY_R8A779F0_ETHERNET_SERDES=m
    - PHY_RCAR_GEN2=m
    - PHY_RCAR_GEN3_PCIE=m
    - PHY_RCAR_GEN3_USB2=m
    - PHY_RCAR_GEN3_USB3=m
    - FPROBE=y
    - UCLAMP_TASK=n
    - ENERGY_MODEL=y
    - MCTP_TRANSPORT_I2C=m
    - INPUT_IBM_PANEL=m
    - IPMI_IPMB=m
    - SSIF_IPMI_BMC=m
    - IPMB_DEVICE_INTERFACE=m
    - SPI_RPCIF=m
    - THERMAL_GOV_POWER_ALLOCATOR=n
    - SND_SOC_RZ=n
    - RZ_DMAC=m
    - IOMMU_IO_PGTABLE_LPAE_SELFTEST=n
    - DTPM_CPU=y
    - DTPM_DEVFREQ=y
  - commit 769d7ad
* Thu Dec 22 2022 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream reference and move into sorted section:
    - patches.suse/io_uring-net-ensure-compat-import-handlers-clear-fre.patch
  - commit a76dc2b
* Thu Dec 22 2022 jslaby@suse.cz
  - Linux 6.1.1 (bsc#1012628).
  - KEYS: encrypted: fix key instantiation with user-provided data
    (bsc#1012628).
  - cifs: fix oops during encryption (bsc#1012628).
  - usb: dwc3: pci: Update PCIe device ID for USB3 controller on
    CPU sub-system for Raptor Lake (bsc#1012628).
  - usb: typec: ucsi: Resume in separate work (bsc#1012628).
  - igb: Initialize mailbox message for VF reset (bsc#1012628).
  - staging: r8188eu: fix led register settings (bsc#1012628).
  - xhci: Apply XHCI_RESET_TO_DEFAULT quirk to ADL-N (bsc#1012628).
  - ALSA: hda/realtek: fix mute/micmute LEDs for a HP ProBook
    (bsc#1012628).
  - USB: serial: f81534: fix division by zero on line-speed change
    (bsc#1012628).
  - USB: serial: f81232: fix division by zero on line-speed change
    (bsc#1012628).
  - USB: serial: cp210x: add Kamstrup RF sniffer PIDs (bsc#1012628).
  - USB: serial: option: add Quectel EM05-G modem (bsc#1012628).
  - usb: gadget: uvc: Prevent buffer overflow in setup handler
    (bsc#1012628).
  - udf: Fix extending file within last block (bsc#1012628).
  - udf: Do not bother looking for prealloc extents if i_lenExtents
    matches i_size (bsc#1012628).
  - udf: Fix preallocation discarding at indirect extent boundary
    (bsc#1012628).
  - udf: Discard preallocation before extending file with a hole
    (bsc#1012628).
  - irqchip/ls-extirq: Fix endianness detection (bsc#1012628).
  - mips: ralink: mt7621: do not use kzalloc too early
    (bsc#1012628).
  - mips: ralink: mt7621: soc queries and tests as functions
    (bsc#1012628).
  - mips: ralink: mt7621: define MT7621_SYSC_BASE with __iomem
    (bsc#1012628).
  - PCI: mt7621: Add sentinel to quirks table (bsc#1012628).
  - libbpf: Fix uninitialized warning in btf_dump_dump_type_data
    (bsc#1012628).
  - x86/vdso: Conditionally export __vdso_sgx_enter_enclave()
    (bsc#1012628).
  - commit 181a470
* Wed Dec 21 2022 mkoutny@suse.com
  - Add Tegra repository to git_sort.
  - commit 69abba1
* Wed Dec 21 2022 jslaby@suse.cz
  - tcp: Add TIME_WAIT sockets in bhash2 (bsc#1206466).
  - commit d8defbe
* Wed Dec 21 2022 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream reference and resort:
    - patches.suse/NFSD-fix-use-after-free-in-__nfs42_ssc_open.patch
  - commit bf66071
* Tue Dec 20 2022 jslaby@suse.cz
  - io_uring/net: ensure compat import handlers clear free_iov
    (bsc#1206509).
  - commit 747fc96
* Mon Dec 19 2022 neilb@suse.de
  - NFSD: fix use-after-free in __nfs42_ssc_open() (bsc#1206209
    CVE-2022-4379).
  - commit 338ca73
* Fri Dec 16 2022 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream references and move into sorted section:
    - patches.suse/char-xillybus-Fix-trivial-bug-with-mutex.patch
    - patches.suse/char-xillybus-Prevent-use-after-free-due-to-race-con.patch
    - patches.suse/media-dvb-core-Fix-UAF-due-to-refcount-races-at-rele.patch
    - patches.suse/misc-sgi-gru-fix-use-after-free-error-in-gru_set_con.patch
  - commit 7f1864f
* Fri Dec 16 2022 vbabka@suse.cz
  - mm, mremap: fix mremap() expanding vma with addr inside vma (bsc#1206359).
  - Delete
    patches.suse/Revert-mm-add-merging-after-mremap-resize.patch.
  - commit 3440c9c
* Fri Dec 16 2022 vbabka@suse.cz
  - mm, mremap: fix mremap() expanding vma with addr inside
    vma (bsc#1206359).
  - commit b61d296
* Thu Dec 15 2022 jslaby@suse.cz
  - Revert "mm: add merging after mremap resize" (bsc#1206335).
  - commit 52313a4
* Mon Dec 12 2022 jslaby@suse.cz
  - series.conf: remove stale comment
  - commit ab17686
* Mon Dec 12 2022 jslaby@suse.cz
  - Refresh patches.suse/Bluetooth-L2CAP-Fix-u8-overflow.patch.
  - Refresh patches.suse/can-slcan-fix-freed-work-crash.patch.
    Update upstream status.
  - commit a6c4f4e
* Mon Dec 12 2022 mkubecek@suse.cz
  - Update to 6.1 final
  - refresh configs (headers only)
  - commit d1335c0
* Fri Dec 09 2022 jslaby@suse.cz
  - Delete
    patches.suse/Input-synaptics-retry-query-upon-error.patch.
    The patch is not needed (bsc#1194086 comment 50).
  - commit d03b675
* Fri Dec 09 2022 jslaby@suse.cz
  - Delete patches.suse/iwlwifi-module-firmware-ucode-fix.patch.
    Not needed anymore. kernel-firmware contains -72s since 06dbfbc74388
    released in 20221109 already.
  - commit e1d0837
* Fri Dec 09 2022 jslaby@suse.cz
  - Delete
    patches.suse/drm-sched-Fix-kernel-NULL-pointer-dereference-error.patch.
    This can be dropped thanks to commit bafaf67c42f4 (Revert "drm/sched:
    Use parent fence instead of finished") in v6.1-rc1.
  - commit 15d1c2b
* Fri Dec 09 2022 jslaby@suse.cz
  - Refresh
    patches.suse/media-dvb-core-Fix-UAF-due-to-refcount-races-at-rele.patch.
    Update upstream status.
  - commit d504053
* Fri Dec 09 2022 jslaby@suse.cz
  - Delete patches.suse/dm-mpath-no-partitions-feature. (bsc#1189976)
  - commit e544c6d
* Fri Dec 09 2022 jslaby@suse.cz
  - Refresh
    patches.suse/misc-sgi-gru-fix-use-after-free-error-in-gru_set_con.patch.
    Update to final version and update upstream status.
  - commit dd048d9
* Fri Dec 09 2022 jslaby@suse.cz
  - Delete patches.suse/suse-hv-guest-os-id.patch. (bsc#1189965)
  - commit de46b50
* Fri Dec 09 2022 jslaby@suse.cz
  - Delete patches.suse/dm-mpath-leastpending-path-update. (bsc#1189962)
  - commit fb9bee7
* Fri Dec 09 2022 jslaby@suse.cz
  - Delete patches.suse/dm-table-switch-to-readonly. (bsc#1189963)
  - commit 3a71c4d
* Fri Dec 09 2022 jslaby@suse.cz
  - Delete patches.suse/kbd-ignore-gfx.patch. (bsc#1189975)
  - commit 900ecbb
* Thu Dec 08 2022 jeffm@suse.com
  - Revert "config: update CONFIG_LSM defaults"
    This reverts commit a05e86cb8200d8cf785b866375a4c9d06c09ab47.
    Commit 0a20128a486 (Revert "config: Enable BPF LSM" (bsc#1197746))
    indicates this needs more specific testing before merging.
  - commit 7453fbc
* Thu Dec 08 2022 jeffm@suse.com
  - config: update CONFIG_LSM defaults (bsc#1205603).
    CONFIG_LSM determines what the default order of LSM usage is.  The
    default order is set based on whether AppArmor or SELinux is preferred
    in the config (we still prefer AppArmor).  The default set has changed
    over time and we haven't updated it, leading to things like bpf LSMs
    not working out of the box.
    This change just updates CONFIG_LSM to what the default would be now.
  - config: update CONFIG_LSM defaults
    CONFIG_LSM determines what the default order of LSM usage is.  The
    default order is set based on whether AppArmor or SELinux is preferred
    in the config (we still prefer AppArmor).  The default set has changed
    over time and we haven't updated it, leading to things like bpf LSMs
    not working out of the box.
    This change just updates CONFIG_LSM to what the default would be now.
  - commit 720c383
* Thu Dec 08 2022 jslaby@suse.cz
  - Linux 6.0.12 (bsc#1012628).
  - btrfs: qgroup: fix sleep from invalid context bug in
    btrfs_qgroup_inherit() (bsc#1012628).
  - drm/amdgpu: move setting the job resources (bsc#1012628).
  - drm/amdgpu: cleanup error handling in amdgpu_cs_parser_bos
    (bsc#1012628).
  - drm/amdgpu: fix userptr HMM range handling v2 (bsc#1012628).
  - drm/amd/pm: add smu_v13_0_10 driver if version (bsc#1012628).
  - drm/amd/pm: update driver-if header for smu_v13_0_10
    (bsc#1012628).
  - drm/amd/pm: update driver if header for smu_13_0_7
    (bsc#1012628).
  - clk: samsung: exynos7885: Correct "div4" clock parents
    (bsc#1012628).
  - clk: qcom: gdsc: add missing error handling (bsc#1012628).
  - clk: qcom: gdsc: Remove direct runtime PM calls (bsc#1012628).
  - iio: health: afe4403: Fix oob read in afe4403_read_raw
    (bsc#1012628).
  - iio: health: afe4404: Fix oob read in afe4404_[read|write]_raw
    (bsc#1012628).
  - iio: light: rpr0521: add missing Kconfig dependencies
    (bsc#1012628).
  - libbpf: Use correct return pointer in attach_raw_tp
    (bsc#1012628).
  - bpf, perf: Use subprog name when reporting subprog ksymbol
    (bsc#1012628).
  - scripts/faddr2line: Fix regression in name resolution on ppc64le
    (bsc#1012628).
  - ARM: at91: rm9200: fix usb device clock id (bsc#1012628).
  - libbpf: Handle size overflow for ringbuf mmap (bsc#1012628).
  - hwmon: (ltc2947) fix temperature scaling (bsc#1012628).
  - hwmon: (ina3221) Fix shunt sum critical calculation
    (bsc#1012628).
  - hwmon: (i5500_temp) fix missing pci_disable_device()
    (bsc#1012628).
  - hwmon: (ibmpex) Fix possible UAF when ibmpex_register_bmc()
    fails (bsc#1012628).
  - clocksource/drivers/arm_arch_timer: Fix XGene-1 TVAL register
    math error (bsc#1012628).
  - bpf: Do not copy spin lock field from user in bpf_selem_alloc
    (bsc#1012628).
  - nvmem: rmem: Fix return value check in rmem_read()
    (bsc#1012628).
  - of: property: decrement node refcount in
    of_fwnode_get_reference_args() (bsc#1012628).
  - clk: qcom: gcc-sc8280xp: add cxo as parent for three ufs ref
    clks (bsc#1012628).
  - ixgbevf: Fix resource leak in ixgbevf_init_module()
    (bsc#1012628).
  - i40e: Fix error handling in i40e_init_module() (bsc#1012628).
  - fm10k: Fix error handling in fm10k_init_module() (bsc#1012628).
  - iavf: Fix error handling in iavf_init_module() (bsc#1012628).
  - e100: Fix possible use after free in e100_xmit_prepare
    (bsc#1012628).
  - net/mlx5: DR, Fix uninitialized var warning (bsc#1012628).
  - net/mlx5: E-switch, Destroy legacy fdb table when needed
    (bsc#1012628).
  - net/mlx5: E-switch, Fix duplicate lag creation (bsc#1012628).
  - net/mlx5: Fix uninitialized variable bug in outlen_write()
    (bsc#1012628).
  - net/mlx5e: Fix use-after-free when reverting termination table
    (bsc#1012628).
  - can: sja1000_isa: sja1000_isa_probe(): add missing
    free_sja1000dev() (bsc#1012628).
  - can: cc770: cc770_isa_probe(): add missing free_cc770dev()
    (bsc#1012628).
  - can: etas_es58x: es58x_init_netdev(): free netdev when
    register_candev() (bsc#1012628).
  - can: m_can: pci: add missing m_can_class_free_dev() in
    probe/remove methods (bsc#1012628).
  - can: m_can: Add check for devm_clk_get (bsc#1012628).
  - vfs: fix copy_file_range() averts filesystem freeze protection
    (bsc#1012628).
  - qlcnic: fix sleep-in-atomic-context bugs caused by msleep
    (bsc#1012628).
  - aquantia: Do not purge addresses when setting the number of
    rings (bsc#1012628).
  - wifi: cfg80211: fix buffer overflow in elem comparison
    (bsc#1012628).
  - wifi: cfg80211: don't allow multi-BSSID in S1G (bsc#1012628).
  - wifi: mac8021: fix possible oob access in
    ieee80211_get_rate_duration (bsc#1012628).
  - net: phy: fix null-ptr-deref while probe() failed (bsc#1012628).
  - net: ethernet: ti: am65-cpsw: fix error handling in
    am65_cpsw_nuss_probe() (bsc#1012628).
  - net: net_netdev: Fix error handling in ntb_netdev_init_module()
    (bsc#1012628).
  - net/9p: Fix a potential socket leak in p9_socket_open
    (bsc#1012628).
  - net: ethernet: nixge: fix NULL dereference (bsc#1012628).
  - net: wwan: iosm: fix kernel test robot reported error
    (bsc#1012628).
  - net: wwan: iosm: fix dma_alloc_coherent incompatible pointer
    type (bsc#1012628).
  - net: wwan: iosm: fix crash in peek throughput test
    (bsc#1012628).
  - net: wwan: iosm: fix incorrect skb length (bsc#1012628).
  - dsa: lan9303: Correct stat name (bsc#1012628).
  - mptcp: don't orphan ssk in mptcp_close() (bsc#1012628).
  - mptcp: fix sleep in atomic at close time (bsc#1012628).
  - tipc: re-fetch skb cb after tipc_msg_validate (bsc#1012628).
  - net: hsr: Fix potential use-after-free (bsc#1012628).
  - net: mdiobus: fix unbalanced node reference count (bsc#1012628).
  - afs: Fix fileserver probe RTT handling (bsc#1012628).
  - net: tun: Fix use-after-free in tun_detach() (bsc#1012628).
  - net/mlx5: Lag, Fix for loop when checking lag (bsc#1012628).
  - packet: do not set TP_STATUS_CSUM_VALID on CHECKSUM_COMPLETE
    (bsc#1012628).
  - sctp: fix memory leak in sctp_stream_outq_migrate()
    (bsc#1012628).
  - net: ethernet: renesas: ravb: Fix promiscuous mode after system
    resumed (bsc#1012628).
  - afs: Fix server->active leak in afs_put_server (bsc#1012628).
  - hwmon: (coretemp) Check for null before removing sysfs attrs
    (bsc#1012628).
  - hwmon: (coretemp) fix pci device refcount leak in nv1a_ram_new()
    (bsc#1012628).
  - hwmon: (asus-ec-sensors) Add checks for devm_kcalloc
    (bsc#1012628).
  - riscv: vdso: fix section overlapping under some conditions
    (bsc#1012628).
  - riscv: mm: Proper page permissions after initmem free
    (bsc#1012628).
  - ALSA: dice: fix regression for Lexicon I-ONIX FW810S
    (bsc#1012628).
  - can: can327: can327_feed_frame_to_netdev(): fix potential skb
    leak when netdev is down (bsc#1012628).
  - error-injection: Add prompt for function error injection
    (bsc#1012628).
  - tools/vm/slabinfo-gnuplot: use "grep -E" instead of "egrep"
    (bsc#1012628).
  - nilfs2: fix NULL pointer dereference in
    nilfs_palloc_commit_free_entry() (bsc#1012628).
  - pinctrl: intel: Save and restore pins in "direct IRQ" mode
    (bsc#1012628).
  - v4l2: don't fall back to follow_pfn() if pin_user_pages_fast()
    fails (bsc#1012628).
  - mm: migrate: fix THP's mapcount on isolation (bsc#1012628).
  - net: stmmac: Set MAC's flow control register to reflect current
    settings (bsc#1012628).
  - mmc: mmc_test: Fix removal of debugfs file (bsc#1012628).
  - mmc: mtk-sd: Fix missing clk_disable_unprepare in
    msdc_of_clock_parse() (bsc#1012628).
  - mmc: core: Fix ambiguous TRIM and DISCARD arg (bsc#1012628).
  - mmc: sdhci-esdhc-imx: correct CQHCI exit halt state check
    (bsc#1012628).
  - mmc: sdhci-sprd: Fix no reset data and command after voltage
    switch (bsc#1012628).
  - mmc: sdhci: Fix voltage switch delay (bsc#1012628).
  - Kconfig.debug: provide a little extra FRAME_WARN leeway when
    KASAN is enabled (bsc#1012628).
  - drm/amdgpu: temporarily disable broken Clang builds due to
    blown stack-frame (bsc#1012628).
  - drm/amdgpu: enable Vangogh VCN indirect sram mode (bsc#1012628).
  - drm/i915: Fix negative value passed as remaining time
    (bsc#1012628).
  - drm/i915: Never return 0 if not all requests retired
    (bsc#1012628).
  - tracing/osnoise: Fix duration type (bsc#1012628).
  - tracing: Fix race where histograms can be called before the
    event (bsc#1012628).
  - tracing: Free buffers when a used dynamic event is removed
    (bsc#1012628).
  - ASoC: ops: Fix bounds check for _sx controls (bsc#1012628).
  - ASoC: tlv320adc3xxx: Fix build error for implicit function
    declaration (bsc#1012628).
  - pinctrl: single: Fix potential division by zero (bsc#1012628).
  - riscv: Sync efi page table's kernel mappings before switching
    (bsc#1012628).
  - riscv: fix race when vmap stack overflow (bsc#1012628).
  - riscv: kexec: Fixup irq controller broken in kexec crash path
    (bsc#1012628).
  - nvme: fix SRCU protection of nvme_ns_head list (bsc#1012628).
  - iommu/vt-d: Fix PCI device refcount leak in has_external_pci()
    (bsc#1012628).
  - iommu/vt-d: Fix PCI device refcount leak in
    dmar_dev_scope_init() (bsc#1012628).
  - ipv4: Handle attempt to delete multipath route when fib_info
    contains an nh reference (bsc#1012628).
  - ipv4: Fix route deletion when nexthop info is not specified
    (bsc#1012628).
  - mm/damon: introduce struct damos_access_pattern (bsc#1012628).
  - mm/damon/sysfs: fix wrong empty schemes assumption under online
    tuning in damon_sysfs_set_schemes() (bsc#1012628).
  - i2c: Restore initial power state if probe fails (bsc#1012628).
  - i2c: npcm7xx: Fix error handling in npcm_i2c_init()
    (bsc#1012628).
  - i2c: qcom-geni: fix error return code in geni_i2c_gpi_xfer
    (bsc#1012628).
  - i2c: imx: Only DMA messages with I2C_M_DMA_SAFE flag set
    (bsc#1012628).
  - ACPI: HMAT: remove unnecessary variable initialization
    (bsc#1012628).
  - ACPI: HMAT: Fix initiator registration for single-initiator
    systems (bsc#1012628).
  - Revert "clocksource/drivers/riscv: Events are stopped during
    CPU suspend" (bsc#1012628).
  - char: tpm: Protect tpm_pm_suspend with locks (bsc#1012628).
  - Input: raydium_ts_i2c - fix memory leak in raydium_i2c_send()
    (bsc#1012628).
  - powerpc/bpf/32: Fix Oops on tail call tests (bsc#1012628).
  - ipc/sem: Fix dangling sem_array access in semtimedop race
    (bsc#1012628).
  - proc: avoid integer type confusion in get_proc_long
    (bsc#1012628).
  - proc: proc_skip_spaces() shouldn't think it is working on C
    strings (bsc#1012628).
  - commit 523a283
* Wed Dec 07 2022 jslaby@suse.cz
  - x86/bugs: Make sure MSR_SPEC_CTRL is updated properly upon
    resume from S3 (bsc#1206037).
  - commit b072b1c
* Mon Dec 05 2022 mkubecek@suse.cz
  - Update to 6.1-rc8
  - commit 6ba05d3
* Sat Dec 03 2022 jslaby@suse.cz
  - Linux 6.0.11 (bsc#1012628).
  - binder: validate alloc->mm in ->mmap() handler (bsc#1012628).
  - ceph: Use kcalloc for allocating multiple elements
    (bsc#1012628).
  - ceph: fix NULL pointer dereference for req->r_session
    (bsc#1012628).
  - wifi: mac80211: fix memory free error when registering wiphy
    fail (bsc#1012628).
  - wifi: cfg80211: Fix bitrates overflow issue (bsc#1012628).
  - wifi: mac80211_hwsim: fix debugfs attribute ps with rc table
    support (bsc#1012628).
  - spi: tegra210-quad: Don't initialise DMA if not supported
    (bsc#1012628).
  - riscv: dts: sifive unleashed: Add PWM controlled LEDs
    (bsc#1012628).
  - audit: fix undefined behavior in bit shift for AUDIT_BIT
    (bsc#1012628).
  - wifi: airo: do not assign -1 to unsigned char (bsc#1012628).
  - wifi: mac80211: Fix ack frame idr leak when mesh has no route
    (bsc#1012628).
  - selftests/net: don't tests batched TCP io_uring zc
    (bsc#1012628).
  - wifi: ath11k: Fix QCN9074 firmware boot on x86 (bsc#1012628).
  - s390/zcrypt: fix warning about field-spanning write
    (bsc#1012628).
  - spi: stm32: fix stm32_spi_prepare_mbr() that halves spi clk
    for every run (bsc#1012628).
  - selftests/bpf: Add verifier test for release_reference()
    (bsc#1012628).
  - selftests/net: give more time to udpgro bg processes to complete
    startup (bsc#1012628).
  - Revert "net: macsec: report real_dev features when HW offloading
    is enabled" (bsc#1012628).
  - ACPI: video: Add backlight=native DMI quirk for Dell G15 5515
    (bsc#1012628).
  - platform/x86: ideapad-laptop: Disable touchpad_switch
    (bsc#1012628).
  - platform/x86: touchscreen_dmi: Add info for the RCA Cambio
    W101 v2 2-in-1 (bsc#1012628).
  - platform/x86/intel/pmt: Sapphire Rapids PMT errata fix
    (bsc#1012628).
  - platform/x86/intel/hid: Add some ACPI device IDs (bsc#1012628).
  - scsi: ibmvfc: Avoid path failures during live migration
    (bsc#1012628).
  - scsi: scsi_debug: Make the READ CAPACITY response compliant
    with ZBC (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirk for Nanote UMPC-01
    (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirk for Acer Switch V 10
    (SW5-017) (bsc#1012628).
  - block, bfq: fix null pointer dereference in bfq_bio_bfqg()
    (bsc#1012628).
  - s390: always build relocatable kernel (bsc#1012628).
  - arm64/syscall: Include asm/ptrace.h in syscall_wrapper header
    (bsc#1012628).
  - nvme: quiet user passthrough command errors (bsc#1012628).
  - nvmet: fix memory leak in nvmet_subsys_attr_model_store_locked
    (bsc#1012628).
  - net: wwan: iosm: fix kernel test robot reported errors
    (bsc#1012628).
  - drm/amd/display: Zeromem mypipe heap struct before using it
    (bsc#1012628).
  - drm/amd/display: Fix FCLK deviation and tool compile issues
    (bsc#1012628).
  - drm/amd/display: Fix gpio port mapping issue (bsc#1012628).
  - Revert "drm/amdgpu: Revert "drm/amdgpu: getting fan speed pwm
    for vega10 properly"" (bsc#1012628).
  - drm/amdgpu: Drop eviction lock when allocating PT BO
    (bsc#1012628).
  - drm/amd/display: only fill dirty rectangles when PSR is enabled
    (bsc#1012628).
  - ALSA: usb-audio: add quirk to fix Hamedal C20 disconnect issue
    (bsc#1012628).
  - RISC-V: vdso: Do not add missing symbols to version section
    in linker script (bsc#1012628).
  - MIPS: pic32: treat port as signed integer (bsc#1012628).
  - io_uring/poll: lockdep annote io_poll_req_insert_locked
    (bsc#1012628).
  - xfrm: fix "disable_policy" on ipv4 early demux (bsc#1012628).
  - arm64: dts: rockchip: fix quartz64-a bluetooth configuration
    (bsc#1012628).
  - xfrm: replay: Fix ESN wrap around for GSO (bsc#1012628).
  - af_key: Fix send_acquire race with pfkey_register (bsc#1012628).
  - power: supply: ip5xxx: Fix integer overflow in current_now
    calculation (bsc#1012628).
  - power: supply: ab8500: Defer thermal zone probe (bsc#1012628).
  - ARM: dts: am335x-pcm-953: Define fixed regulators in root node
    (bsc#1012628).
  - ASoC: hdac_hda: fix hda pcm buffer overflow issue (bsc#1012628).
  - ASoC: sgtl5000: Reset the CHIP_CLK_CTRL reg on remove
    (bsc#1012628).
  - ASoC: soc-pcm: Don't zero TDM masks in __soc_pcm_open()
    (bsc#1012628).
  - x86/hyperv: Restore VP assist page after cpu offlining/onlining
    (bsc#1012628).
  - scsi: storvsc: Fix handling of srb_status and capacity change
    events (bsc#1012628).
  - PCI: hv: Only reuse existing IRTE allocation for Multi-MSI
    (bsc#1012628).
  - arm64: dts: rockchip: Fix Pine64 Quartz4-B PMIC interrupt
    (bsc#1012628).
  - ASoC: max98373: Add checks for devm_kcalloc (bsc#1012628).
  - regulator: core: fix kobject release warning and memory leak
    in regulator_register() (bsc#1012628).
  - regulator: rt5759: fix OOB in validate_desc() (bsc#1012628).
  - spi: dw-dma: decrease reference count in dw_spi_dma_init_mfld()
    (bsc#1012628).
  - regulator: core: fix UAF in destroy_regulator() (bsc#1012628).
  - bus: sunxi-rsb: Remove the shutdown callback (bsc#1012628).
  - bus: sunxi-rsb: Support atomic transfers (bsc#1012628).
  - tee: optee: fix possible memory leak in optee_register_device()
    (bsc#1012628).
  - spi: tegra210-quad: Fix duplicate resource error (bsc#1012628).
  - ARM: dts: at91: sam9g20ek: enable udc vbus gpio pinctrl
    (bsc#1012628).
  - selftests: mptcp: gives slow test-case more time (bsc#1012628).
  - selftests: mptcp: run mptcp_sockopt from a new netns
    (bsc#1012628).
  - selftests: mptcp: fix mibit vs mbit mix up (bsc#1012628).
  - net: liquidio: simplify if expression (bsc#1012628).
  - net: neigh: decrement the family specific qlen (bsc#1012628).
  - ipvlan: hold lower dev to avoid possible use-after-free
    (bsc#1012628).
  - rxrpc: Fix race between conn bundle lookup and bundle removal
    [ZDI-CAN-15975] (bsc#1012628).
  - net: dsa: sja1105: disallow C45 transactions on the BASE-TX
    MDIO bus (bsc#1012628).
  - nfc/nci: fix race with opening and closing (bsc#1012628).
  - net: pch_gbe: fix potential memleak in pch_gbe_tx_queue()
    (bsc#1012628).
  - 9p/fd: fix issue of list_del corruption in p9_fd_cancel()
    (bsc#1012628).
  - netfilter: conntrack: Fix data-races around ct mark
    (bsc#1012628).
  - netfilter: nf_tables: do not set up extensions for end interval
    (bsc#1012628).
  - iavf: Fix a crash during reset task (bsc#1012628).
  - iavf: Do not restart Tx queues after reset task failure
    (bsc#1012628).
  - iavf: remove INITIAL_MAC_SET to allow gARP to work properly
    (bsc#1012628).
  - iavf: Fix race condition between iavf_shutdown and iavf_remove
    (bsc#1012628).
  - ARM: mxs: fix memory leak in mxs_machine_init() (bsc#1012628).
  - ARM: dts: imx6q-prti6q: Fix ref/tcxo-clock-frequency properties
    (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix error handling in mtk_open()
    (bsc#1012628).
  - net/mlx4: Check retval of mlx4_bitmap_init (bsc#1012628).
  - net: mvpp2: fix possible invalid pointer dereference
    (bsc#1012628).
  - net/qla3xxx: fix potential memleak in ql3xxx_send()
    (bsc#1012628).
  - octeontx2-af: debugsfs: fix pci device refcount leak
    (bsc#1012628).
  - net: pch_gbe: fix pci device refcount leak while module exiting
    (bsc#1012628).
  - nfp: fill splittable of devlink_port_attrs correctly
    (bsc#1012628).
  - nfp: add port from netdev validation for EEPROM access
    (bsc#1012628).
  - bonding: fix ICMPv6 header handling when receiving IPv6 messages
    (bsc#1012628).
  - macsec: Fix invalid error code set (bsc#1012628).
  - drm/i915: Fix warn in intel_display_power_*_domain() functions
    (bsc#1012628).
  - Drivers: hv: vmbus: fix double free in the error path of
    vmbus_add_channel_work() (bsc#1012628).
  - Drivers: hv: vmbus: fix possible memory leak in
    vmbus_device_register() (bsc#1012628).
  - netfilter: ipset: regression in ip_set_hash_ip.c (bsc#1012628).
  - net/mlx5: Do not query pci info while pci disabled
    (bsc#1012628).
  - net/mlx5: Fix FW tracer timestamp calculation (bsc#1012628).
  - net/mlx5: SF: Fix probing active SFs during driver probe phase
    (bsc#1012628).
  - net/mlx5: cmdif, Print info on any firmware cmd failure to
    tracepoint (bsc#1012628).
  - net/mlx5: Fix handling of entry refcount when command is not
    issued to FW (bsc#1012628).
  - net/mlx5: E-Switch, Set correctly vport destination
    (bsc#1012628).
  - net/mlx5: Fix sync reset event handler error flow (bsc#1012628).
  - net/mlx5e: Offload rule only when all encaps are valid
    (bsc#1012628).
  - net: phy: at803x: fix error return code in at803x_probe()
    (bsc#1012628).
  - tipc: set con sock in tipc_conn_alloc (bsc#1012628).
  - tipc: add an extra conn_get in tipc_conn_alloc (bsc#1012628).
  - tipc: check skb_linearize() return value in tipc_disc_rcv()
    (bsc#1012628).
  - zonefs: Fix race between modprobe and mount (bsc#1012628).
  - xfrm: Fix oops in __xfrm_state_delete() (bsc#1012628).
  - xfrm: Fix ignored return value in xfrm6_init() (bsc#1012628).
  - net: wwan: iosm: use ACPI_FREE() but not kfree() in
    ipc_pcie_read_bios_cfg() (bsc#1012628).
  - sfc: fix potential memleak in __ef100_hard_start_xmit()
    (bsc#1012628).
  - net: sparx5: fix error handling in sparx5_port_open()
    (bsc#1012628).
  - net: sched: allow act_ct to be built without NF_NAT
    (bsc#1012628).
  - NFC: nci: fix memory leak in nci_rx_data_packet() (bsc#1012628).
  - regulator: twl6030: re-add TWL6032_SUBCLASS (bsc#1012628).
  - bnx2x: fix pci device refcount leak in
    bnx2x_vf_is_pcie_pending() (bsc#1012628).
  - dma-buf: fix racing conflict of dma_heap_add() (bsc#1012628).
  - tsnep: Fix rotten packets (bsc#1012628).
  - cpufreq: amd-pstate: change amd-pstate driver to be built-in
    type (bsc#1012628).
  - netfilter: ipset: restore allowing 64 clashing elements in
    hash:net,iface (bsc#1012628).
  - netfilter: flowtable_offload: add missing locking (bsc#1012628).
  - fs: do not update freeing inode i_io_list (bsc#1012628).
  - blk-mq: fix queue reference leak on blk_mq_alloc_disk_for_queue
    failure (bsc#1012628).
  - test_kprobes: fix implicit declaration error of test_kprobes
    (bsc#1012628).
  - dccp/tcp: Reset saddr on failure after inet6?_hash_connect()
    (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix potential memory leak in
    mtk_rx_alloc() (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix resource leak in error path
    (bsc#1012628).
  - ipv4: Fix error return code in fib_table_insert() (bsc#1012628).
  - arcnet: fix potential memory leak in com20020_probe()
    (bsc#1012628).
  - net: dm9051: Fix missing dev_kfree_skb() in dm9051_loop_rx()
    (bsc#1012628).
  - net/cdc_ncm: Fix multicast RX support for CDC NCM devices with
    ZLP (bsc#1012628).
  - s390/ap: fix memory leak in ap_init_qci_info() (bsc#1012628).
  - s390/dasd: fix no record found for raw_track_access
    (bsc#1012628).
  - fscache: fix OOB Read in __fscache_acquire_volume (bsc#1012628).
  - nfc: st-nci: fix incorrect validating logic in EVT_TRANSACTION
    (bsc#1012628).
  - nfc: st-nci: fix memory leaks in EVT_TRANSACTION (bsc#1012628).
  - nfc: st-nci: fix incorrect sizing calculations in
    EVT_TRANSACTION (bsc#1012628).
  - net: marvell: prestera: add missing unregister_netdev() in
    prestera_port_create() (bsc#1012628).
  - net: enetc: cache accesses to &priv->si->hw (bsc#1012628).
  - net: enetc: preserve TX ring priority across reconfiguration
    (bsc#1012628).
  - octeontx2-pf: Add check for devm_kcalloc (bsc#1012628).
  - net: wwan: t7xx: Fix the ACPI memory leak (bsc#1012628).
  - virtio_net: Fix probe failed when modprobe virtio_net
    (bsc#1012628).
  - octeontx2-af: Fix reference count issue in rvu_sdp_init()
    (bsc#1012628).
  - net: thunderx: Fix the ACPI memory leak (bsc#1012628).
  - s390/crashdump: fix TOD programmable field size (bsc#1012628).
  - io_uring/filetable: fix file reference underflow (bsc#1012628).
  - io_uring/poll: fix poll_refs race with cancelation
    (bsc#1012628).
  - lib/vdso: use "grep -E" instead of "egrep" (bsc#1012628).
  - can: gs_usb: remove dma allocations (bsc#1012628).
  - usb: dwc3: exynos: Fix remove() function (bsc#1012628).
  - usb: cdnsp: Fix issue with Clear Feature Halt Endpoint
    (bsc#1012628).
  - usb: cdnsp: fix issue with ZLP - added TD_SIZE = 1
    (bsc#1012628).
  - dma-buf: Use dma_fence_unwrap_for_each when importing fences
    (bsc#1012628).
  - cifs: fix missing unlock in cifs_file_copychunk_range()
    (bsc#1012628).
  - cifs: Use after free in debug code (bsc#1012628).
  - ext4: fix use-after-free in ext4_ext_shift_extents
    (bsc#1012628).
  - arm64: dts: rockchip: lower rk3399-puma-haikou SD controller
    clock frequency (bsc#1012628).
  - iio: adc: aspeed: Remove the trim valid dts property
    (bsc#1012628).
  - iio: light: apds9960: fix wrong register for gesture gain
    (bsc#1012628).
  - iio: core: Fix entry not deleted when
    iio_register_sw_trigger_type() fails (bsc#1012628).
  - iio: accel: bma400: Fix memory leak in bma400_get_steps_reg()
    (bsc#1012628).
  - dt-bindings: iio: adc: Remove the property
    "aspeed,trim-data-valid" (bsc#1012628).
  - mm/damon/sysfs-schemes: skip stats update if the scheme
    directory is removed (bsc#1012628).
  - virt/sev-guest: Prevent IV reuse in the SNP guest driver
    (bsc#1012628).
  - cpufreq: amd-pstate: cpufreq: amd-pstate: reset MSR_AMD_PERF_CTL
    register at init (bsc#1012628).
  - zonefs: Fix active zone accounting (bsc#1012628).
  - bus: ixp4xx: Don't touch bit 7 on IXP42x (bsc#1012628).
  - spi: spi-imx: Fix spi_bus_clk if requested clock is higher
    than input clock (bsc#1012628).
  - spi: spi-imx: spi_imx_transfer_one(): check for DMA transfer
    first (bsc#1012628).
  - init/Kconfig: fix CC_HAS_ASM_GOTO_TIED_OUTPUT test with dash
    (bsc#1012628).
  - NFSD: Fix reads with a non-zero offset that don't end on a
    page boundary (bsc#1012628).
  - nios2: add FORCE for vmlinuz.gz (bsc#1012628).
  - drm/amdgpu: Enable SA software trap (bsc#1012628).
  - drm/amdkfd: update GFX11 CWSR trap handler (bsc#1012628).
  - drm/amd/display: Added debug option for forcing subvp num ways
    (bsc#1012628).
  - drm/amd/display: Add debug option for allocating extra way
    for cursor (bsc#1012628).
  - drm/amd/display: Update MALL SS NumWays calculation
    (bsc#1012628).
  - drm/amd/display: Fix calculation for cursor CAB allocation
    (bsc#1012628).
  - usb: dwc3: gadget: conditionally remove requests (bsc#1012628).
  - usb: dwc3: gadget: Return -ESHUTDOWN on ep disable
    (bsc#1012628).
  - usb: dwc3: gadget: Clear ep descriptor last (bsc#1012628).
  - io_uring: cmpxchg for poll arm refs release (bsc#1012628).
  - io_uring: make poll refs more robust (bsc#1012628).
  - io_uring: clear TIF_NOTIFY_SIGNAL if set and task_work not
    available (bsc#1012628).
  - nilfs2: fix nilfs_sufile_mark_dirty() not set segment usage
    as dirty (bsc#1012628).
  - gcov: clang: fix the buffer overflow issue (bsc#1012628).
  - mm/cgroup/reclaim: fix dirty pages throttling on cgroup v1
    (bsc#1012628).
  - mm: vmscan: fix extreme overreclaim and swap floods
    (bsc#1012628).
  - fpga: m10bmc-sec: Fix kconfig dependencies (bsc#1012628).
  - KVM: x86/mmu: Fix race condition in direct_page_fault
    (bsc#1012628).
  - KVM: x86/xen: Only do in-kernel acceleration of hypercalls
    for guest CPL0 (bsc#1012628).
  - KVM: x86/xen: Validate port number in SCHEDOP_poll
    (bsc#1012628).
  - drm/i915/gvt: Get reference to KVM iff attachment to VM is
    successful (bsc#1012628).
  - KVM: x86: nSVM: leave nested mode on vCPU free (bsc#1012628).
  - KVM: x86: forcibly leave nested mode on vCPU reset
    (bsc#1012628).
  - KVM: x86: nSVM: harden svm_free_nested against freeing vmcb02
    while still in use (bsc#1012628).
  - KVM: x86: add kvm_leave_nested (bsc#1012628).
  - KVM: x86: remove exit_int_info warning in svm_handle_exit
    (bsc#1012628).
  - KVM: Update gfn_to_pfn_cache khva when it moves within the
    same page (bsc#1012628).
  - x86/tsx: Add a feature bit for TSX control MSR support
    (bsc#1012628).
  - x86/pm: Add enumeration check before spec MSRs save/restore
    setup (bsc#1012628).
  - x86/ioremap: Fix page aligned size calculation in
    __ioremap_caller() (bsc#1012628).
  - mm: fix unexpected changes to {failslab|fail_page_alloc}.attr
    (bsc#1012628).
  - mm: correctly charge compressed memory to its memcg
    (bsc#1012628).
  - LoongArch: Clear FPU/SIMD thread info flags for kernel thread
    (bsc#1012628).
  - LoongArch: Set _PAGE_DIRTY only if _PAGE_WRITE is set in
    {pmd,pte}_mkdirty() (bsc#1012628).
  - Input: synaptics - switch touchpad on HP Laptop 15-da3001TU
    to RMI mode (bsc#1012628).
  - ASoC: amd: yc: Add Alienware m17 R5 AMD into DMI table
    (bsc#1012628).
  - ASoC: Intel: bytcht_es8316: Add quirk for the Nanote UMPC-01
    (bsc#1012628).
  - ASoC: Intel: soc-acpi: add ES83x6 support to IceLake
    (bsc#1012628).
  - tools: iio: iio_generic_buffer: Fix read size (bsc#1012628).
  - ASoC: hda: intel-dsp-config: add ES83x6 quirk for IceLake
    (bsc#1012628).
  - ASoC: SOF: ipc3-topology: use old pipeline teardown flow with
    SOF2.1 and older (bsc#1012628).
  - serial: 8250: 8250_omap: Avoid RS485 RTS glitch on
    - >set_termios() (bsc#1012628).
  - Revert "tty: n_gsm: avoid call of sleeping functions from
    atomic context" (bsc#1012628).
  - Revert "tty: n_gsm: replace kicktimer with delayed_work"
    (bsc#1012628).
  - Input: goodix - try resetting the controller when no config
    is set (bsc#1012628).
  - bpf: Convert BPF_DISPATCHER to use static_call() (not ftrace)
    (bsc#1012628).
  - ASoC: sof_es8336: reduce pop noise on speaker (bsc#1012628).
  - Input: soc_button_array - add use_low_level_irq module parameter
    (bsc#1012628).
  - Input: soc_button_array - add Acer Switch V 10 to
    dmi_use_low_level_irq[] (bsc#1012628).
  - pinctrl: qcom: sc8280xp: Rectify UFS reset pins (bsc#1012628).
  - ASoC: stm32: dfsdm: manage cb buffers cleanup (bsc#1012628).
  - xen-pciback: Allow setting PCI_MSIX_FLAGS_MASKALL too
    (bsc#1012628).
  - xen/platform-pci: add missing free_irq() in error path
    (bsc#1012628).
  - platform/x86: thinkpad_acpi: Enable s2idle quirk for 21A1
    machine type (bsc#1012628).
  - platform/x86: asus-wmi: add missing pci_dev_put() in
    asus_wmi_set_xusb2pr() (bsc#1012628).
  - platform/x86: acer-wmi: Enable SW_TABLET_MODE on Switch V 10
    (SW5-017) (bsc#1012628).
  - platform/surface: aggregator_registry: Add support for Surface
    Pro 9 (bsc#1012628).
  - drm/amd/display: use uclk pstate latency for fw assisted mclk
    validation dcn32 (bsc#1012628).
  - drm/amdgpu: disable BACO support on more cards (bsc#1012628).
  - drm/amdkfd: Fix a memory limit issue (bsc#1012628).
  - zonefs: fix zone report size in __zonefs_io_error()
    (bsc#1012628).
  - platform/surface: aggregator_registry: Add support for Surface
    Laptop 5 (bsc#1012628).
  - platform/x86: hp-wmi: Ignore Smart Experience App event
    (bsc#1012628).
  - platform/x86: ideapad-laptop: Fix interrupt storm on fn-lock
    toggle on some Yoga laptops (bsc#1012628).
  - platform/x86: ideapad-laptop: Add module parameters to match
    DMI quirk tables (bsc#1012628).
  - tcp: configurable source port perturb table size (bsc#1012628).
  - block: make blk_set_default_limits() private (bsc#1012628).
  - dm-integrity: set dma_alignment limit in io_hints (bsc#1012628).
  - dm-log-writes: set dma_alignment limit in io_hints
    (bsc#1012628).
  - net: usb: qmi_wwan: add Telit 0x103a composition (bsc#1012628).
  - scsi: mpi3mr: Suppress command reply debug prints (bsc#1012628).
  - scsi: iscsi: Fix possible memory leak when device_register()
    failed (bsc#1012628).
  - gpu: host1x: Avoid trying to use GART on Tegra20 (bsc#1012628).
  - dm integrity: flush the journal on suspend (bsc#1012628).
  - dm integrity: clear the journal on suspend (bsc#1012628).
  - fuse: lock inode unconditionally in fuse_fallocate()
    (bsc#1012628).
  - wifi: wilc1000: validate pairwise and authentication suite
    offsets (bsc#1012628).
  - wifi: wilc1000: validate length of
    IEEE80211_P2P_ATTR_OPER_CHANNEL attribute (bsc#1012628).
  - wifi: wilc1000: validate length of
    IEEE80211_P2P_ATTR_CHANNEL_LIST attribute (bsc#1012628).
  - wifi: wilc1000: validate number of channels (bsc#1012628).
  - btrfs: free btrfs_path before copying root refs to userspace
    (bsc#1012628).
  - btrfs: free btrfs_path before copying inodes to userspace
    (bsc#1012628).
  - btrfs: free btrfs_path before copying fspath to userspace
    (bsc#1012628).
  - btrfs: free btrfs_path before copying subvol info to userspace
    (bsc#1012628).
  - btrfs: zoned: fix missing endianness conversion in
    sb_write_pointer (bsc#1012628).
  - btrfs: use kvcalloc in btrfs_get_dev_zone_info (bsc#1012628).
  - btrfs: sysfs: normalize the error handling branch in
    btrfs_init_sysfs() (bsc#1012628).
  - btrfs: do not modify log tree while holding a leaf from fs
    tree locked (bsc#1012628).
  - drm/i915/ttm: never purge busy objects (bsc#1012628).
  - drm/display/dp_mst: Fix drm_dp_mst_add_affected_dsc_crtcs()
    return code (bsc#1012628).
  - drm/amd/dc/dce120: Fix audio register mapping, stop triggering
    KASAN (bsc#1012628).
  - drm/amd/display: No display after resume from WB/CB
    (bsc#1012628).
  - drm/amdgpu/psp: don't free PSP buffers on suspend (bsc#1012628).
  - drm/amdgpu: Enable Aldebaran devices to report CU Occupancy
    (bsc#1012628).
  - drm/amd/amdgpu: reserve vm invalidation engine for firmware
    (bsc#1012628).
  - drm/amd/display: Update soc bounding box for dcn32/dcn321
    (bsc#1012628).
  - drm/amdgpu: always register an MMU notifier for userptr
    (bsc#1012628).
  - drm/amdgpu: Partially revert "drm/amdgpu: update
    drm_display_info correctly when the edid is read" (bsc#1012628).
  - drm/i915: fix TLB invalidation for Gen12 video and compute
    engines (bsc#1012628).
  - bpf: Add explicit cast to 'void *' for __BPF_DISPATCHER_UPDATE()
    (bsc#1012628).
  - Update config files.
  - commit d8f98b5
* Thu Dec 01 2022 jslaby@suse.cz
  - can: slcan: fix freed work crash (bsc#1205597).
  - commit 1004618
* Thu Dec 01 2022 jslaby@suse.cz
  - Refresh
    patches.suse/Input-i8042-Apply-probe-defer-to-more-ASUS-ZenBook-m.patch.
    Update upstream status.
  - commit 692368a
* Wed Nov 30 2022 nstange@suse.de
  - Add support for enabling livepatching related packages on -RT (jsc#PED-1706)
  - commit 9d41244
* Wed Nov 30 2022 jslaby@suse.cz
  - char: xillybus: Fix trivial bug with mutex (bsc#1205764
    CVE-2022-45888).
  - char: xillybus: Prevent use-after-free due to race condition
    (bsc#1205764 CVE-2022-45888).
  - char: xillybus: Fix trivial bug with mutex (bsc#1205764
    CVE-2022-45888).
  - char: xillybus: Prevent use-after-free due to race condition
    (bsc#1205764 CVE-2022-45888).
  - commit 8ba91a0
* Tue Nov 29 2022 afaerber@suse.com
  - config: arm64: Fix Freescale LPUART dependency (boo#1204063)
    Commit 8d7f37c61a07 inserted CONFIG_SERIAL_FSL_LPUART_CONSOLE=y
    but forgot to change CONFIG_SERIAL_FSL_LPUART=m to =y as dependency,
    as the upstream Kconfig appears to be missing it for this driver.
  - commit d33b52e
* Tue Nov 29 2022 jslaby@suse.cz
  - Refresh
    patches.suse/ALSA-usb-audio-Remove-redundant-workaround-for-Rolan.patch.
    Update upstream status.
  - commit ce72954
* Mon Nov 28 2022 tiwai@suse.de
  - Refresh patches.suse/misc-sgi-gru-fix-use-after-free-error-in-gru_set_con.patch (CVE-2022-3424 bsc#1204166)
    Taken from v10 patch in char-misc subsystem tree
  - commit f73b1d5
* Mon Nov 28 2022 tiwai@suse.de
  - Bluetooth: L2CAP: Fix u8 overflow (CVE-2022-45934 bsc#1205796).
  - commit e554413
* Mon Nov 28 2022 mkubecek@suse.cz
  - Update to 6.1-rc7
  - update configs
    - x86: X86_AMD_PSTATE=y (was "m")
  - commit bd1d686
* Sat Nov 26 2022 jslaby@suse.cz
  - Linux 6.0.10 (bsc#1012628).
  - mtd: rawnand: qcom: handle ret from parse with codeword_fixup
    (bsc#1012628).
  - drm/msm/gpu: Fix crash during system suspend after unbind
    (bsc#1012628).
  - spi: tegra210-quad: Fix combined sequence (bsc#1012628).
  - ASoC: wm5102: Revert "ASoC: wm5102: Fix PM disable depth
    imbalance in wm5102_probe" (bsc#1012628).
  - ASoC: wm5110: Revert "ASoC: wm5110: Fix PM disable depth
    imbalance in wm5110_probe" (bsc#1012628).
  - ASoC: wm8997: Revert "ASoC: wm8997: Fix PM disable depth
    imbalance in wm8997_probe" (bsc#1012628).
  - ASoC: mt6660: Keep the pm_runtime enables before component
    stuff in mt6660_i2c_probe (bsc#1012628).
  - ASoC: rt5682s: Fix the TDM Tx settings (bsc#1012628).
  - ASoC: rt1019: Fix the TDM settings (bsc#1012628).
  - ASoC: wm8962: Add an event handler for TEMP_HP and TEMP_SPK
    (bsc#1012628).
  - spi: intel: Fix the offset to get the 64K erase opcode
    (bsc#1012628).
  - ASoC: codecs: jz4725b: add missed Line In power control bit
    (bsc#1012628).
  - ASoC: codecs: jz4725b: fix reported volume for Master ctl
    (bsc#1012628).
  - ASoC: codecs: jz4725b: use right control for Capture Volume
    (bsc#1012628).
  - ASoC: codecs: jz4725b: fix capture selector naming
    (bsc#1012628).
  - ASoC: Intel: sof_sdw: add quirk variant for LAPBC710 NUC15
    (bsc#1012628).
  - selftests/futex: fix build for clang (bsc#1012628).
  - selftests/intel_pstate: fix build for ARCH=x86_64 (bsc#1012628).
  - selftests/kexec: fix build for ARCH=x86_64 (bsc#1012628).
  - ASoC: Intel: sof_rt5682: Add quirk for Rex board (bsc#1012628).
  - ASoC: rt1308-sdw: add the default value of some registers
    (bsc#1012628).
  - ASoC: amd: yc: Adding Lenovo ThinkBook 14 Gen 4+ ARA and Lenovo
    ThinkBook 16 Gen 4+ ARA to the Quirks List (bsc#1012628).
  - ASoC: amd: yc: Add Lenovo Thinkbook 14+ 2022 21D0 to quirks
    table (bsc#1012628).
  - drm/amdgpu: Adjust MES polling timeout for sriov (bsc#1012628).
  - platform/x86: thinkpad_acpi: Fix reporting a non present second
    fan on some models (bsc#1012628).
  - platform/x86/intel: pmc/core: Add Raptor Lake support to pmc
    core driver (bsc#1012628).
  - drm/amd/display: Remove wrong pipe control lock (bsc#1012628).
  - drm/amd/display: Don't return false if no stream (bsc#1012628).
  - drm/scheduler: fix fence ref counting (bsc#1012628).
  - ACPI: scan: Add LATT2021 to acpi_ignore_dep_ids[] (bsc#1012628).
  - cxl/mbox: Add a check on input payload size (bsc#1012628).
  - RDMA/efa: Add EFA 0xefa2 PCI ID (bsc#1012628).
  - btrfs: raid56: properly handle the error when unable to find
    the missing stripe (bsc#1012628).
  - NFSv4: Retry LOCK on OLD_STATEID during delegation return
    (bsc#1012628).
  - SUNRPC: Fix crasher in gss_unwrap_resp_integ() (bsc#1012628).
  - ACPI: x86: Add another system to quirk list for forcing
    StorageD3Enable (bsc#1012628).
  - drm/rockchip: vop2: fix null pointer in plane_atomic_disable
    (bsc#1012628).
  - drm/rockchip: vop2: disable planes when disabling the crtc
    (bsc#1012628).
  - ksefltests: pidfd: Fix wait_states: Test terminated by timeout
    (bsc#1012628).
  - powerpc/64e: Fix amdgpu build on Book3E w/o AltiVec
    (bsc#1012628).
  - block: blk_add_rq_to_plug(): clear stale 'last' after flush
    (bsc#1012628).
  - firmware: arm_scmi: Cleanup the core driver removal callback
    (bsc#1012628).
  - firmware: arm_scmi: Make tx_prepare time out eventually
    (bsc#1012628).
  - i2c: tegra: Allocate DMA memory for DMA engine (bsc#1012628).
  - i2c: i801: add lis3lv02d's I2C address for Vostro 5568
    (bsc#1012628).
  - drm/imx: imx-tve: Fix return type of
    imx_tve_connector_mode_valid (bsc#1012628).
  - btrfs: remove pointless and double ulist frees in error paths
    of qgroup tests (bsc#1012628).
  - drm/amd/display: Ignore Cable ID Feature (bsc#1012628).
  - drm/amd/display: Enable timing sync on DCN32 (bsc#1012628).
  - drm/amdgpu: set fb_modifiers_not_supported in vkms
    (bsc#1012628).
  - drm/amd: Fail the suspend if resources can't be evicted
    (bsc#1012628).
  - drm/amd/display: Fix DCN32 DSC delay calculation (bsc#1012628).
  - drm/amd/display: Use forced DSC bpp in DML (bsc#1012628).
  - drm/amd/display: Round up DST_after_scaler to nearest int
    (bsc#1012628).
  - drm/amd/display: Investigate tool reported FCLK P-state
    deviations (bsc#1012628).
  - Bluetooth: L2CAP: Fix l2cap_global_chan_by_psm (bsc#1012628).
  - cxl/pmem: Use size_add() against integer overflow (bsc#1012628).
  - x86/cpu: Add several Intel server CPU model numbers
    (bsc#1012628).
  - tools/testing/cxl: Fix some error exits (bsc#1012628).
  - cifs: always iterate smb sessions using primary channel
    (bsc#1012628).
  - ASoC: codecs: jz4725b: Fix spelling mistake "Sourc" -> "Source",
    "Routee" -> "Route" (bsc#1012628).
  - arm64/mm: fold check for KFENCE into can_set_direct_map()
    (bsc#1012628).
  - arm64: fix rodata=full again (bsc#1012628).
  - hugetlb: rename remove_huge_page to
    hugetlb_delete_from_page_cache (bsc#1012628).
  - hugetlbfs: don't delete error page from pagecache (bsc#1012628).
  - KVM: SVM: remove dead field from struct svm_cpu_data
    (bsc#1012628).
  - KVM: SVM: do not allocate struct svm_cpu_data dynamically
    (bsc#1012628).
  - KVM: SVM: restore host save area from assembly (bsc#1012628).
  - KVM: SVM: move MSR_IA32_SPEC_CTRL save/restore to assembly
    (bsc#1012628).
  - arm64: dts: qcom: ipq8074: correct APCS register space size
    (bsc#1012628).
  - arm64: dts: qcom: sa8155p-adp: Specify which LDO modes are
    allowed (bsc#1012628).
  - arm64: dts: qcom: sa8295p-adp: Specify which LDO modes are
    allowed (bsc#1012628).
  - arm64: dts: qcom: sc8280xp-crd: Specify which LDO modes are
    allowed (bsc#1012628).
  - arm64: dts: qcom: sm8150-xperia-kumano: Specify which LDO
    modes are allowed (bsc#1012628).
  - arm64: dts: qcom: sm8250-xperia-edo: Specify which LDO modes
    are allowed (bsc#1012628).
  - arm64: dts: qcom: sm8350-hdk: Specify which LDO modes are
    allowed (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: fix ufs_card_phy ref clock
    (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: correct ref clock for ufs_mem_phy
    (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: fix USB0 PHY PCS_MISC registers
    (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: fix USB1 PHY RX1 registers
    (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: fix USB PHY PCS registers
    (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: drop broken DP PHY nodes
    (bsc#1012628).
  - arm64: dts: qcom: sc8280xp: fix UFS PHY serdes size
    (bsc#1012628).
  - arm64: dts: qcom: sc7280: Add the reset reg for lpass audiocc
    on SC7280 (bsc#1012628).
  - spi: stm32: Print summary 'callbacks suppressed' message
    (bsc#1012628).
  - ARM: dts: at91: sama7g5: fix signal name of pin PB2
    (bsc#1012628).
  - ASoC: core: Fix use-after-free in snd_soc_exit() (bsc#1012628).
  - ASoC: fsl_asrc fsl_esai fsl_sai: allow CONFIG_PM=N
    (bsc#1012628).
  - arm64: dts: qcom: sm8250: Disable the not yet supported cluster
    idle state (bsc#1012628).
  - ASoC: tas2770: Fix set_tdm_slot in case of single slot
    (bsc#1012628).
  - ASoC: tas2764: Fix set_tdm_slot in case of single slot
    (bsc#1012628).
  - ASoC: tas2780: Fix set_tdm_slot in case of single slot
    (bsc#1012628).
  - ARM: at91: pm: avoid soft resetting AC DLL (bsc#1012628).
  - serial: 8250: omap: Fix missing PM runtime calls for
    omap8250_set_mctrl() (bsc#1012628).
  - serial: 8250_omap: remove wait loop from Errata i202 workaround
    (bsc#1012628).
  - serial: 8250: omap: Fix unpaired pm_runtime_put_sync() in
    omap8250_remove() (bsc#1012628).
  - serial: 8250: omap: Flush PM QOS work on remove (bsc#1012628).
  - tty: serial: fsl_lpuart: don't break the on-going transfer
    when global reset (bsc#1012628).
  - serial: imx: Add missing .thaw_noirq hook (bsc#1012628).
  - tty: n_gsm: fix sleep-in-atomic-context bug in gsm_control_send
    (bsc#1012628).
  - ASoC: rt5514: fix legacy dai naming (bsc#1012628).
  - ASoC: rt5677: fix legacy dai naming (bsc#1012628).
  - bpf, test_run: Fix alignment problem in bpf_prog_test_run_skb()
    (bsc#1012628).
  - bnxt_en: refactor bnxt_cancel_reservations() (bsc#1012628).
  - bnxt_en: fix the handling of PCIE-AER (bsc#1012628).
  - ASoC: soc-utils: Remove __exit for snd_soc_util_exit()
    (bsc#1012628).
  - pinctrl: rockchip: list all pins in a possible mux route for
    PX30 (bsc#1012628).
  - mtd: onenand: omap2: add dependency on GPMC (bsc#1012628).
  - scsi: scsi_transport_sas: Fix error handling in sas_phy_add()
    (bsc#1012628).
  - sctp: remove the unnecessary sinfo_stream check in
    sctp_prsctp_prune_unsent (bsc#1012628).
  - sctp: clear out_curr if all frag chunks of current msg are
    pruned (bsc#1012628).
  - erofs: clean up .read_folio() and .readahead() in fscache mode
    (bsc#1012628).
  - erofs: get correct count for unmapped range in fscache mode
    (bsc#1012628).
  - block: sed-opal: kmalloc the cmd/resp buffers (bsc#1012628).
  - nfsd: put the export reference in nfsd4_verify_deleg_dentry
    (bsc#1012628).
  - bpf: Fix memory leaks in __check_func_call (bsc#1012628).
  - io_uring: calculate CQEs from the user visible value
    (bsc#1012628).
  - pinctrl: mediatek: common-v2: Fix bias-disable for
    PULL_PU_PD_RSEL_TYPE (bsc#1012628).
  - arm64: Fix bit-shifting UB in the MIDR_CPU_MODEL() macro
    (bsc#1012628).
  - nvmet: fix a memory leak (bsc#1012628).
  - siox: fix possible memory leak in siox_device_add()
    (bsc#1012628).
  - parport_pc: Avoid FIFO port location truncation (bsc#1012628).
  - selftests/bpf: Fix casting error when cross-compiling
    test_verifier for 32-bit platforms (bsc#1012628).
  - selftests/bpf: Fix test_progs compilation failure in 32-bit arch
    (bsc#1012628).
  - pinctrl: devicetree: fix null pointer dereferencing in
    pinctrl_dt_to_map (bsc#1012628).
  - drm/vc4: kms: Fix IS_ERR() vs NULL check for vc4_kms
    (bsc#1012628).
  - drm/panel: simple: set bpc field for logic technologies displays
    (bsc#1012628).
  - drm/drv: Fix potential memory leak in drm_dev_init()
    (bsc#1012628).
  - drm: Fix potential null-ptr-deref in drm_vblank_destroy_worker()
    (bsc#1012628).
  - arm64: dts: imx8mm-tqma8mqml-mba8mx: Fix USB DR (bsc#1012628).
  - ARM: dts: imx7: Fix NAND controller size-cells (bsc#1012628).
  - arm64: dts: imx8mm: Fix NAND controller size-cells
    (bsc#1012628).
  - erofs: put metabuf in error path in fscache mode (bsc#1012628).
  - arm64: dts: imx8mn: Fix NAND controller size-cells
    (bsc#1012628).
  - arm64: dts: imx93-pinfunc: drop execution permission
    (bsc#1012628).
  - ata: libata-transport: fix double ata_host_put() in
    ata_tport_add() (bsc#1012628).
  - ata: libata-transport: fix error handling in ata_tport_add()
    (bsc#1012628).
  - ata: libata-transport: fix error handling in ata_tlink_add()
    (bsc#1012628).
  - ata: libata-transport: fix error handling in ata_tdev_add()
    (bsc#1012628).
  - nfp: change eeprom length to max length enumerators
    (bsc#1012628).
  - MIPS: fix duplicate definitions for exported symbols
    (bsc#1012628).
  - MIPS: Loongson64: Add WARN_ON on kexec related kmalloc failed
    (bsc#1012628).
  - io_uring/poll: fix double poll req->flags races (bsc#1012628).
  - cifs: Fix connections leak when tlink setup failed
    (bsc#1012628).
  - bpf: Initialize same number of free nodes for each pcpu_freelist
    (bsc#1012628).
  - ata: libata-core: do not issue non-internal commands once EH
    is pending (bsc#1012628).
  - net: bgmac: Drop free_netdev() from bgmac_enet_remove()
    (bsc#1012628).
  - mISDN: fix possible memory leak in mISDN_dsp_element_register()
    (bsc#1012628).
  - net: hinic: Fix error handling in hinic_module_init()
    (bsc#1012628).
  - net: phy: dp83867: Fix SGMII FIFO depth for non OF devices
    (bsc#1012628).
  - net: stmmac: ensure tx function is not running in
    stmmac_xdp_release() (bsc#1012628).
  - mctp i2c: don't count unused / invalid keys for flow release
    (bsc#1012628).
  - soc: imx8m: Enable OCOTP clock before reading the register
    (bsc#1012628).
  - net: liquidio: release resources when liquidio driver open
    failed (bsc#1012628).
  - mISDN: fix misuse of put_device() in mISDN_register_device()
    (bsc#1012628).
  - net: macvlan: Use built-in RCU list checking (bsc#1012628).
  - net: caif: fix double disconnect client in chnl_net_open()
    (bsc#1012628).
  - bnxt_en: Remove debugfs when pci_register_driver failed
    (bsc#1012628).
  - octeon_ep: delete unnecessary napi rollback under set_queues_err
    in octep_open() (bsc#1012628).
  - octeon_ep: ensure octep_get_link_status() successfully before
    octep_link_up() (bsc#1012628).
  - octeon_ep: fix potential memory leak in octep_device_setup()
    (bsc#1012628).
  - octeon_ep: ensure get mac address successfully before
    eth_hw_addr_set() (bsc#1012628).
  - drm/lima: Fix opp clkname setting in case of missing regulator
    (bsc#1012628).
  - net: mhi: Fix memory leak in mhi_net_dellink() (bsc#1012628).
  - net: dsa: make dsa_master_ioctl() see through
    port_hwtstamp_get() shims (bsc#1012628).
  - xen/pcpu: fix possible memory leak in register_pcpu()
    (bsc#1012628).
  - erofs: fix missing xas_retry() in fscache mode (bsc#1012628).
  - mlxsw: Avoid warnings when not offloaded FDB entry with IPv6
    is removed (bsc#1012628).
  - net: ionic: Fix error handling in ionic_init_module()
    (bsc#1012628).
  - kcm: close race conditions on sk_receive_queue (bsc#1012628).
  - net: ena: Fix error handling in ena_init() (bsc#1012628).
  - net: hns3: fix incorrect hw rss hash type of rx packet
    (bsc#1012628).
  - net: hns3: fix return value check bug of rx copybreak
    (bsc#1012628).
  - net: hns3: fix setting incorrect phy link ksettings for firmware
    in resetting process (bsc#1012628).
  - bridge: switchdev: Fix memory leaks when changing VLAN protocol
    (bsc#1012628).
  - drbd: use after free in drbd_create_device() (bsc#1012628).
  - platform/x86/intel: pmc: Don't unconditionally attach Intel
    PMC when virtualized (bsc#1012628).
  - platform/surface: aggregator: Do not check for repeated
    unsequenced packets (bsc#1012628).
  - netfs: Fix missing xas_retry() calls in xarray iteration
    (bsc#1012628).
  - netfs: Fix dodgy maths (bsc#1012628).
  - cifs: add check for returning value of SMB2_close_init
    (bsc#1012628).
  - net: ag71xx: call phylink_disconnect_phy if ag71xx_hw_enable()
    fail in ag71xx_open() (bsc#1012628).
  - net/x25: Fix skb leak in x25_lapb_receive_frame() (bsc#1012628).
  - net: dsa: don't leak tagger-owned storage on switch driver
    unbind (bsc#1012628).
  - nvmet: fix a memory leak in nvmet_auth_set_key (bsc#1012628).
  - cifs: Fix wrong return value checking when GETFLAGS
    (bsc#1012628).
  - net: lan966x: Fix potential null-ptr-deref in
    lan966x_stats_init() (bsc#1012628).
  - net: microchip: sparx5: Fix potential null-ptr-deref in
    sparx_stats_init() and sparx5_start() (bsc#1012628).
  - net: thunderbolt: Fix error handling in tbnet_init()
    (bsc#1012628).
  - s390: avoid using global register for current_stack_pointer
    (bsc#1012628).
  - cifs: add check for returning value of SMB2_set_info_init
    (bsc#1012628).
  - netdevsim: Fix memory leak of nsim_dev->fa_cookie (bsc#1012628).
  - block: make dma_alignment a stacking queue_limit (bsc#1012628).
  - dm-crypt: provide dma_alignment limit in io_hints (bsc#1012628).
  - ftrace: Fix the possible incorrect kernel message (bsc#1012628).
  - ftrace: Optimize the allocation for mcount entries
    (bsc#1012628).
  - ftrace: Fix null pointer dereference in ftrace_add_mod()
    (bsc#1012628).
  - ring_buffer: Do not deactivate non-existant pages (bsc#1012628).
  - tracing: Fix memory leak in tracing_read_pipe() (bsc#1012628).
  - tracing/ring-buffer: Have polling block on watermark
    (bsc#1012628).
  - tracing: Fix memory leak in test_gen_synth_cmd() and
    test_empty_synth_event() (bsc#1012628).
  - tracing: Fix wild-memory-access in register_synth_event()
    (bsc#1012628).
  - tracing: Fix race where eprobes can be called before the event
    (bsc#1012628).
  - tracing: kprobe: Fix potential null-ptr-deref on
    trace_event_file in kprobe_event_gen_test_exit() (bsc#1012628).
  - tracing: kprobe: Fix potential null-ptr-deref on trace_array
    in kprobe_event_gen_test_exit() (bsc#1012628).
  - rethook: fix a potential memleak in rethook_alloc()
    (bsc#1012628).
  - platform/x86/amd: pmc: Remove more CONFIG_DEBUG_FS checks
    (bsc#1012628).
  - platform/x86/amd: pmc: Add new ACPI ID AMDI0009 (bsc#1012628).
  - drm/amd/pm: enable runpm support over BACO for SMU13.0.7
    (bsc#1012628).
  - drm/amd/pm: enable runpm support over BACO for SMU13.0.0
    (bsc#1012628).
  - drm/amd/pm: fix SMU13 runpm hang due to unintentional workaround
    (bsc#1012628).
  - drm/display: Don't assume dual mode adaptors support i2c
    sub-addressing (bsc#1012628).
  - drm/amd/display: Fix invalid DPIA AUX reply causing system hang
    (bsc#1012628).
  - drm/amd/display: Add HUBP surface flip interrupt handler
    (bsc#1012628).
  - drm/amd/display: Fix access timeout to DPIA AUX at boot time
    (bsc#1012628).
  - drm/amd/display: Support parsing VRAM info v3.0 from VBIOS
    (bsc#1012628).
  - drm/amd/display: Fix optc2_configure warning on dcn314
    (bsc#1012628).
  - drm/amd/display: don't enable DRM CRTC degamma property for DCE
    (bsc#1012628).
  - drm/amd/display: Fix prefetch calculations for dcn32
    (bsc#1012628).
  - ALSA: usb-audio: Drop snd_BUG_ON() from
    snd_usbmidi_output_open() (bsc#1012628).
  - Revert "usb: dwc3: disable USB core PHY management"
    (bsc#1012628).
  - usb: dwc3: Do not get extcon device when usb-role-switch is used
    (bsc#1012628).
  - io_uring: update res mask in io_poll_check_events (bsc#1012628).
  - nvme-pci: add NVME_QUIRK_BOGUS_NID for Micron Nitro
    (bsc#1012628).
  - nvme-pci: add NVME_QUIRK_BOGUS_NID for Netac NV7000
    (bsc#1012628).
  - slimbus: qcom-ngd: Fix build error when
    CONFIG_SLIM_QCOM_NGD_CTRL=y && CONFIG_QCOM_RPROC_COMMON=m
    (bsc#1012628).
  - slimbus: stream: correct presence rate frequencies
    (bsc#1012628).
  - speakup: fix a segfault caused by switching consoles
    (bsc#1012628).
  - speakup: replace utils' u_char with unsigned char (bsc#1012628).
  - USB: bcma: Make GPIO explicitly optional (bsc#1012628).
  - USB: serial: option: add Sierra Wireless EM9191 (bsc#1012628).
  - USB: serial: option: remove old LARA-R6 PID (bsc#1012628).
  - USB: serial: option: add u-blox LARA-R6 00B modem (bsc#1012628).
  - USB: serial: option: add u-blox LARA-L6 modem (bsc#1012628).
  - USB: serial: option: add Fibocom FM160 0x0111 composition
    (bsc#1012628).
  - usb: add NO_LPM quirk for Realforce 87U Keyboard (bsc#1012628).
  - usb: chipidea: fix deadlock in ci_otg_del_timer (bsc#1012628).
  - usb: cdns3: host: fix endless superspeed hub port reset
    (bsc#1012628).
  - usb: typec: mux: Enter safe mode only when pins need to be
    reconfigured (bsc#1012628).
  - usb: typec: tipd: Prevent uninitialized event{1,2} in IRQ
    handler (bsc#1012628).
  - iio: accel: bma400: Ensure VDDIO is enable defore reading the
    chip ID (bsc#1012628).
  - iio: adc: at91_adc: fix possible memory leak in
    at91_adc_allocate_trigger() (bsc#1012628).
  - iio: trigger: sysfs: fix possible memory leak in
    iio_sysfs_trig_init() (bsc#1012628).
  - iio: adc: mp2629: fix wrong comparison of channel (bsc#1012628).
  - iio: adc: mp2629: fix potential array out of bound access
    (bsc#1012628).
  - iio: pressure: ms5611: fixed value compensation bug
    (bsc#1012628).
  - iio: pressure: ms5611: changed hardcoded SPI speed to value
    limited (bsc#1012628).
  - dm bufio: Fix missing decrement of no_sleep_enabled if
    dm_bufio_client_create failed (bsc#1012628).
  - dm ioctl: fix misbehavior if list_versions races with module
    loading (bsc#1012628).
  - serial: 8250: Fall back to non-DMA Rx if IIR_RDI occurs
    (bsc#1012628).
  - serial: 8250: Flush DMA Rx on RLSI (bsc#1012628).
  - serial: 8250_lpss: Configure DMA also w/o DMA filter
    (bsc#1012628).
  - serial: 8250_lpss: Use 16B DMA burst with Elkhart Lake
    (bsc#1012628).
  - io_uring: fix tw losing poll events (bsc#1012628).
  - io_uring: fix multishot accept request leaks (bsc#1012628).
  - io_uring: fix multishot recv request leaks (bsc#1012628).
  - io_uring: disallow self-propelled ring polling (bsc#1012628).
  - ceph: avoid putting the realm twice when decoding snaps fails
    (bsc#1012628).
  - Input: iforce - invert valid length check when fetching device
    IDs (bsc#1012628).
  - maccess: Fix writing offset in case of fault in
    strncpy_from_kernel_nofault() (bsc#1012628).
  - net: phy: marvell: add sleep time after enabling the loopback
    bit (bsc#1012628).
  - scsi: zfcp: Fix double free of FSF request when qdio send fails
    (bsc#1012628).
  - iommu/vt-d: Preset Access bit for IOVA in FL non-leaf paging
    entries (bsc#1012628).
  - iommu/vt-d: Set SRE bit only when hardware has SRS cap
    (bsc#1012628).
  - firmware: coreboot: Register bus in module init (bsc#1012628).
  - mmc: core: properly select voltage range without power cycle
    (bsc#1012628).
  - mmc: sdhci-pci-o2micro: fix card detect fail issue caused by
    CD# debounce timeout (bsc#1012628).
  - mmc: sdhci-pci: Fix possible memory leak caused by missing
    pci_dev_put() (bsc#1012628).
  - docs: update mediator contact information in CoC doc
    (bsc#1012628).
  - docs/driver-api/miscellaneous: Remove kernel-doc of
    serial_core.c (bsc#1012628).
  - s390/dcssblk: fix deadlock when adding a DCSS (bsc#1012628).
  - misc/vmw_vmci: fix an infoleak in
    vmci_host_do_receive_datagram() (bsc#1012628).
  - blk-cgroup: properly pin the parent in blkcg_css_online
    (bsc#1012628).
  - x86/sgx: Add overflow check in sgx_validate_offset_length()
    (bsc#1012628).
  - x86/fpu: Drop fpregs lock before inheriting FPU permissions
    (bsc#1012628).
  - perf/x86/amd/uncore: Fix memory leak for events array
    (bsc#1012628).
  - perf/x86/intel/pt: Fix sampling using single range output
    (bsc#1012628).
  - nvme: restrict management ioctls to admin (bsc#1012628).
  - nvme: ensure subsystem reset is single threaded (bsc#1012628).
  - ASoC: SOF: topology: No need to assign core ID if token parsing
    failed (bsc#1012628).
  - perf: Improve missing SIGTRAP checking (bsc#1012628).
  - vfio: Rename vfio_ioctl_check_extension() (bsc#1012628).
  - vfio: Split the register_device ops call into functions
    (bsc#1012628).
  - perf/x86/amd: Fix crash due to race between amd_pmu_enable_all,
    perf NMI and throttling (bsc#1012628).
  - ring-buffer: Include dropped pages in counting dirty patches
    (bsc#1012628).
  - tracing: Fix warning on variable 'struct trace_array'
    (bsc#1012628).
  - net: usb: smsc95xx: fix external PHY reset (bsc#1012628).
  - net: use struct_group to copy ip/ipv6 header addresses
    (bsc#1012628).
  - scsi: target: tcm_loop: Fix possible name leak in
    tcm_loop_setup_hba_bus() (bsc#1012628).
  - scsi: scsi_debug: Fix possible UAF in sdebug_add_host_helper()
    (bsc#1012628).
  - kprobes: Skip clearing aggrprobe's post_handler in
    kprobe-on-ftrace case (bsc#1012628).
  - tracing: Fix potential null-pointer-access of entry in list
    'tr->err_log' (bsc#1012628).
  - arm64/mm: fix incorrect file_map_count for non-leaf pmd/pud
    (bsc#1012628).
  - Input: i8042 - fix leaking of platform device on module removal
    (bsc#1012628).
  - macvlan: enforce a consistent minimal mtu (bsc#1012628).
  - tcp: cdg: allow tcp_cdg_release() to be called multiple times
    (bsc#1012628).
  - kcm: avoid potential race in kcm_tx_work (bsc#1012628).
  - KVM: x86/xen: Fix eventfd error handling in
    kvm_xen_eventfd_assign() (bsc#1012628).
  - 9p: trans_fd/p9_conn_cancel: drop client lock earlier
    (bsc#1012628).
  - gfs2: Check sb_bsize_shift after reading superblock
    (bsc#1012628).
  - gfs2: Switch from strlcpy to strscpy (bsc#1012628).
  - 9p/trans_fd: always use O_NONBLOCK read/write (bsc#1012628).
  - netlink: Bounds-check struct nlmsgerr creation (bsc#1012628).
  - wifi: wext: use flex array destination for memcpy()
    (bsc#1012628).
  - rseq: Use pr_warn_once() when deprecated/unknown ABI flags
    are encountered (bsc#1012628).
  - mm: fs: initialize fsdata passed to write_begin/write_end
    interface (bsc#1012628).
  - net/9p: use a dedicated spinlock for trans_fd (bsc#1012628).
  - bpf: Prevent bpf program recursion for raw tracepoint probes
    (bsc#1012628).
  - ntfs: fix use-after-free in ntfs_attr_find() (bsc#1012628).
  - ntfs: fix out-of-bounds read in ntfs_attr_find() (bsc#1012628).
  - ntfs: check overflow when iterating ATTR_RECORDs (bsc#1012628).
  - commit 582305b
* Thu Nov 24 2022 mbrugger@suse.com
  - scripts/git_sort/git_sort.py: Add arm-soc for-next tree.
  - commit 011aefb
* Wed Nov 23 2022 mbrugger@suse.com
  - arm64: Update config files.
    Enable configs for tegra234 serial console to work.
  - commit 64cc6c4
* Wed Nov 23 2022 dmueller@suse.com
  - config.conf: enable armv6/armv7hl configs
  - armv6/7hl: Update config files.
  - commit 93e7e5c
* Mon Nov 21 2022 mkubecek@suse.cz
  - Update to 6.1-rc6
  - eliminate 1 patch
    - patches.suse/Input-i8042-Apply-probe-defer-to-more-ASUS-ZenBook-m.patch
  - update configs
    - INET_TABLE_PERTURB_ORDER=16 (default, previous value)
  - commit 4c01546
* Thu Nov 17 2022 tiwai@suse.de
  - soundwire: intel: Initialize clock stop timeout (bsc#1205507).
  - commit 699b9c2
* Wed Nov 16 2022 jslaby@suse.cz
  - Update
    patches.kernel.org/6.0.9-191-x86-cpu-Restore-AMD-s-DE_CFG-MSR-after-resume.patch
    (bsc#1012628 bsc#1205473).
    Add a bsc #.
  - commit 3e12b42
* Wed Nov 16 2022 jslaby@suse.cz
  - Linux 6.0.9 (bsc#1012628).
  - thunderbolt: Add DP OUT resource when DP tunnel is discovered
    (bsc#1012628).
  - drm/i915/gvt: Add missing vfio_unregister_group_dev() call
    (bsc#1012628).
  - m68k: Rework BI_VIRT_RNG_SEED as BI_RNG_SEED (bsc#1012628).
  - KVM: debugfs: Return retval of simple_attr_open() if it fails
    (bsc#1012628).
  - drm/i915: Allow more varied alternate fixed modes for panels
    (bsc#1012628).
  - drm/i915: Simplify intel_panel_add_edid_alt_fixed_modes()
    (bsc#1012628).
  - drm/i915/sdvo: Grab mode_config.mutex during LVDS init to
    avoid WARNs (bsc#1012628).
  - drm/amd/display: Acquire FCLK DPM levels on DCN32 (bsc#1012628).
  - drm/amd/display: Limit dcn32 to 1950Mhz display clock
    (bsc#1012628).
  - drm/amd/display: Set memclk levels to be at least 1 for dcn32
    (bsc#1012628).
  - drm/amdkfd: handle CPU fault on COW mapping (bsc#1012628).
  - drm/amdkfd: Fix NULL pointer dereference in svm_migrate_to_ram()
    (bsc#1012628).
  - cxl/region: Recycle region ids (bsc#1012628).
  - HID: wacom: Fix logic used for 3rd barrel switch emulation
    (bsc#1012628).
  - hwspinlock: qcom: correct MMIO max register for newer SoCs
    (bsc#1012628).
  - phy: stm32: fix an error code in probe (bsc#1012628).
  - wifi: cfg80211: silence a sparse RCU warning (bsc#1012628).
  - wifi: cfg80211: fix memory leak in query_regdb_file()
    (bsc#1012628).
  - soundwire: qcom: reinit broadcast completion (bsc#1012628).
  - soundwire: qcom: check for outanding writes before doing a read
    (bsc#1012628).
  - ALSA: arm: pxa: pxa2xx-ac97-lib: fix return value check of
    platform_get_irq() (bsc#1012628).
  - spi: mediatek: Fix package division error (bsc#1012628).
  - bpf, verifier: Fix memory leak in array reallocation for stack
    state (bsc#1012628).
  - bpf, sockmap: Fix the sk->sk_forward_alloc warning of
    sk_stream_kill_queues (bsc#1012628).
  - wifi: mac80211: fix general-protection-fault in
    ieee80211_subif_start_xmit() (bsc#1012628).
  - wifi: mac80211: Set TWT Information Frame Disabled bit as 1
    (bsc#1012628).
  - bpftool: Fix NULL pointer dereference when pin {PROG, MAP,
    LINK} without FILE (bsc#1012628).
  - HID: hyperv: fix possible memory leak in mousevsc_probe()
    (bsc#1012628).
  - drm/vc4: hdmi: Fix HSM clock too low on Pi4 (bsc#1012628).
  - bpf, sock_map: Move cancel_work_sync() out of sock lock
    (bsc#1012628).
  - PCI: hv: Fix the definition of vector in hv_compose_msi_msg()
    (bsc#1012628).
  - bpf: Add helper macro bpf_for_each_reg_in_vstate (bsc#1012628).
  - bpf: Fix wrong reg type conversion in release_reference()
    (bsc#1012628).
  - net: gso: fix panic on frag_list with mixed head alloc types
    (bsc#1012628).
  - macsec: delete new rxsc when offload fails (bsc#1012628).
  - macsec: fix secy->n_rx_sc accounting (bsc#1012628).
  - macsec: fix detection of RXSCs when toggling offloading
    (bsc#1012628).
  - macsec: clear encryption keys from the stack after setting up
    offload (bsc#1012628).
  - octeontx2-pf: NIX TX overwrites SQ_CTX_HW_S[SQ_INT]
    (bsc#1012628).
  - net: tun: Fix memory leaks of napi_get_frags (bsc#1012628).
  - bnxt_en: Fix possible crash in bnxt_hwrm_set_coal()
    (bsc#1012628).
  - bnxt_en: fix potentially incorrect return value for
    ndo_rx_flow_steer (bsc#1012628).
  - net: fman: Unregister ethernet device on removal (bsc#1012628).
  - capabilities: fix undefined behavior in bit shift for
    CAP_TO_MASK (bsc#1012628).
  - phy: ralink: mt7621-pci: add sentinel to quirks table
    (bsc#1012628).
  - KVM: s390: pv: don't allow userspace to set the clock under PV
    (bsc#1012628).
  - KVM: s390: pci: Fix allocation size of aift kzdev elements
    (bsc#1012628).
  - net: lapbether: fix issue of dev reference count leakage in
    lapbeth_device_event() (bsc#1012628).
  - hamradio: fix issue of dev reference count leakage in
    bpq_device_event() (bsc#1012628).
  - net: wwan: iosm: fix memory leak in ipc_wwan_dellink
    (bsc#1012628).
  - net: wwan: mhi: fix memory leak in mhi_mbim_dellink
    (bsc#1012628).
  - drm/vc4: Fix missing platform_unregister_drivers() call in
    vc4_drm_register() (bsc#1012628).
  - tcp: prohibit TCP_REPAIR_OPTIONS if data was already sent
    (bsc#1012628).
  - platform/x86: p2sb: Don't fail if unknown CPU is found
    (bsc#1012628).
  - ipv6: addrlabel: fix infoleak when sending struct ifaddrlblmsg
    to network (bsc#1012628).
  - can: af_can: fix NULL pointer dereference in can_rx_register()
    (bsc#1012628).
  - drm/i915/psr: Send update also on invalidate (bsc#1012628).
  - drm/i915: Do not set cache_dirty for DGFX (bsc#1012628).
  - net: stmmac: dwmac-meson8b: fix
    meson8b_devm_clk_prepare_enable() (bsc#1012628).
  - dt-bindings: net: tsnep: Fix typo on generic nvmem property
    (bsc#1012628).
  - net: broadcom: Fix BCMGENET Kconfig (bsc#1012628).
  - tipc: fix the msg->req tlv len check in
    tipc_nl_compat_name_table_dump_header (bsc#1012628).
  - dmaengine: pxa_dma: use platform_get_irq_optional (bsc#1012628).
  - dmanegine: idxd: reformat opcap output to match bitmap_parse()
    input (bsc#1012628).
  - dmaengine: idxd: Fix max batch size for Intel IAA (bsc#1012628).
  - dmaengine: idxd: fix RO device state error after been
    disabled/reset (bsc#1012628).
  - dmaengine: apple-admac: Fix grabbing of channels in of_xlate
    (bsc#1012628).
  - dmaengine: mv_xor_v2: Fix a resource leak in mv_xor_v2_remove()
    (bsc#1012628).
  - dmaengine: ti: k3-udma-glue: fix memory leak when register
    device fail (bsc#1012628).
  - dmaengine: stm32-dma: fix potential race between pause and
    resume (bsc#1012628).
  - net: lapbether: fix issue of invalid opcode in lapbeth_open()
    (bsc#1012628).
  - net: ethernet: mtk-star-emac: disable napi when connect and
    start PHY failed in mtk_star_enable() (bsc#1012628).
  - octeontx2-pf: Fix SQE threshold checking (bsc#1012628).
  - drivers: net: xgene: disable napi when register irq failed in
    xgene_enet_open() (bsc#1012628).
  - perf stat: Fix crash with --per-node --metric-only in CSV mode
    (bsc#1012628).
  - perf stat: Fix printing os->prefix in CSV metrics output
    (bsc#1012628).
  - perf test: Fix skipping branch stack sampling test
    (bsc#1012628).
  - perf tools: Add the include/perf/ directory to .gitignore
    (bsc#1012628).
  - netfilter: nfnetlink: fix potential dead lock in
    nfnetlink_rcv_msg() (bsc#1012628).
  - netfilter: Cleanup nft_net->module_list from
    nf_tables_exit_net() (bsc#1012628).
  - net: marvell: prestera: fix memory leak in
    prestera_rxtx_switch_init() (bsc#1012628).
  - net: tun: call napi_schedule_prep() to ensure we own a napi
    (bsc#1012628).
  - net: nixge: disable napi when enable interrupts failed in
    nixge_open() (bsc#1012628).
  - net: wwan: iosm: fix memory leak in ipc_pcie_read_bios_cfg
    (bsc#1012628).
  - net: wwan: iosm: fix invalid mux header type (bsc#1012628).
  - net/mlx5: Bridge, verify LAG state when adding bond to bridge
    (bsc#1012628).
  - net/mlx5: Allow async trigger completion execution on single
    CPU systems (bsc#1012628).
  - net/mlx5: E-switch, Set to legacy mode if failed to change
    switchdev mode (bsc#1012628).
  - net/mlx5: fw_reset: Don't try to load device in case PCI isn't
    working (bsc#1012628).
  - net/mlx5e: Add missing sanity checks for max TX WQE size
    (bsc#1012628).
  - net/mlx5e: Fix tc acts array not to be dependent on enum order
    (bsc#1012628).
  - net/mlx5e: TC, Fix wrong rejection of packet-per-second policing
    (bsc#1012628).
  - net/mlx5e: E-Switch, Fix comparing termination table instance
    (bsc#1012628).
  - ice: Fix spurious interrupt during removal of trusted VF
    (bsc#1012628).
  - iavf: Fix VF driver counting VLAN 0 filters (bsc#1012628).
  - net: cpsw: disable napi in cpsw_ndo_open() (bsc#1012628).
  - net: cxgb3_main: disable napi when bind qsets failed in
    cxgb_up() (bsc#1012628).
  - stmmac: intel: Update PCH PTP clock rate from 200MHz to 204.8MHz
    (bsc#1012628).
  - mctp: Fix an error handling path in mctp_init() (bsc#1012628).
  - cxgb4vf: shut down the adapter when t4vf_update_port_info()
    failed in cxgb4vf_open() (bsc#1012628).
  - stmmac: dwmac-loongson: fix missing pci_disable_msi() while
    module exiting (bsc#1012628).
  - stmmac: dwmac-loongson: fix missing pci_disable_device()
    in loongson_dwmac_probe() (bsc#1012628).
  - stmmac: dwmac-loongson: fix missing of_node_put() while module
    exiting (bsc#1012628).
  - net: phy: mscc: macsec: clear encryption keys when freeing a
    flow (bsc#1012628).
  - net: atlantic: macsec: clear encryption keys from the stack
    (bsc#1012628).
  - ethernet: s2io: disable napi when start nic failed in
    s2io_card_up() (bsc#1012628).
  - net: mv643xx_eth: disable napi when init rxq or txq failed in
    mv643xx_eth_open() (bsc#1012628).
  - ALSA: memalloc: Don't fall back for SG-buffer with IOMMU
    (bsc#1012628).
  - ethernet: tundra: free irq when alloc ring failed in
    tsi108_open() (bsc#1012628).
  - net: macvlan: fix memory leaks of macvlan_common_newlink
    (bsc#1012628).
  - riscv: process: fix kernel info leakage (bsc#1012628).
  - riscv: vdso: fix build with llvm (bsc#1012628).
  - riscv: fix reserved memory setup (bsc#1012628).
  - eth: sp7021: drop free_netdev() from spl2sw_init_netdev()
    (bsc#1012628).
  - arm64: efi: Fix handling of misaligned runtime regions and
    drop warning (bsc#1012628).
  - MIPS: jump_label: Fix compat branch range check (bsc#1012628).
  - drm/amdgpu: Fix the lpfn checking condition in drm buddy
    (bsc#1012628).
  - mmc: cqhci: Provide helper for resetting both SDHCI and CQHCI
    (bsc#1012628).
  - mmc: sdhci-of-arasan: Fix SDHCI_RESET_ALL for CQHCI
    (bsc#1012628).
  - mmc: sdhci-brcmstb: Fix SDHCI_RESET_ALL for CQHCI (bsc#1012628).
  - mmc: sdhci_am654: Fix SDHCI_RESET_ALL for CQHCI (bsc#1012628).
  - mmc: sdhci-tegra: Fix SDHCI_RESET_ALL for CQHCI (bsc#1012628).
  - mmc: sdhci-esdhc-imx: use the correct host caps for
    MMC_CAP_8_BIT_DATA (bsc#1012628).
  - ALSA: hda/hdmi - enable runtime pm for more AMD display audio
    (bsc#1012628).
  - ALSA: hda/ca0132: add quirk for EVGA Z390 DARK (bsc#1012628).
  - ALSA: hda: fix potential memleak in 'add_widget_node'
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for ASUS Zenbook using CS35L41
    (bsc#1012628).
  - ALSA: hda/realtek: Add Positivo C6300 model quirk (bsc#1012628).
  - ALSA: usb-audio: Add quirk entry for M-Audio Micro
    (bsc#1012628).
  - ALSA: usb-audio: Add DSD support for Accuphase DAC-60
    (bsc#1012628).
  - vmlinux.lds.h: Fix placement of '.data..decrypted' section
    (bsc#1012628).
  - ata: libata-scsi: fix SYNCHRONIZE CACHE (16) command failure
    (bsc#1012628).
  - nilfs2: fix deadlock in nilfs_count_free_blocks() (bsc#1012628).
  - nilfs2: fix use-after-free bug of ns_writer on remount
    (bsc#1012628).
  - drm/i915/dmabuf: fix sg_table handling in map_dma_buf
    (bsc#1012628).
  - drm/amd/display: Fix reg timeout in enc314_enable_fifo
    (bsc#1012628).
  - drm/amd/pm: update SMU IP v13.0.4 msg interface header
    (bsc#1012628).
  - drm/amd/display: Update SR watermarks for DCN314 (bsc#1012628).
  - drm/amdgpu: workaround for TLB seq race (bsc#1012628).
  - drm/amdgpu: disable BACO on special BEIGE_GOBY card
    (bsc#1012628).
  - drm/amdkfd: Fix error handling in criu_checkpoint (bsc#1012628).
  - drm/amdkfd: Fix error handling in kfd_criu_restore_events
    (bsc#1012628).
  - platform/x86: hp_wmi: Fix rfkill causing soft blocked wifi
    (bsc#1012628).
  - wifi: ath11k: avoid deadlock during regulatory update in
    ath11k_regd_update() (bsc#1012628).
  - btrfs: fix match incorrectly in dev_args_match_device
    (bsc#1012628).
  - btrfs: selftests: fix wrong error check in
    btrfs_free_dummy_root() (bsc#1012628).
  - btrfs: zoned: clone zoned device info when cloning a device
    (bsc#1012628).
  - btrfs: zoned: initialize device's zone info for seeding
    (bsc#1012628).
  - io_uring: check for rollover of buffer ID when providing buffers
    (bsc#1012628).
  - phy: qcom-qmp-combo: fix NULL-deref on runtime resume
    (bsc#1012628).
  - net: ethernet: ti: am65-cpsw: Fix segmentation fault at module
    unload (bsc#1012628).
  - mms: sdhci-esdhc-imx: Fix SDHCI_RESET_ALL for CQHCI
    (bsc#1012628).
  - udf: Fix a slab-out-of-bounds write bug in udf_find_entry()
    (bsc#1012628).
  - spi: intel: Use correct mask for flash and protected regions
    (bsc#1012628).
  - arch/x86/mm/hugetlbpage.c: pud_huge() returns 0 when using
    2-level paging (bsc#1012628).
  - mm: hugetlb_vmemmap: include missing linux/moduleparam.h
    (bsc#1012628).
  - dmaengine: idxd: Do not enable user type Work Queue without
    Shared Virtual Addressing (bsc#1012628).
  - mm/damon/dbgfs: check if rm_contexts input is for a real context
    (bsc#1012628).
  - mm/memremap.c: map FS_DAX device memory as decrypted
    (bsc#1012628).
  - mm/shmem: use page_mapping() to detect page cache for uffd
    continue (bsc#1012628).
  - can: j1939: j1939_send_one(): fix missing CAN header
    initialization (bsc#1012628).
  - can: isotp: fix tx state handling for echo tx processing
    (bsc#1012628).
  - can: rcar_canfd: Add missing ECC error checks for channels 2-7
    (bsc#1012628).
  - KVM: x86/mmu: Block all page faults during kvm_zap_gfn_range()
    (bsc#1012628).
  - KVM: x86/pmu: Do not speculatively query Intel GP PMCs that
    don't exist yet (bsc#1012628).
  - KVM: x86: use a separate asm-offsets.c file (bsc#1012628).
  - KVM: SVM: replace regs argument of __svm_vcpu_run() with
    vcpu_svm (bsc#1012628).
  - KVM: SVM: adjust register allocation for __svm_vcpu_run()
    (bsc#1012628).
  - KVM: SVM: Only dump VMSA to klog at KERN_DEBUG level
    (bsc#1012628).
  - KVM: SVM: retrieve VMCB from assembly (bsc#1012628).
  - KVM: SVM: move guest vmsave/vmload back to assembly
    (bsc#1012628).
  - can: dev: fix skb drop check (bsc#1012628).
  - dmaengine: at_hdmac: Fix at_lli struct definition (bsc#1012628).
  - dmaengine: at_hdmac: Don't start transactions at tx_submit level
    (bsc#1012628).
  - dmaengine: at_hdmac: Start transfer for cyclic channels in
    issue_pending (bsc#1012628).
  - dmaengine: at_hdmac: Fix premature completion of desc in
    issue_pending (bsc#1012628).
  - dmaengine: at_hdmac: Do not call the complete callback on
    device_terminate_all (bsc#1012628).
  - dmaengine: at_hdmac: Protect atchan->status with the channel
    lock (bsc#1012628).
  - dmaengine: at_hdmac: Fix concurrency problems by removing
    atc_complete_all() (bsc#1012628).
  - dmaengine: at_hdmac: Fix concurrency over descriptor
    (bsc#1012628).
  - dmaengine: at_hdmac: Free the memset buf without holding the
    chan lock (bsc#1012628).
  - dmaengine: at_hdmac: Fix concurrency over the active list
    (bsc#1012628).
  - dmaengine: at_hdmac: Fix descriptor handling when issuing it
    to hardware (bsc#1012628).
  - dmaengine: at_hdmac: Fix completion of unissued descriptor in
    case of errors (bsc#1012628).
  - dmaengine: at_hdmac: Don't allow CPU to reorder channel enable
    (bsc#1012628).
  - dmaengine: at_hdmac: Fix impossible condition (bsc#1012628).
  - dmaengine: at_hdmac: Check return code of
    dma_async_device_register (bsc#1012628).
  - drm/amdkfd: Migrate in CPU page fault use current mm
    (bsc#1012628).
  - ALSA: memalloc: Try dma_alloc_noncontiguous() at first
    (bsc#1012628).
  - x86/cpu: Restore AMD's DE_CFG MSR after resume (bsc#1012628).
  - commit 9c9388f
* Tue Nov 15 2022 tiwai@suse.de
  - ALSA: hda/realtek: Fix the speaker output on Samsung Galaxy
    Book Pro 360 (bsc#1205100).
  - ALSA: hda/realtek: fix speakers for Samsung Galaxy Book Pro
    (bsc#1205100).
  - commit 218191a
* Tue Nov 15 2022 msuchanek@suse.de
  - Update config files (bsc#1205447).
    INTEGRITY_MACHINE_KEYRING=y
    IMA_KEYRINGS_PERMIT_SIGNED_BY_BUILTIN_OR_SECONDARY=n
  - commit bbfbe90
* Mon Nov 14 2022 mkubecek@suse.cz
  - Update to 6.1-rc5
  - update configs
    - CONFIG_DRM_RCAR_USE_MIPI_DSI=n (y on arm64, like DRM_RCAR_MIPI_DSI)
    - IOSM=n (except x86)
    - TEST_MAPLE_TREE=n
    - s390x/zfcpdump: RANDOMIZE_BASE=n
  - commit 4b98107
* Fri Nov 11 2022 jslaby@suse.cz
  - Linux 6.0.8 (bsc#1012628).
  - usb: dwc3: gadget: Force sending delayed status during soft
    disconnect (bsc#1012628).
  - usb: dwc3: gadget: Don't delay End Transfer on delayed_status
    (bsc#1012628).
  - RDMA/cma: Use output interface for net_dev check (bsc#1012628).
  - IB/hfi1: Correctly move list in sc_disable() (bsc#1012628).
  - RDMA/hns: Disable local invalidate operation (bsc#1012628).
  - RDMA/hns: Fix NULL pointer problem in free_mr_init()
    (bsc#1012628).
  - docs/process/howto: Replace C89 with C11 (bsc#1012628).
  - RDMA/rxe: Fix mr leak in RESPST_ERR_RNR (bsc#1012628).
  - NFSv4: Fix a potential state reclaim deadlock (bsc#1012628).
  - NFSv4.1: Handle RECLAIM_COMPLETE trunking errors (bsc#1012628).
  - NFSv4.1: We must always send RECLAIM_COMPLETE after a reboot
    (bsc#1012628).
  - SUNRPC: Fix null-ptr-deref when xps sysfs alloc failed
    (bsc#1012628).
  - NFSv4.2: Fixup CLONE dest file size for zero-length count
    (bsc#1012628).
  - nfs4: Fix kmemleak when allocate slot failed (bsc#1012628).
  - net: dsa: Fix possible memory leaks in dsa_loop_init()
    (bsc#1012628).
  - RDMA/core: Fix null-ptr-deref in ib_core_cleanup()
    (bsc#1012628).
  - RDMA/qedr: clean up work queue on failure in
    qedr_alloc_resources() (bsc#1012628).
  - tools/nolibc: Fix missing strlen() definition and infinite
    loop with gcc-12 (bsc#1012628).
  - net: dsa: fall back to default tagger if we can't load the
    one from DT (bsc#1012628).
  - nfc: fdp: Fix potential memory leak in fdp_nci_send()
    (bsc#1012628).
  - nfc: nxp-nci: Fix potential memory leak in nxp_nci_send()
    (bsc#1012628).
  - nfc: s3fwrn5: Fix potential memory leak in s3fwrn5_nci_send()
    (bsc#1012628).
  - nfc: nfcmrvl: Fix potential memory leak in
    nfcmrvl_i2c_nci_send() (bsc#1012628).
  - net: fec: fix improper use of NETDEV_TX_BUSY (bsc#1012628).
  - ata: pata_legacy: fix pdc20230_set_piomode() (bsc#1012628).
  - ata: palmld: fix return value check in palmld_pata_probe()
    (bsc#1012628).
  - net: sched: Fix use after free in red_enqueue() (bsc#1012628).
  - net: tun: fix bugs for oversize packet when napi frags enabled
    (bsc#1012628).
  - netfilter: nf_tables: netlink notifier might race to release
    objects (bsc#1012628).
  - netfilter: nf_tables: release flow rule object from commit path
    (bsc#1012628).
  - sfc: Fix an error handling path in efx_pci_probe()
    (bsc#1012628).
  - nfsd: fix nfsd_file_unhash_and_dispose (bsc#1012628).
  - nfsd: fix net-namespace logic in __nfsd_file_cache_purge
    (bsc#1012628).
  - net: lan966x: Fix the MTU calculation (bsc#1012628).
  - net: lan966x: Adjust maximum frame size when vlan is
    enabled/disabled (bsc#1012628).
  - net: lan966x: Fix FDMA when MTU is changed (bsc#1012628).
  - net: lan966x: Fix unmapping of received frames using FDMA
    (bsc#1012628).
  - ipvs: use explicitly signed chars (bsc#1012628).
  - ipvs: fix WARNING in __ip_vs_cleanup_batch() (bsc#1012628).
  - ipvs: fix WARNING in ip_vs_app_net_cleanup() (bsc#1012628).
  - rose: Fix NULL pointer dereference in rose_send_frame()
    (bsc#1012628).
  - mISDN: fix possible memory leak in mISDN_register_device()
    (bsc#1012628).
  - isdn: mISDN: netjet: fix wrong check of device registration
    (bsc#1012628).
  - btrfs: fix inode list leak during backref walking at
    resolve_indirect_refs() (bsc#1012628).
  - btrfs: fix inode list leak during backref walking at
    find_parent_nodes() (bsc#1012628).
  - btrfs: fix ulist leaks in error paths of qgroup self tests
    (bsc#1012628).
  - netfilter: ipset: enforce documented limit to prevent allocating
    huge memory (bsc#1012628).
  - Bluetooth: L2CAP: Fix use-after-free caused by
    l2cap_reassemble_sdu (bsc#1012628).
  - Bluetooth: hci_conn: Fix CIS connection dst_type handling
    (bsc#1012628).
  - Bluetooth: virtio_bt: Use skb_put to set length (bsc#1012628).
  - Bluetooth: L2CAP: Fix memory leak in vhci_write (bsc#1012628).
  - Bluetooth: hci_conn: Fix not restoring ISO buffer count on
    disconnect (bsc#1012628).
  - net: mdio: fix undefined behavior in bit shift for
    __mdiobus_register (bsc#1012628).
  - ibmvnic: Free rwi on reset success (bsc#1012628).
  - stmmac: dwmac-loongson: fix invalid mdio_node (bsc#1012628).
  - net/smc: Fix possible leaked pernet namespace in smc_init()
    (bsc#1012628).
  - net, neigh: Fix null-ptr-deref in neigh_table_clear()
    (bsc#1012628).
  - bridge: Fix flushing of dynamic FDB entries (bsc#1012628).
  - ipv6: fix WARNING in ip6_route_net_exit_late() (bsc#1012628).
  - vsock: fix possible infinite sleep in
    vsock_connectible_wait_data() (bsc#1012628).
  - iio: adc: stm32-adc: fix channel sampling time init
    (bsc#1012628).
  - media: rkisp1: Fix source pad format configuration
    (bsc#1012628).
  - media: rkisp1: Don't pass the quantization to
    rkisp1_csm_config() (bsc#1012628).
  - media: rkisp1: Initialize color space on resizer sink and
    source pads (bsc#1012628).
  - media: rkisp1: Use correct macro for gradient registers
    (bsc#1012628).
  - media: rkisp1: Zero v4l2_subdev_format fields in when validating
    links (bsc#1012628).
  - media: s5p_cec: limit msg.len to CEC_MAX_MSG_SIZE (bsc#1012628).
  - media: cros-ec-cec: limit msg.len to CEC_MAX_MSG_SIZE
    (bsc#1012628).
  - media: dvb-frontends/drxk: initialize err to 0 (bsc#1012628).
  - media: platform: cros-ec: Add Kuldax to the match table
    (bsc#1012628).
  - media: meson: vdec: fix possible refcount leak in vdec_probe()
    (bsc#1012628).
  - media: hantro: Store HEVC bit depth in context (bsc#1012628).
  - media: hantro: HEVC: Fix auxilary buffer size calculation
    (bsc#1012628).
  - media: hantro: HEVC: Fix chroma offset computation
    (bsc#1012628).
  - media: v4l: subdev: Fail graciously when getting try data for
    NULL state (bsc#1012628).
  - drm/vc4: hdmi: Check the HSM rate at runtime_resume
    (bsc#1012628).
  - ACPI: APEI: Fix integer overflow in ghes_estatus_pool_init()
    (bsc#1012628).
  - hwrng: bcm2835 - use hwrng_msleep() instead of cpu_relax()
    (bsc#1012628).
  - io_uring: don't iopoll from io_ring_ctx_wait_and_kill()
    (bsc#1012628).
  - scsi: core: Restrict legal sdev_state transitions via sysfs
    (bsc#1012628).
  - HID: saitek: add madcatz variant of MMO7 mouse device ID
    (bsc#1012628).
  - drm/amdgpu: set vm_update_mode=0 as default for Sienna Cichlid
    in SRIOV case (bsc#1012628).
  - drm/amd/pm: skip loading pptable from driver on secure board
    for smu_v13_0_10 (bsc#1012628).
  - drm/amdkfd: Fix type of reset_type parameter in hqd_destroy()
    callback (bsc#1012628).
  - drm/amdgpu: Program GC registers through RLCG interface in
    gfx_v11/gmc_v11 (bsc#1012628).
  - drm/amdgpu: dequeue mes scheduler during fini (bsc#1012628).
  - nvme-pci: disable write zeroes on various Kingston SSD
    (bsc#1012628).
  - i2c: xiic: Add platform module alias (bsc#1012628).
  - bio: safeguard REQ_ALLOC_CACHE bio put (bsc#1012628).
  - clk: rs9: Fix I2C accessors (bsc#1012628).
  - arm64: dts: imx8mm: Enable CPLD_Dn pull down resistor on
    MX8Menlo (bsc#1012628).
  - efi/tpm: Pass correct address to memblock_reserve (bsc#1012628).
  - clk: renesas: r8a779g0: Fix HSCIF parent clocks (bsc#1012628).
  - clk: qcom: Update the force mem core bit for GPU clocks
    (bsc#1012628).
  - arm64: dts: verdin-imx8mp: fix ctrl_sleep_moci (bsc#1012628).
  - arm64: dts: imx8mm: remove otg1/2 power domain dependency on
    hsio (bsc#1012628).
  - arm64: dts: imx8mm: correct usb power domains (bsc#1012628).
  - arm64: dts: imx8mn: remove otg1 power domain dependency on hsio
    (bsc#1012628).
  - arm64: dts: imx8mn: Correct the usb power domain (bsc#1012628).
  - ARM: dts: imx6qdl-gw59{10,13}: fix user pushbutton GPIO offset
    (bsc#1012628).
  - arm64: dts: imx8: correct clock order (bsc#1012628).
  - arm64: dts: imx93: add gpio clk (bsc#1012628).
  - arm64: dts: imx93: correct gpio-ranges (bsc#1012628).
  - arm64: dts: lx2160a: specify clock frequencies for the MDIO
    controllers (bsc#1012628).
  - arm64: dts: ls1088a: specify clock frequencies for the MDIO
    controllers (bsc#1012628).
  - arm64: dts: ls208xa: specify clock frequencies for the MDIO
    controllers (bsc#1012628).
  - drm/rockchip: dw_hdmi: filter regulator -EPROBE_DEFER error
    messages (bsc#1012628).
  - drm/rockchip: fix fbdev on non-IOMMU devices (bsc#1012628).
  - drm/i915: stop abusing swiotlb_max_segment (bsc#1012628).
  - ublk_drv: return flag of UBLK_F_URING_CMD_COMP_IN_TASK in case
    of module (bsc#1012628).
  - block: Fix possible memory leak for rq_wb on add_disk failure
    (bsc#1012628).
  - blk-mq: Fix kmemleak in blk_mq_init_allocated_queue
    (bsc#1012628).
  - ARM: dts: ux500: Add trips to battery thermal zones
    (bsc#1012628).
  - firmware: arm_scmi: Suppress the driver's bind attributes
    (bsc#1012628).
  - firmware: arm_scmi: Make Rx chan_setup fail on memory errors
    (bsc#1012628).
  - firmware: arm_scmi: Fix devres allocation device in virtio
    transport (bsc#1012628).
  - firmware: arm_scmi: Fix deferred_tx_wq release on error paths
    (bsc#1012628).
  - arm64: dts: juno: Add thermal critical trip points
    (bsc#1012628).
  - i2c: piix4: Fix adapter not be removed in piix4_remove()
    (bsc#1012628).
  - Bluetooth: L2CAP: Fix accepting connection request for invalid
    SPSM (bsc#1012628).
  - Bluetooth: L2CAP: Fix attempting to access uninitialized memory
    (bsc#1012628).
  - fscrypt: stop using keyrings subsystem for fscrypt_master_key
    (bsc#1012628).
  - fscrypt: fix keyring memory leak on mount failure (bsc#1012628).
  - clk: renesas: r8a779g0: Add SASYNCPER clocks (bsc#1012628).
  - btrfs: fix lost file sync on direct IO write with nowait and
    dsync iocb (bsc#1012628).
  - btrfs: fix tree mod log mishandling of reallocated nodes
    (bsc#1012628).
  - btrfs: fix type of parameter generation in btrfs_get_dentry
    (bsc#1012628).
  - btrfs: don't use btrfs_chunk::sub_stripes from disk
    (bsc#1012628).
  - btrfs: fix a memory allocation failure test in
    btrfs_submit_direct (bsc#1012628).
  - ACPI: NUMA: Add CXL CFMWS 'nodes' to the possible nodes set
    (bsc#1012628).
  - cxl/pmem: Fix cxl_pmem_region and cxl_memdev leak (bsc#1012628).
  - cxl/region: Fix decoder allocation crash (bsc#1012628).
  - cxl/region: Fix region HPA ordering validation (bsc#1012628).
  - cxl/region: Fix cxl_region leak, cleanup targets at region
    delete (bsc#1012628).
  - cxl/region: Fix 'distance' calculation with passthrough ports
    (bsc#1012628).
  - ftrace: Fix use-after-free for dynamic ftrace_ops (bsc#1012628).
  - tracing/fprobe: Fix to check whether fprobe is registered
    correctly (bsc#1012628).
  - fprobe: Check rethook_alloc() return in rethook initialization
    (bsc#1012628).
  - tracing: kprobe: Fix memory leak in
    test_gen_kprobe/kretprobe_cmd() (bsc#1012628).
  - kprobe: reverse kp->flags when arm_kprobe failed (bsc#1012628).
  - tools/nolibc/string: Fix memcmp() implementation (bsc#1012628).
  - tracing/histogram: Update document for KEYS_MAX size
    (bsc#1012628).
  - capabilities: fix potential memleak on error path from
    vfs_getxattr_alloc() (bsc#1012628).
  - fuse: add file_modified() to fallocate (bsc#1012628).
  - fuse: fix readdir cache race (bsc#1012628).
  - selftests/landlock: Build without static libraries
    (bsc#1012628).
  - efi: random: reduce seed size to 32 bytes (bsc#1012628).
  - efi: random: Use 'ACPI reclaim' memory for random seed
    (bsc#1012628).
  - efi: efivars: Fix variable writes with unsupported
    query_variable_store() (bsc#1012628).
  - net/ulp: remove SOCK_SUPPORT_ZC from tls sockets (bsc#1012628).
  - arm64: entry: avoid kprobe recursion (bsc#1012628).
  - ARM: dts: imx6dl-yapp4: Do not allow PM to switch PU regulator
    off on Q/QP (bsc#1012628).
  - perf/x86/intel: Fix pebs event constraints for ICL
    (bsc#1012628).
  - perf/x86/intel: Add Cooper Lake stepping to isolation_ucodes[]
    (bsc#1012628).
  - perf/x86/intel: Fix pebs event constraints for SPR
    (bsc#1012628).
  - net: remove SOCK_SUPPORT_ZC from sockmap (bsc#1012628).
  - net: also flag accepted sockets supporting msghdr originated
    zerocopy (bsc#1012628).
  - parisc: Make 8250_gsc driver dependend on CONFIG_PARISC
    (bsc#1012628).
  - parisc: Export iosapic_serial_irq() symbol for serial port
    driver (bsc#1012628).
  - parisc: Avoid printing the hardware path twice (bsc#1012628).
  - ext4: fix warning in 'ext4_da_release_space' (bsc#1012628).
  - ext4: fix BUG_ON() when directory entry has invalid rec_len
    (bsc#1012628).
  - ext4: update the backup superblock's at the end of the online
    resize (bsc#1012628).
  - x86/tdx: Prepare for using "INFO" call for a second purpose
    (bsc#1012628).
  - x86/tdx: Panic on bad configs that #VE on "private" memory
    access (bsc#1012628).
  - x86/syscall: Include asm/ptrace.h in syscall_wrapper header
    (bsc#1012628).
  - KVM: x86: Mask off reserved bits in CPUID.80000006H
    (bsc#1012628).
  - KVM: x86: Mask off reserved bits in CPUID.8000001AH
    (bsc#1012628).
  - KVM: x86: Mask off reserved bits in CPUID.80000008H
    (bsc#1012628).
  - KVM: x86: Mask off reserved bits in CPUID.80000001H
    (bsc#1012628).
  - KVM: x86: Mask off reserved bits in CPUID.8000001FH
    (bsc#1012628).
  - KVM: VMX: Advertise PMU LBRs if and only if perf supports LBRs
    (bsc#1012628).
  - KVM: VMX: Fold vmx_supported_debugctl() into
    vcpu_supported_debugctl() (bsc#1012628).
  - KVM: VMX: Ignore guest CPUID for host userspace writes to
    DEBUGCTL (bsc#1012628).
  - KVM: VMX: fully disable SGX if SECONDARY_EXEC_ENCLS_EXITING
    unavailable (bsc#1012628).
  - KVM: Initialize gfn_to_pfn_cache locks in dedicated helper
    (bsc#1012628).
  - KVM: Reject attempts to consume or refresh inactive
    gfn_to_pfn_cache (bsc#1012628).
  - KVM: arm64: Fix bad dereference on MTE-enabled systems
    (bsc#1012628).
  - KVM: arm64: Fix SMPRI_EL1/TPIDR2_EL0 trapping on VHE
    (bsc#1012628).
  - KVM: x86: smm: number of GPRs in the SMRAM image depends on
    the image format (bsc#1012628).
  - KVM: x86: emulator: em_sysexit should update ctxt->mode
    (bsc#1012628).
  - KVM: x86: emulator: update the emulation mode after CR0 write
    (bsc#1012628).
  - ext4,f2fs: fix readahead of verity data (bsc#1012628).
  - cifs: fix regression in very old smb1 mounts (bsc#1012628).
  - drm/rockchip: dsi: Clean up 'usage_mode' when failing to attach
    (bsc#1012628).
  - drm/rockchip: dsi: Force synchronous probe (bsc#1012628).
  - drm/amdgpu: disable GFXOFF during compute for GFX11
    (bsc#1012628).
  - drm/amd/display: Update latencies on DCN321 (bsc#1012628).
  - drm/amd/display: Update DSC capabilitie for DCN314
    (bsc#1012628).
  - drm/i915/sdvo: Filter out invalid outputs more sensibly
    (bsc#1012628).
  - drm/i915/sdvo: Setup DDC fully before output init (bsc#1012628).
  - commit 1579d93
* Thu Nov 10 2022 tzimmermann@suse.de
  - Disable sysfb before creating simple-framebuffer (bsc#1204315)
  - commit 85b6c0f
* Wed Nov 09 2022 jslaby@suse.cz
  - Refresh
    patches.suse/Bluetooth-L2CAP-fix-use-after-free-in-l2cap_conn_del.patch.
    Update upstream status.
  - commit 9a7c768
* Wed Nov 09 2022 tiwai@suse.de
  - Delete synaptics touchpad workaround patch (bsc#1194086)
    This was confirmed to be superfluous now
  - commit 4ff425d
* Wed Nov 09 2022 jlee@suse.com
  - Update config files for enabling CONFIG_SECONDARY_TRUSTED_KEYRING
    In some architectures, e.g. ppc64, riscv64, x86_64, we have enabled the
    CONFIG_SECONDARY_TRUSTED_KEYRING and children kernel config. But we didn't
    enable it in other architectures.
    In the future, the CONFIG_SECONDARY_TRUSTED_KEYRING will be used with
    IMA in different architectures. So let's enable it in Tumbleweed in
    all architectures to align with SLE/Leap. Then user can use it for
    preparing IMA functions with secondary trusted keyring. (bsc#1203739)
  - commit 86a9f2f
* Tue Nov 08 2022 tiwai@suse.de
  - Move upstreamed tracing patch into sorted section
  - commit de51707
* Tue Nov 08 2022 tiwai@suse.de
  - ALSA: usb-audio: Remove redundant workaround for Roland quirk
    (bsc#1205111).
  - ALSA: usb-audio: Yet more regression for for the delayed card
    registration (bsc#1205111).
  - commit 0d318d5
* Tue Nov 08 2022 jslaby@suse.cz
  - rpm/check-for-config-changes: add TOOLCHAIN_HAS_* to IGNORED_CONFIGS_RE
    This new form was added in commit b8c86872d1dc (riscv: fix detection of
    toolchain Zicbom support).
  - commit e9f2ba6
* Mon Nov 07 2022 ludwig.nussel@suse.de
  - Add suse-kernel-rpm-scriptlets to kmp buildreqs (boo#1205149)
  - commit 888e01e
* Mon Nov 07 2022 mkubecek@suse.cz
  - Update to 6.1-rc4
  - commit 3056fb1
* Fri Nov 04 2022 jslaby@suse.cz
  - Update config files.
  - commit bd8c959
* Fri Nov 04 2022 jslaby@suse.cz
  - Linux 6.0.7 (bsc#1012628).
  - platform/x86/amd: pmc: remove CONFIG_DEBUG_FS checks
    (bsc#1012628).
  - can: j1939: transport: j1939_session_skb_drop_old():
    spin_unlock_irqrestore() before kfree_skb() (bsc#1012628).
  - can: kvaser_usb: Fix possible completions during init_completion
    (bsc#1012628).
  - can: rcar_canfd: rcar_canfd_handle_global_receive(): fix IRQ
    storm on global FIFO receive (bsc#1012628).
  - can: rcar_canfd: fix channel specific IRQ handling for RZ/G2L
    (bsc#1012628).
  - ALSA: Use del_timer_sync() before freeing timer (bsc#1012628).
  - ALSA: usb-audio: Add quirks for M-Audio Fast Track C400/600
    (bsc#1012628).
  - ALSA: control: add snd_ctl_rename() (bsc#1012628).
  - ALSA: hda/realtek: Use snd_ctl_rename() to rename a control
    (bsc#1012628).
  - ALSA: emu10k1: Use snd_ctl_rename() to rename a control
    (bsc#1012628).
  - ALSA: ac97: Use snd_ctl_rename() to rename a control
    (bsc#1012628).
  - ALSA: usb-audio: Use snd_ctl_rename() to rename a control
    (bsc#1012628).
  - ALSA: ca0106: Use snd_ctl_rename() to rename a control
    (bsc#1012628).
  - ALSA: au88x0: use explicitly signed char (bsc#1012628).
  - ALSA: rme9652: use explicitly signed char (bsc#1012628).
  - USB: add RESET_RESUME quirk for NVIDIA Jetson devices in RCM
    (bsc#1012628).
  - usb: gadget: uvc: limit isoc_sg to super speed gadgets
    (bsc#1012628).
  - Revert "usb: gadget: uvc: limit isoc_sg to super speed gadgets"
    (bsc#1012628).
  - usb: gadget: uvc: fix dropped frame after missed isoc
    (bsc#1012628).
  - usb: gadget: uvc: fix sg handling in error case (bsc#1012628).
  - usb: gadget: uvc: fix sg handling during video encode
    (bsc#1012628).
  - usb: gadget: aspeed: Fix probe regression (bsc#1012628).
  - usb: dwc3: gadget: Stop processing more requests on IMI
    (bsc#1012628).
  - usb: dwc3: gadget: Don't set IMI for no_interrupt (bsc#1012628).
  - usb: dwc3: gadget: Force sending delayed status during soft
    disconnect (bsc#1012628).
  - usb: dwc3: gadget: Don't delay End Transfer on delayed_status
    (bsc#1012628).
  - usb: typec: ucsi: Check the connection on resume (bsc#1012628).
  - usb: typec: ucsi: acpi: Implement resume callback (bsc#1012628).
  - usb: dwc3: st: Rely on child's compatible instead of name
    (bsc#1012628).
  - usb: dwc3: Don't switch OTG -> peripheral if extcon is present
    (bsc#1012628).
  - usb: bdc: change state when port disconnected (bsc#1012628).
  - usb: xhci: add XHCI_SPURIOUS_SUCCESS to ASM1042 despite being
    a V0.96 controller (bsc#1012628).
  - mtd: rawnand: tegra: Fix PM disable depth imbalance in probe
    (bsc#1012628).
  - mtd: spi-nor: core: Ignore -ENOTSUPP in spi_nor_init()
    (bsc#1012628).
  - mtd: parsers: bcm47xxpart: Fix halfblock reads (bsc#1012628).
  - mtd: rawnand: marvell: Use correct logic for nand-keep-config
    (bsc#1012628).
  - squashfs: fix read regression introduced in readahead code
    (bsc#1012628).
  - squashfs: fix extending readahead beyond end of file
    (bsc#1012628).
  - squashfs: fix buffer release race condition in readahead code
    (bsc#1012628).
  - xhci: Add quirk to reset host back to default state at shutdown
    (bsc#1012628).
  - xhci-pci: Set runtime PM as default policy on all xHC 1.2 or
    later devices (bsc#1012628).
  - xhci: Remove device endpoints from bandwidth list when freeing
    the device (bsc#1012628).
  - tools: iio: iio_utils: fix digit calculation (bsc#1012628).
  - iio: light: tsl2583: Fix module unloading (bsc#1012628).
  - iio: temperature: ltc2983: allocate iio channels once
    (bsc#1012628).
  - iio: adxl372: Fix unsafe buffer attributes (bsc#1012628).
  - iio: adxl367: Fix unsafe buffer attributes (bsc#1012628).
  - fbdev: stifb: Fall back to cfb_fillrect() on 32-bit HCRX cards
    (bsc#1012628).
  - fbdev: smscufx: Fix several use-after-free bugs (bsc#1012628).
  - cpufreq: intel_pstate: Read all MSRs on the target CPU
    (bsc#1012628).
  - cpufreq: intel_pstate: hybrid: Use known scaling factor for
    P-cores (bsc#1012628).
  - fs/binfmt_elf: Fix memory leak in load_elf_binary()
    (bsc#1012628).
  - exec: Copy oldsighand->action under spin-lock (bsc#1012628).
  - mac802154: Fix LQI recording (bsc#1012628).
  - scsi: qla2xxx: Use transport-defined speed mask for
    supported_speeds (bsc#1012628).
  - drm/i915: Extend Wa_1607297627 to Alderlake-P (bsc#1012628).
  - drm/amdgpu: Remove ATC L2 access for MMHUB 2.1.x (bsc#1012628).
  - drm/amdgpu: disallow gfxoff until GC IP blocks complete s2idle
    resume (bsc#1012628).
  - drm/amdgpu: fix pstate setting issue (bsc#1012628).
  - drm/amd/display: Revert logic for plane modifiers (bsc#1012628).
  - drm/amdkfd: update gfx1037 Lx cache setting (bsc#1012628).
  - drm/amdkfd: correct the cache info for gfx1036 (bsc#1012628).
  - drm/msm: fix use-after-free on probe deferral (bsc#1012628).
  - drm/msm/dsi: fix memory corruption with too many bridges
    (bsc#1012628).
  - drm/msm/hdmi: fix memory corruption with too many bridges
    (bsc#1012628).
  - drm/msm/hdmi: fix IRQ lifetime (bsc#1012628).
  - drm/msm/dp: fix memory corruption with too many bridges
    (bsc#1012628).
  - drm/msm/dp: fix aux-bus EP lifetime (bsc#1012628).
  - drm/msm/dp: fix IRQ lifetime (bsc#1012628).
  - drm/msm/dp: fix bridge lifetime (bsc#1012628).
  - crypto: x86/polyval - Fix crashes when keys are not 16-byte
    aligned (bsc#1012628).
  - random: use arch_get_random*_early() in random_init()
    (bsc#1012628).
  - coresight: cti: Fix hang in cti_disable_hw() (bsc#1012628).
  - mmc: sdhci_am654: 'select', not 'depends' REGMAP_MMIO
    (bsc#1012628).
  - mmc: block: Remove error check of hw_reset on reset
    (bsc#1012628).
  - mmc: queue: Cancel recovery work on cleanup (bsc#1012628).
  - mmc: core: Fix kernel panic when remove non-standard SDIO card
    (bsc#1012628).
  - mmc: core: Fix WRITE_ZEROES CQE handling (bsc#1012628).
  - mmc: sdhci-pci-core: Disable ES for ASUS BIOS on Jasper Lake
    (bsc#1012628).
  - mmc: sdhci-esdhc-imx: Propagate ESDHC_FLAG_HS400* only on 8bit
    bus (bsc#1012628).
  - counter: microchip-tcb-capture: Handle Signal1 read and Synapse
    (bsc#1012628).
  - counter: 104-quad-8: Fix race getting function mode and
    direction (bsc#1012628).
  - mm/uffd: fix vma check on userfault for wp (bsc#1012628).
  - mm: migrate: fix return value if all subpages of THPs are
    migrated successfully (bsc#1012628).
  - mm,madvise,hugetlb: fix unexpected data loss with MADV_DONTNEED
    on hugetlbfs (bsc#1012628).
  - mm/kmemleak: prevent soft lockup in kmemleak_scan()'s object
    iteration loops (bsc#1012628).
  - mm/huge_memory: do not clobber swp_entry_t during THP split
    (bsc#1012628).
  - mm: prep_compound_tail() clear page->private (bsc#1012628).
  - kernfs: fix use-after-free in __kernfs_remove (bsc#1012628).
  - Revert "dt-bindings: pinctrl-zynqmp: Add output-enable
    configuration" (bsc#1012628).
  - pinctrl: Ingenic: JZ4755 bug fixes (bsc#1012628).
  - Revert "pinctrl: pinctrl-zynqmp: Add support for output-enable
    and bias-high-impedance" (bsc#1012628).
  - ARC: mm: fix leakage of memory allocated for PTE (bsc#1012628).
  - perf auxtrace: Fix address filter symbol name match for modules
    (bsc#1012628).
  - s390/boot: add secure boot trailer (bsc#1012628).
  - s390/cio: fix out-of-bounds access on cio_ignore free
    (bsc#1012628).
  - s390/uaccess: add missing EX_TABLE entries to __clear_user()
    (bsc#1012628).
  - s390/futex: add missing EX_TABLE entry to __futex_atomic_op()
    (bsc#1012628).
  - s390/pci: add missing EX_TABLE entries to
    __pcistg_mio_inuser()/__pcilg_mio_inuser() (bsc#1012628).
  - ethtool: eeprom: fix null-deref on genl_info in dump
    (bsc#1012628).
  - fbdev/core: Avoid uninitialized read in
    aperture_remove_conflicting_pci_device() (bsc#1012628).
  - ACPI: PCC: Fix unintentional integer overflow (bsc#1012628).
  - powerpc/64s/interrupt: Fix clear of PACA_IRQS_HARD_DIS when
    returning to soft-masked context (bsc#1012628).
  - net: ieee802154: fix error return code in dgram_bind()
    (bsc#1012628).
  - media: amphion: release m2m ctx when releasing vpu instance
    (bsc#1012628).
  - media: v4l2: Fix v4l2_i2c_subdev_set_name function documentation
    (bsc#1012628).
  - media: ar0521: fix error return code in ar0521_power_on()
    (bsc#1012628).
  - media: ar0521: Fix return value check in writing initial
    registers (bsc#1012628).
  - media: ov8865: Fix an error handling path in ov8865_probe()
    (bsc#1012628).
  - media: sun6i-mipi-csi2: Depend on PHY_SUN6I_MIPI_DPHY
    (bsc#1012628).
  - media: atomisp: prevent integer overflow in
    sh_css_set_black_frame() (bsc#1012628).
  - media: sunxi: Fix some error handling path of
    sun8i_a83t_mipi_csi2_probe() (bsc#1012628).
  - media: sunxi: Fix some error handling path of
    sun6i_mipi_csi2_probe() (bsc#1012628).
  - media: sun6i-mipi-csi2: Add a Kconfig dependency on
    RESET_CONTROLLER (bsc#1012628).
  - media: sun8i-a83t-mipi-csi2: Add a Kconfig dependency on
    RESET_CONTROLLER (bsc#1012628).
  - media: sun6i-csi: Add a Kconfig dependency on RESET_CONTROLLER
    (bsc#1012628).
  - media: sun4i-csi: Add a Kconfig dependency on RESET_CONTROLLER
    (bsc#1012628).
  - media: sun8i-di: Add a Kconfig dependency on RESET_CONTROLLER
    (bsc#1012628).
  - media: sun8i-rotate: Add a Kconfig dependency on
    RESET_CONTROLLER (bsc#1012628).
  - media: cedrus: Add a Kconfig dependency on RESET_CONTROLLER
    (bsc#1012628).
  - drm/msm/a6xx: Replace kcalloc() with kvzalloc() (bsc#1012628).
  - drm/msm/dp: add atomic_check to bridge ops (bsc#1012628).
  - drm/msm: Fix return type of mdp4_lvds_connector_mode_valid
    (bsc#1012628).
  - drm/msm/dp: cleared DP_DOWNSPREAD_CTRL register before start
    link training (bsc#1012628).
  - ASoC: codec: tlv320adc3xxx: add GPIOLIB dependency
    (bsc#1012628).
  - KVM: selftests: Fix number of pages for memory slot in
    memslot_modification_stress_test (bsc#1012628).
  - ASoC: qcom: lpass-cpu: mark HDMI TX registers as volatile
    (bsc#1012628).
  - drm/msm/a6xx: Fix kvzalloc vs state_kcalloc usage (bsc#1012628).
  - erofs: fix illegal unmapped accesses in
    z_erofs_fill_inode_lazy() (bsc#1012628).
  - erofs: fix up inplace decompression success rate (bsc#1012628).
  - pinctrl: qcom: Avoid glitching lines when we first mux to output
    (bsc#1012628).
  - spi: qup: support using GPIO as chip select line (bsc#1012628).
  - x86/fpu: Configure init_fpstate attributes orderly
    (bsc#1012628).
  - x86/fpu: Fix the init_fpstate size check with the actual size
    (bsc#1012628).
  - x86/fpu: Exclude dynamic states from init_fpstate (bsc#1012628).
  - perf: Fix missing SIGTRAPs (bsc#1012628).
  - sched/core: Fix comparison in sched_group_cookie_match()
    (bsc#1012628).
  - bpf: prevent decl_tag from being referenced in func_proto
    (bsc#1012628).
  - arc: iounmap() arg is volatile (bsc#1012628).
  - mtd: core: add missing of_node_get() in dynamic partitions code
    (bsc#1012628).
  - mtd: rawnand: intel: Remove unused nand_pa member from
    ebu_nand_cs (bsc#1012628).
  - mtd: rawnand: intel: Use devm_platform_ioremap_resource_byname()
    (bsc#1012628).
  - mtd: rawnand: intel: Add missing of_node_put() in
    ebu_nand_probe() (bsc#1012628).
  - pinctrl: ocelot: Fix incorrect trigger of the interrupt
    (bsc#1012628).
  - ASoC: codecs: tlv320adc3xxx: Wrap adc3xxx_i2c_remove() in
    __exit_p() (bsc#1012628).
  - ASoC: SOF: Intel: pci-mtl: fix firmware name (bsc#1012628).
  - selftests/ftrace: fix dynamic_events dependency check
    (bsc#1012628).
  - spi: aspeed: Fix window offset of CE1 (bsc#1012628).
  - ASoC: qcom: lpass-cpu: Mark HDMI TX parity register as volatile
    (bsc#1012628).
  - ASoC: Intel: common: add ACPI matching tables for Raptor Lake
    (bsc#1012628).
  - ASoC: SOF: Intel: pci-tgl: use RPL specific firmware definitions
    (bsc#1012628).
  - ASoC: SOF: Intel: pci-tgl: fix ADL-N descriptor (bsc#1012628).
  - ALSA: ac97: fix possible memory leak in snd_ac97_dev_register()
    (bsc#1012628).
  - perf/x86/intel/lbr: Use setup_clear_cpu_cap() instead of
    clear_cpu_cap() (bsc#1012628).
  - rcu: Keep synchronize_rcu() from enabling irqs in early boot
    (bsc#1012628).
  - tipc: fix a null-ptr-deref in tipc_topsrv_accept (bsc#1012628).
  - net: netsec: fix error handling in netsec_register_mdio()
    (bsc#1012628).
  - net: lan966x: Fix the rx drop counter (bsc#1012628).
  - selftests: net: Fix cross-tree inclusion of scripts
    (bsc#1012628).
  - selftests: net: Fix netdev name mismatch in cleanup
    (bsc#1012628).
  - net: hinic: fix incorrect assignment issue in
    hinic_set_interrupt_cfg() (bsc#1012628).
  - net: hinic: fix memory leak when reading function table
    (bsc#1012628).
  - net: hinic: fix the issue of CMDQ memory leaks (bsc#1012628).
  - net: hinic: fix the issue of double release MBOX callback of VF
    (bsc#1012628).
  - net: macb: Specify PHY PM management done by MAC (bsc#1012628).
  - nfc: virtual_ncidev: Fix memory leak in virtual_nci_send()
    (bsc#1012628).
  - RISC-V: KVM: Provide UAPI for Zicbom block size (bsc#1012628).
  - RISC-V: Fix compilation without RISCV_ISA_ZICBOM (bsc#1012628).
  - RISC-V: KVM: Fix kvm_riscv_vcpu_timer_pending() for Sstc
    (bsc#1012628).
  - x86/unwind/orc: Fix unreliable stack dump with gcov
    (bsc#1012628).
  - drm/bridge: ps8640: Add back the 50 ms mystery delay after HPD
    (bsc#1012628).
  - x86/fpu: Fix copy_xstate_to_uabi() to copy init states correctly
    (bsc#1012628).
  - amd-xgbe: Yellow carp devices do not need rrc (bsc#1012628).
  - amd-xgbe: fix the SFP compliance codes check for DAC cables
    (bsc#1012628).
  - amd-xgbe: add the bit rate quirk for Molex cables (bsc#1012628).
  - drm/i915/dgfx: Keep PCI autosuspend control 'on' by default
    on all dGPU (bsc#1012628).
  - drm/i915/dp: Reset frl trained flag before restarting FRL
    training (bsc#1012628).
  - atlantic: fix deadlock at aq_nic_stop (bsc#1012628).
  - kcm: annotate data-races around kcm->rx_psock (bsc#1012628).
  - kcm: annotate data-races around kcm->rx_wait (bsc#1012628).
  - net: fix UAF issue in nfqnl_nf_hook_drop() when ops_init()
    failed (bsc#1012628).
  - net: lantiq_etop: don't free skb when returning NETDEV_TX_BUSY
    (bsc#1012628).
  - tcp: fix a signed-integer-overflow bug in tcp_add_backlog()
    (bsc#1012628).
  - tcp: fix indefinite deferral of RTO with SACK reneging
    (bsc#1012628).
  - net-memcg: avoid stalls when under memory pressure
    (bsc#1012628).
  - drm/amdkfd: Fix memory leak in kfd_mem_dmamap_userptr()
    (bsc#1012628).
  - net: lan966x: Stop replacing tx dcbs and dcbs_buf when changing
    MTU (bsc#1012628).
  - mptcp: set msk local address earlier (bsc#1012628).
  - can: mscan: mpc5xxx: mpc5xxx_can_probe(): add missing
    put_clock() in error path (bsc#1012628).
  - can: mcp251x: mcp251x_can_probe(): add missing
    unregister_candev() in error path (bsc#1012628).
  - PM: hibernate: Allow hybrid sleep to work with s2idle
    (bsc#1012628).
  - media: vivid: s_fbuf: add more sanity checks (bsc#1012628).
  - media: vivid: dev->bitmap_cap wasn't freed in all cases
    (bsc#1012628).
  - media: v4l2-dv-timings: add sanity checks for blanking values
    (bsc#1012628).
  - media: videodev2.h: V4L2_DV_BT_BLANKING_HEIGHT should check
    'interlaced' (bsc#1012628).
  - media: vivid: set num_in/outputs to 0 if not supported
    (bsc#1012628).
  - perf vendor events power10: Fix hv-24x7 metric events
    (bsc#1012628).
  - perf list: Fix PMU name pai_crypto in perf list on s390
    (bsc#1012628).
  - ipv6: ensure sane device mtu in tunnels (bsc#1012628).
  - i40e: Fix ethtool rx-flow-hash setting for X722 (bsc#1012628).
  - i40e: Fix VF hang when reset is triggered on another VF
    (bsc#1012628).
  - i40e: Fix flow-type by setting GL_HASH_INSET registers
    (bsc#1012628).
  - net: ksz884x: fix missing pci_disable_device() on error in
    pcidev_init() (bsc#1012628).
  - riscv: jump_label: mark arguments as const to satisfy asm
    constraints (bsc#1012628).
  - PM: domains: Fix handling of unavailable/disabled idle states
    (bsc#1012628).
  - perf vendor events arm64: Fix incorrect Hisi hip08 L3 metrics
    (bsc#1012628).
  - net: fec: limit register access on i.MX6UL (bsc#1012628).
  - net: ethernet: ave: Fix MAC to be in charge of PHY PM
    (bsc#1012628).
  - ALSA: aoa: i2sbus: fix possible memory leak in i2sbus_add_dev()
    (bsc#1012628).
  - ALSA: aoa: Fix I2S device accounting (bsc#1012628).
  - openvswitch: switch from WARN to pr_warn (bsc#1012628).
  - net: ehea: fix possible memory leak in ehea_register_port()
    (bsc#1012628).
  - net: bcmsysport: Indicate MAC is in charge of PHY PM
    (bsc#1012628).
  - nh: fix scope used to find saddr when adding non gw nh
    (bsc#1012628).
  - net: broadcom: bcm4908_enet: update TX stats after actual
    transmission (bsc#1012628).
  - netdevsim: fix memory leak in nsim_bus_dev_new() (bsc#1012628).
  - netdevsim: fix memory leak in nsim_drv_probe() when
    nsim_dev_resources_register() failed (bsc#1012628).
  - netdevsim: remove dir in nsim_dev_debugfs_init() when creating
    ports dir failed (bsc#1012628).
  - net/mlx5e: Do not increment ESN when updating IPsec ESN state
    (bsc#1012628).
  - net/mlx5: Wait for firmware to enable CRS before
    pci_restore_state (bsc#1012628).
  - net/mlx5: DR, Fix matcher disconnect error flow (bsc#1012628).
  - net/mlx5e: Extend SKB room check to include PTP-SQ
    (bsc#1012628).
  - net/mlx5e: Update restore chain id for slow path packets
    (bsc#1012628).
  - net/mlx5: ASO, Create the ASO SQ with the correct timestamp
    format (bsc#1012628).
  - net/mlx5: Fix possible use-after-free in async command interface
    (bsc#1012628).
  - net/mlx5e: TC, Reject forwarding from internal port to internal
    port (bsc#1012628).
  - net/mlx5: Update fw fatal reporter state on PCI handlers
    successful recover (bsc#1012628).
  - net/mlx5: Fix crash during sync firmware reset (bsc#1012628).
  - net: do not sense pfmemalloc status in skb_append_pagefrags()
    (bsc#1012628).
  - kcm: do not sense pfmemalloc status in kcm_sendpage()
    (bsc#1012628).
  - net: enetc: survive memory pressure without crashing
    (bsc#1012628).
  - riscv: mm: add missing memcpy in kasan_init (bsc#1012628).
  - riscv: fix detection of toolchain Zicbom support (bsc#1012628).
  - riscv: fix detection of toolchain Zihintpause support
    (bsc#1012628).
  - arm64: Add AMPERE1 to the Spectre-BHB affected list
    (bsc#1012628).
  - tcp/udp: Fix memory leak in ipv6_renew_options() (bsc#1012628).
  - commit 94ab6c8
* Wed Nov 02 2022 tiwai@suse.de
  - wifi: brcmfmac: Fix potential buffer overflow in
    brcmf_fweh_event_worker() (CVE-2022-3628 bsc#1204868).
  - commit a020866
* Wed Nov 02 2022 tiwai@suse.de
  - Drop the previous sound fix for Dell Dock (bsc#1204719)
    The patch turned out to be superfluous, the fix should be on pipewire
    instead.
  - commit a7f641a
* Wed Nov 02 2022 tiwai@suse.de
  - ALSA: usb-audio: Fix regression with Dell Dock jack detection
    (bsc#1204719).
  - commit 286383c
* Wed Nov 02 2022 jslaby@suse.cz
  - KVM: x86: emulator: update the emulation mode after rsm
    (bsc#1200616).
  - KVM: x86: emulator: introduce emulator_recalc_and_set_mode
    (bsc#1200616).
  - commit 28a19ee
* Wed Nov 02 2022 jslaby@suse.cz
  - char: pcmcia: cm4040_cs: Fix use-after-free in reader_fops
    (bsc#1204922 CVE-2022-44033).
  - commit d6c5191
* Wed Nov 02 2022 tiwai@suse.de
  - ring-buffer: Check for NULL cpu_buffer in
    ring_buffer_wake_waiters() (bsc#1204705).
  - commit 57f1f7d
* Tue Nov 01 2022 jslaby@suse.cz
  - Refresh patches.suse/drm-amdgpu-Fix-for-BO-move-issue.patch.
    Update upstream status.
  - commit 30b9c27
* Tue Nov 01 2022 jslaby@suse.cz
  - char: pcmcia: scr24x_cs: Fix use-after-free in scr24x_fops
    (bsc#1204901 CVE-2022-44034).
  - char: pcmcia: cm4000_cs: Fix use-after-free in cm4000_fops
    (bsc#1204894 CVE-2022-44032).
  - char: pcmcia: scr24x_cs: Fix use-after-free in scr24x_fops
    (bsc#1204901 CVE-2022-44034).
  - char: pcmcia: cm4000_cs: Fix use-after-free in cm4000_fops
    (bsc#1204894 CVE-2022-44032).
  - commit 1e6f02d
* Tue Nov 01 2022 jslaby@suse.cz
  - Refresh
    patches.suse/ACPI-resource-do-IRQ-override-on-LENOVO-IdeaPad.patch.
  - Refresh
    patches.suse/ALSA-hda-realtek-Add-another-HP-ZBook-G9-model-quirk.patch.
  - Refresh
    patches.suse/ALSA-hda-realtek-Add-quirk-for-ASUS-Zenbook-using-CS.patch.
    Update upstream status.
  - commit eaa1897
* Mon Oct 31 2022 mkubecek@suse.cz
  - Update to 6.1-rc3
  - eliminate 1 patch
    - patches.suse/scsi-mpi3mr-select-CONFIG_SCSI_SAS_ATTRS.patch
  - refresh configs
  - commit 6cba764
* Sun Oct 30 2022 jslaby@suse.cz
  - Linux 6.0.6 (bsc#1012628).
  - mm: /proc/pid/smaps_rollup: fix no vma's null-deref
    (bsc#1012628).
  - ACPI: video: Force backlight native for more TongFang devices
    (bsc#1012628).
  - ext4: fix potential out of bound read in ext4_fc_replay_scan()
    (bsc#1012628).
  - ext4: factor out ext4_fc_get_tl() (bsc#1012628).
  - ext4: introduce EXT4_FC_TAG_BASE_LEN helper (bsc#1012628).
  - io_uring: don't gate task_work run on TIF_NOTIFY_SIGNAL
    (bsc#1012628).
  - wifi: mt76: mt7921e: fix random fw download fail (bsc#1012628).
  - iommu/vt-d: Clean up si_domain in the init_dmars() error path
    (bsc#1012628).
  - iommu/vt-d: Allow NVS regions in arch_rmrr_sanity_check()
    (bsc#1012628).
  - rv/dot2c: Make automaton definition static (bsc#1012628).
  - drbd: only clone bio if we have a backing device (bsc#1012628).
  - net: phy: dp83822: disable MDI crossover status change interrupt
    (bsc#1012628).
  - net: sched: fix race condition in qdisc_graft() (bsc#1012628).
  - net: hns: fix possible memory leak in hnae_ae_register()
    (bsc#1012628).
  - wwan_hwsim: fix possible memory leak in wwan_hwsim_dev_new()
    (bsc#1012628).
  - sfc: include vport_id in filter spec hash and equal()
    (bsc#1012628).
  - io_uring/msg_ring: Fix NULL pointer dereference in
    io_msg_send_fd() (bsc#1012628).
  - net: Fix return value of qdisc ingress handling on success
    (bsc#1012628).
  - net: sched: sfb: fix null pointer access issue when sfb_init()
    fails (bsc#1012628).
  - net: sched: delete duplicate cleanup of backlog and qlen
    (bsc#1012628).
  - net: sched: cake: fix null pointer access issue when cake_init()
    fails (bsc#1012628).
  - nvmet: fix workqueue MEM_RECLAIM flushing dependency
    (bsc#1012628).
  - nvme-hwmon: kmalloc the NVME SMART log buffer (bsc#1012628).
  - nvme-hwmon: consistently ignore errors from nvme_hwmon_init
    (bsc#1012628).
  - netfilter: nf_tables: relax NFTA_SET_ELEM_KEY_END set flags
    requirements (bsc#1012628).
  - netfilter: rpfilter/fib: Set ->flowic_uid correctly for user
    namespaces (bsc#1012628).
  - netfilter: rpfilter/fib: Populate flowic_l3mdev field
    (bsc#1012628).
  - ionic: catch NULL pointer issue on reconfig (bsc#1012628).
  - net: hsr: avoid possible NULL deref in skb_clone()
    (bsc#1012628).
  - bnxt_en: fix memory leak in bnxt_nvm_test() (bsc#1012628).
  - drm/amd/display: Increase frame size limit for
    display_mode_vba_util_32.o (bsc#1012628).
  - dm: remove unnecessary assignment statement in alloc_dev()
    (bsc#1012628).
  - cifs: Fix memory leak when build ntlmssp negotiate blob failed
    (bsc#1012628).
  - cifs: Fix xid leak in cifs_ses_add_channel() (bsc#1012628).
  - cifs: Fix xid leak in cifs_flock() (bsc#1012628).
  - cifs: Fix xid leak in cifs_copy_file_range() (bsc#1012628).
  - cifs: Fix xid leak in cifs_create() (bsc#1012628).
  - ip6mr: fix UAF issue in ip6mr_sk_done() when addrconf_init_net()
    failed (bsc#1012628).
  - udp: Update reuse->has_conns under reuseport_lock (bsc#1012628).
  - scsi: lpfc: Fix memory leak in lpfc_create_port() (bsc#1012628).
  - net: ethernet: mtk_eth_wed: add missing of_node_put()
    (bsc#1012628).
  - net: ethernet: mtk_eth_wed: add missing put_device() in
    mtk_wed_add_hw() (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix possible memory leak in
    mtk_probe() (bsc#1012628).
  - io_uring/rw: remove leftover debug statement (bsc#1012628).
  - blk-mq: fix null pointer dereference in
    blk_mq_clear_rq_mapping() (bsc#1012628).
  - erofs: shouldn't churn the mapping page for duplicated copies
    (bsc#1012628).
  - skmsg: pass gfp argument to alloc_sk_msg() (bsc#1012628).
  - net: stmmac: Enable mac_managed_pm phylink config (bsc#1012628).
  - net: phylink: add mac_managed_pm in phylink_config structure
    (bsc#1012628).
  - net/smc: Fix an error code in smc_lgr_create() (bsc#1012628).
  - net: phy: dp83867: Extend RX strap quirk for SGMII mode
    (bsc#1012628).
  - net/atm: fix proc_mpc_write incorrect return value
    (bsc#1012628).
  - sfc: Change VF mac via PF as first preference if available
    (bsc#1012628).
  - HID: magicmouse: Do not set BTN_MOUSE on double report
    (bsc#1012628).
  - tls: strp: make sure the TCP skbs do not have overlapping data
    (bsc#1012628).
  - i40e: Fix DMA mappings leak (bsc#1012628).
  - net: dsa: qca8k: fix ethtool autocast mib for big-endian systems
    (bsc#1012628).
  - net: dsa: qca8k: fix inband mgmt for big-endian systems
    (bsc#1012628).
  - tipc: fix an information leak in tipc_topsrv_kern_subscr
    (bsc#1012628).
  - tipc: Fix recognition of trial period (bsc#1012628).
  - ACPI: extlog: Handle multiple records (bsc#1012628).
  - drm/vc4: hdmi: Enforce the minimum rate at runtime_resume
    (bsc#1012628).
  - drm/vc4: Add module dependency on hdmi-codec (bsc#1012628).
  - btrfs: fix processing of delayed tree block refs during backref
    walking (bsc#1012628).
  - btrfs: fix processing of delayed data refs during backref
    walking (bsc#1012628).
  - dm bufio: use the acquire memory barrier when testing for
    B_READING (bsc#1012628).
  - platform/x86/amd: pmc: Read SMU version during suspend on
    Cezanne systems (bsc#1012628).
  - x86/topology: Fix duplicated core ID within a package
    (bsc#1012628).
  - x86/topology: Fix multiple packages shown on a single-package
    system (bsc#1012628).
  - x86/Kconfig: Drop check for -mabi=ms for CONFIG_EFI_STUB
    (bsc#1012628).
  - media: venus: Fix NV12 decoder buffer discovery on
    HFI_VERSION_1XX (bsc#1012628).
  - media: venus: dec: Handle the case where find_format fails
    (bsc#1012628).
  - media: mceusb: set timeout to at least timeout provided
    (bsc#1012628).
  - media: ipu3-imgu: Fix NULL pointer dereference in active
    selection access (bsc#1012628).
  - KVM: arm64: vgic: Fix exit condition in scan_its_table()
    (bsc#1012628).
  - KVM: x86: Add compat handler for KVM_X86_SET_MSR_FILTER
    (bsc#1012628).
  - KVM: x86: Copy filter arg outside kvm_vm_ioctl_set_msr_filter()
    (bsc#1012628).
  - kvm: Add support for arch compat vm ioctls (bsc#1012628).
  - mm,hugetlb: take hugetlb_lock before decrementing
    h->resv_huge_pages (bsc#1012628).
  - drm/amdgpu: fix sdma doorbell init ordering on APUs
    (bsc#1012628).
  - cpufreq: qcom: fix memory leak in error path (bsc#1012628).
  - x86/resctrl: Fix min_cbm_bits for AMD (bsc#1012628).
  - ata: ahci: Match EM_MAX_SLOTS with SATA_PMP_MAX_PORTS
    (bsc#1012628).
  - ata: ahci-imx: Fix MODULE_ALIAS (bsc#1012628).
  - hwmon/coretemp: Handle large core ID value (bsc#1012628).
  - x86/microcode/AMD: Apply the patch early on every logical thread
    (bsc#1012628).
  - cpufreq: tegra194: Fix module loading (bsc#1012628).
  - i2c: qcom-cci: Fix ordering of pm_runtime_xx and i2c_add_adapter
    (bsc#1012628).
  - cpufreq: qcom: fix writes in read-only memory region
    (bsc#1012628).
  - selinux: enable use of both GFP_KERNEL and GFP_ATOMIC in
    convert_context() (bsc#1012628).
  - smb3: interface count displayed incorrectly (bsc#1012628).
  - ocfs2: fix BUG when iput after ocfs2_mknod fails (bsc#1012628).
  - ocfs2: clear dinode links count in case of error (bsc#1012628).
  - video/aperture: Call sysfb_disable() before removing PCI devices
    (bsc#1012628).
  - commit ba5b066
* Wed Oct 26 2022 jslaby@suse.cz
  - Linux 6.0.5 (bsc#1012628).
  - Revert "btrfs: call __btrfs_remove_free_space_cache_locked on
    cache load failure" (bsc#1012628).
  - clk: tegra: Fix Tegra PWM parent clock (bsc#1012628).
  - commit 7359656
* Wed Oct 26 2022 jslaby@suse.cz
  - Linux 6.0.4 (bsc#1012628).
  - Revert "ALSA: hda: Fix page fault in snd_hda_codec_shutdown()"
    (bsc#1012628).
  - fbdev/core: Remove remove_conflicting_pci_framebuffers()
    (bsc#1012628).
  - io-wq: Fix memory leak in worker creation (bsc#1012628).
  - gcov: support GCC 12.1 and newer compilers (bsc#1012628).
  - efi: ssdt: Don't free memory if ACPI table was loaded
    successfully (bsc#1012628).
  - efi: efivars: Fix variable writes without query_variable_store()
    (bsc#1012628).
  - dm clone: Fix typo in block_device format specifier
    (bsc#1012628).
  - drm/amd/pm: update SMU IP v13.0.4 driver interface version
    (bsc#1012628).
  - drm/amd/pm: fulfill SMU13.0.0 cstate control interface
    (bsc#1012628).
  - drm/amd/pm: disable cstate feature for gpu reset scenario
    (bsc#1012628).
  - drm/amd/pm: add SMU IP v13.0.4 IF version define to V7
    (bsc#1012628).
  - drm/amd/pm: fulfill SMU13.0.7 cstate control interface
    (bsc#1012628).
  - net: flag sockets supporting msghdr originated zerocopy
    (bsc#1012628).
  - HID: playstation: add initial DualSense Edge controller support
    (bsc#1012628).
  - HID: playstation: stop DualSense output work on remove
    (bsc#1012628).
  - io_uring/net: fail zc send when unsupported by socket
    (bsc#1012628).
  - thermal: intel_powerclamp: Use first online CPU as control_cpu
    (bsc#1012628).
  - pinctrl: amd: change dev_warn to dev_dbg for additional feature
    support (bsc#1012628).
  - drm/i915/bios: Use hardcoded fp_timing size for generating
    LFP data pointers (bsc#1012628).
  - drm/i915/bios: Validate fp_timing terminator presence
    (bsc#1012628).
  - commit 12375d5
* Wed Oct 26 2022 mbrugger@suse.com
  - arm64: Update config files. (bsc#1203558)
    Enable Renesas serial console and earlycon.
  - commit 6516615
* Tue Oct 25 2022 tiwai@suse.de
  - Revert "ALSA: hda: Fix page fault in snd_hda_codec_shutdown()"
    (bsc#1204679).
  - commit df34d12
* Mon Oct 24 2022 tiwai@suse.de
  - Bluetooth: L2CAP: fix use-after-free in l2cap_conn_del()
    (CVE-2022-3640 bsc#1204619).
  - commit c41533c
* Mon Oct 24 2022 mkubecek@suse.cz
  - Update to 6.1-rc2
  - commit 796d87f
* Sat Oct 22 2022 tiwai@suse.de
  - ALSA: hda/realtek: Add another HP ZBook G9 model quirks
    (bsc#1203699).
  - commit a4522e2
* Sat Oct 22 2022 jslaby@suse.cz
  - Linux 6.0.3 (bsc#1012628).
  - arm64: dts: qcom: sc8280xp-pmics: Remove reg entry & use
    correct node name for pmc8280c_lpg node (bsc#1012628).
  - Kconfig.debug: add toolchain checks for
    DEBUG_INFO_DWARF_TOOLCHAIN_DEFAULT (bsc#1012628).
  - Kconfig.debug: simplify the dependency of DEBUG_INFO_DWARF4/5
    (bsc#1012628).
  - io_uring/rw: ensure kiocb_end_write() is always called
    (bsc#1012628).
  - io_uring: fix fdinfo sqe offsets calculation (bsc#1012628).
  - drm/amd/display: Fix build breakage with CONFIG_DEBUG_FS=n
    (bsc#1012628).
  - powerpc/64s/interrupt: Fix lost interrupts when returning to
    soft-masked context (bsc#1012628).
  - net/ieee802154: don't warn zero-sized raw_sendmsg()
    (bsc#1012628).
  - Revert "net/ieee802154: reject zero-sized raw_sendmsg()"
    (bsc#1012628).
  - Revert "drm/amd/display: correct hostvm flag" (bsc#1012628).
  - net: ethernet: ti: davinci_mdio: fix build for mdio bitbang uses
    (bsc#1012628).
  - blk-wbt: fix that 'rwb->wc' is always set to 1 in wbt_init()
    (bsc#1012628).
  - ALSA: usb-audio: Fix last interface check for registration
    (bsc#1012628).
  - net: ieee802154: return -EINVAL for unknown addr type
    (bsc#1012628).
  - mm: hugetlb: fix UAF in hugetlb_handle_userfault (bsc#1012628).
  - io_uring/net: fix notif cqe reordering (bsc#1012628).
  - io_uring/net: don't skip notifs for failed requests
    (bsc#1012628).
  - io_uring/net: rename io_sendzc() (bsc#1012628).
  - io_uring/net: don't lose partial send_zc on fail (bsc#1012628).
  - io_uring/net: use io_sr_msg for sendzc (bsc#1012628).
  - io_uring/net: refactor io_sr_msg types (bsc#1012628).
  - perf intel-pt: Fix system_wide dummy event for hybrid
    (bsc#1012628).
  - perf intel-pt: Fix segfault in intel_pt_print_info() with uClibc
    (bsc#1012628).
  - perf: Skip and warn on unknown format 'configN' attrs
    (bsc#1012628).
  - clk: bcm2835: Round UART input clock up (bsc#1012628).
  - usb: typec: ucsi: Don't warn on probe deferral (bsc#1012628).
  - dmaengine: dw-edma: Remove runtime PM support (bsc#1012628).
  - fsi: master-ast-cf: Fix missing of_node_put in
    fsi_master_acf_probe (bsc#1012628).
  - fsi: occ: Prevent use after free (bsc#1012628).
  - hwmon (occ): Retry for checksum failure (bsc#1012628).
  - blk-mq: use quiesced elevator switch when reinitializing queues
    (bsc#1012628).
  - usb: idmouse: fix an uninit-value in idmouse_open (bsc#1012628).
  - nvmet-tcp: add bounds check on Transfer Tag (bsc#1012628).
  - nvme: copy firmware_rev on each init (bsc#1012628).
  - nvme: handle effects after freeing the request (bsc#1012628).
  - ext2: Use kvmalloc() for group descriptor array (bsc#1012628).
  - scsi: tracing: Fix compile error in trace_array calls when
    TRACING is disabled (bsc#1012628).
  - staging: rtl8723bs: fix a potential memory leak in
    rtw_init_cmd_priv() (bsc#1012628).
  - staging: rtl8723bs: fix potential memory leak in
    rtw_init_drv_sw() (bsc#1012628).
  - io_uring: fix CQE reordering (bsc#1012628).
  - Revert "usb: storage: Add quirk for Samsung Fit flash"
    (bsc#1012628).
  - usb: dwc3: core: Enable GUCTL1 bit 10 for fixing termination
    error after resume bug (bsc#1012628).
  - arm64: dts: imx8mp: Add snps,gfladj-refclk-lpm-sel quirk to
    USB nodes (bsc#1012628).
  - usb: dwc3: core: add gfladj_refclk_lpm_sel quirk (bsc#1012628).
  - usb: musb: Fix musb_gadget.c rxstate overflow bug (bsc#1012628).
  - usb: host: xhci: Fix potential memory leak in
    xhci_alloc_stream_info() (bsc#1012628).
  - md/raid5: Wait for MD_SB_CHANGE_PENDING in raid5d (bsc#1012628).
  - HID: nintendo: check analog user calibration for plausibility
    (bsc#1012628).
  - HSI: ssi_protocol: fix potential resource leak in ssip_pn_open()
    (bsc#1012628).
  - HID: roccat: Fix use-after-free in roccat_read() (bsc#1012628).
  - soundwire: intel: fix error handling on dai registration issues
    (bsc#1012628).
  - soundwire: cadence: Don't overwrite msg->buf during write
    commands (bsc#1012628).
  - bcache: fix set_at_max_writeback_rate() for multiple attached
    devices (bsc#1012628).
  - ata: libahci_platform: Sanity check the DT child nodes number
    (bsc#1012628).
  - blk-throttle: prevent overflow while calculating wait time
    (bsc#1012628).
  - staging: vt6655: fix potential memory leak (bsc#1012628).
  - power: supply: adp5061: fix out-of-bounds read in
    adp5061_get_chg_type() (bsc#1012628).
  - usb: gadget: uvc: increase worker prio to WQ_HIGHPRI
    (bsc#1012628).
  - iommu/arm-smmu-v3: Make default domain type of HiSilicon PTT
    device to identity (bsc#1012628).
  - nbd: Fix hung when signal interrupts nbd_start_device_ioctl()
    (bsc#1012628).
  - scsi: 3w-9xxx: Avoid disabling device if failing to enable it
    (bsc#1012628).
  - dmaengine: ti: k3-udma: Reset UDMA_CHAN_RT byte counters to
    prevent overflow (bsc#1012628).
  - scsi: lpfc: Fix null ndlp ptr dereference in abnormal exit
    path for GFT_ID (bsc#1012628).
  - usb: host: xhci-plat: suspend/resume clks for brcm
    (bsc#1012628).
  - usb: host: xhci-plat: suspend and resume clocks (bsc#1012628).
  - RDMA/rxe: Delete error messages triggered by incoming Read
    requests (bsc#1012628).
  - clk: zynqmp: pll: rectify rate rounding in zynqmp_pll_round_rate
    (bsc#1012628).
  - media: platform: fix some double free in meson-ge2d and mtk-jpeg
    and s5p-mfc (bsc#1012628).
  - media: cx88: Fix a null-ptr-deref bug in buffer_prepare()
    (bsc#1012628).
  - clk: zynqmp: Fix stack-out-of-bounds in strncpy` (bsc#1012628).
  - ARM: 9242/1: kasan: Only map modules if CONFIG_KASAN_VMALLOC=n
    (bsc#1012628).
  - ARM: 9234/1: stacktrace: Avoid duplicate saving of exception
    PC value (bsc#1012628).
  - ARM: 9233/1: stacktrace: Skip frame pointer boundary check
    for call_with_stack() (bsc#1012628).
  - btrfs: call __btrfs_remove_free_space_cache_locked on cache
    load failure (bsc#1012628).
  - btrfs: don't print information about space cache or tree every
    remount (bsc#1012628).
  - btrfs: scrub: try to fix super block errors (bsc#1012628).
  - btrfs: scrub: properly report super block errors in system log
    (bsc#1012628).
  - btrfs: dump extra info if one free space cache has more bitmaps
    than it should (bsc#1012628).
  - ARM: orion: fix include path (bsc#1012628).
  - arm64: dts: imx8mq-librem5: Add bq25895 as max17055's power
    supply (bsc#1012628).
  - arm64: dts: imx8mm-kontron: Use the VSELECT signal to switch
    SD card IO voltage (bsc#1012628).
  - kselftest/arm64: Fix validatation termination record after
    EXTRA_CONTEXT (bsc#1012628).
  - ARM: dts: imx6sx-udoo-neo: don't use multiple blank lines
    (bsc#1012628).
  - ARM: dts: imx6sl: use tabs for code indent (bsc#1012628).
  - ARM: dts: imx6sx: add missing properties for sram (bsc#1012628).
  - ARM: dts: imx6sll: add missing properties for sram
    (bsc#1012628).
  - ARM: dts: imx6sl: add missing properties for sram (bsc#1012628).
  - ARM: dts: imx6qp: add missing properties for sram (bsc#1012628).
  - ARM: dts: imx6dl: add missing properties for sram (bsc#1012628).
  - ARM: dts: imx6q: add missing properties for sram (bsc#1012628).
  - arm64: dts: qcom: sc7280-idp: correct ADC channel node name
    and unit address (bsc#1012628).
  - ARM: dts: imx7d-sdb: config the max pressure for tsc2046
    (bsc#1012628).
  - ARM: dts: imx6: delete interrupts property if
    interrupts-extended is set (bsc#1012628).
  - drm/amdkfd: Fix UBSAN shift-out-of-bounds warning (bsc#1012628).
  - drm/amd/display: polling vid stream status in hpo dp blank
    (bsc#1012628).
  - drm/amd/display: Remove interface for periodic interrupt 1
    (bsc#1012628).
  - drm/dp: Don't rewrite link config when setting phy test pattern
    (bsc#1012628).
  - mmc: sdhci-msm: add compatible string check for sdm670
    (bsc#1012628).
  - drm/meson: remove drm bridges at aggregate driver unbind time
    (bsc#1012628).
  - drm/meson: explicitly remove aggregate driver at module unload
    time (bsc#1012628).
  - drm/meson: reorder driver deinit sequence to fix use-after-free
    bug (bsc#1012628).
  - ASoC: amd: yc: Add Lenovo Yoga Slim 7 Pro X to quirks table
    (bsc#1012628).
  - ASoC: amd: yc: Add ASUS UM5302TA into DMI table (bsc#1012628).
  - drm/amdgpu: fix initial connector audio value (bsc#1012628).
  - drm/amd/display: correct hostvm flag (bsc#1012628).
  - drm/amd/display: Fix urgent latency override for DCN32/DCN321
    (bsc#1012628).
  - drm/amdgpu: SDMA update use unlocked iterator (bsc#1012628).
  - ASoC: SOF: add quirk to override topology mclk_id (bsc#1012628).
  - ASoC: sunxi: sun4i-codec: set debugfs_prefix for CPU DAI
    component (bsc#1012628).
  - ASoC: SOF: pci: Change DMI match info to support all Chrome
    platforms (bsc#1012628).
  - ALSA: intel-dspconfig: add ES8336 support for AlderLake-PS
    (bsc#1012628).
  - platform/x86: msi-laptop: Change DMI match / alias strings to
    fix module autoloading (bsc#1012628).
  - platform/x86: hp-wmi: Setting thermal profile fails with 0x06
    (bsc#1012628).
  - platform/chrome: cros_ec: Notify the PM of wake events during
    resume (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirk for Aya Neo Air
    (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirk for Anbernic Win600
    (bsc#1012628).
  - drm/vc4: vec: Fix timings for VEC modes (bsc#1012628).
  - ALSA: usb-audio: Register card at the last interface
    (bsc#1012628).
  - drm/admgpu: Skip CG/PG on SOC21 under SRIOV VF (bsc#1012628).
  - drm/amdgpu: Skip the program of MMMC_VM_AGP_* in SRIOV on
    MMHUB v3_0_0 (bsc#1012628).
  - drm/amd/display: Fix variable dereferenced before check
    (bsc#1012628).
  - drm: bridge: dw_hdmi: only trigger hotplug event on link change
    (bsc#1012628).
  - drm/amd: fix potential memory leak (bsc#1012628).
  - platform/x86: pmc_atom: Improve quirk message to be less cryptic
    (bsc#1012628).
  - udmabuf: Set ubuf->sg = NULL if the creation of sg table fails
    (bsc#1012628).
  - ALSA: usb-audio: Add quirk to enable Avid Mbox 3 support
    (bsc#1012628).
  - ALSA: hda: Fix page fault in snd_hda_codec_shutdown()
    (bsc#1012628).
  - drm/amd/display: fix overflow on MIN_I64 definition
    (bsc#1012628).
  - gpu: lontium-lt9611: Fix NULL pointer dereference in
    lt9611_connector_init() (bsc#1012628).
  - drm/komeda: Fix handling of atomic commits in the
    atomic_commit_tail hook (bsc#1012628).
  - drm: Prevent drm_copy_field() to attempt copying a NULL pointer
    (bsc#1012628).
  - drm: Use size_t type for len variable in drm_copy_field()
    (bsc#1012628).
  - drm/nouveau/nouveau_bo: fix potential memory leak in
    nouveau_bo_alloc() (bsc#1012628).
  - r8152: Rate limit overflow messages (bsc#1012628).
  - i2c: designware-pci: Group AMD NAVI quirk parts together
    (bsc#1012628).
  - libbpf: Fix overrun in netlink attribute iteration
    (bsc#1012628).
  - net: sched: cls_u32: Avoid memcpy() false-positive warning
    (bsc#1012628).
  - Bluetooth: L2CAP: Fix user-after-free (bsc#1012628).
  - bpf: use bpf_prog_pack for bpf_dispatcher (bsc#1012628).
  - bpf: Adjust kprobe_multi entry_ip for CONFIG_X86_KERNEL_IBT
    (bsc#1012628).
  - net: If sock is dead don't access sock's sk_wq in
    sk_stream_wait_memory (bsc#1012628).
  - hwmon: (sht4x) do not overflow clamping operation on 32-bit
    platforms (bsc#1012628).
  - wifi: rt2x00: correctly set BBP register 86 for MT7620
    (bsc#1012628).
  - wifi: rt2x00: set SoC wmac clock register (bsc#1012628).
  - wifi: rt2x00: set VGC gain for both chains of MT7620
    (bsc#1012628).
  - wifi: rt2x00: set correct TX_SW_CFG1 MAC register for MT7620
    (bsc#1012628).
  - wifi: rt2x00: don't run Rt5592 IQ calibration on MT7620
    (bsc#1012628).
  - can: bcm: check the result of can_send() in bcm_can_tx()
    (bsc#1012628).
  - selftests/bpf: Free the allocated resources after test case
    succeeds (bsc#1012628).
  - bnxt_en: replace reset with config timestamps (bsc#1012628).
  - Bluetooth: hci_event: Make sure ISO events don't affect non-ISO
    connections (bsc#1012628).
  - Bluetooth: hci_sysfs: Fix attempting to call device_add multiple
    times (bsc#1012628).
  - Bluetooth: L2CAP: initialize delayed works at
    l2cap_chan_create() (bsc#1012628).
  - wifi: rtw89: fix rx filter after scan (bsc#1012628).
  - wifi: rtw89: free unused skb to prevent memory leak
    (bsc#1012628).
  - wifi: mt76: mt7921: reset msta->airtime_ac while clearing up
    hw value (bsc#1012628).
  - wifi: ath11k: mhi: fix potential memory leak in
    ath11k_mhi_register() (bsc#1012628).
  - regulator: core: Prevent integer underflow (bsc#1012628).
  - Bluetooth: btintel: Mark Intel controller to support LE_STATES
    quirk (bsc#1012628).
  - wifi: brcmfmac: fix use-after-free bug in
    brcmf_netdev_start_xmit() (bsc#1012628).
  - iavf: Fix race between iavf_close and iavf_reset_task
    (bsc#1012628).
  - net: ftmac100: fix endianness-related issues from 'sparse'
    (bsc#1012628).
  - rtw89: ser: leave lps with mutex (bsc#1012628).
  - wifi: ath11k: Register shutdown handler for WCN6750
    (bsc#1012628).
  - xfrm: Update ipcomp_scratches with NULL when freed
    (bsc#1012628).
  - net-next: Fix IP_UNICAST_IF option behavior for connected
    sockets (bsc#1012628).
  - net: axienet: Switch to 64-bit RX/TX statistics (bsc#1012628).
  - x86/apic: Don't disable x2APIC if locked (bsc#1012628).
  - thunderbolt: Add back Intel Falcon Ridge end-to-end flow
    control workaround (bsc#1012628).
  - wifi: ath9k: avoid uninit memory read in ath9k_htc_rx_msg()
    (bsc#1012628).
  - x86/mce: Retrieve poison range from hardware (bsc#1012628).
  - wifi: mac80211: accept STA changes without link changes
    (bsc#1012628).
  - micrel: ksz8851: fixes struct pointer issue (bsc#1012628).
  - tcp: annotate data-race around tcp_md5sig_pool_populated
    (bsc#1012628).
  - openvswitch: Fix overreporting of drops in dropwatch
    (bsc#1012628).
  - openvswitch: Fix double reporting of drops in dropwatch
    (bsc#1012628).
  - net: ethernet: ti: davinci_mdio: Add workaround for errata i2329
    (bsc#1012628).
  - bpftool: Clear errno after libcap's checks (bsc#1012628).
  - wifi: brcmfmac: fix invalid address access when enabling SCAN
    log level (bsc#1012628).
  - libbpf: Do not require executable permission for shared
    libraries (bsc#1012628).
  - libbpf: Ensure functions with always_inline attribute are inline
    (bsc#1012628).
  - NFSD: fix use-after-free on source server when doing
    inter-server copy (bsc#1012628).
  - NFSD: Return nfserr_serverfault if splice_ok but buf->pages
    have data (bsc#1012628).
  - x86/entry: Work around Clang __bdos() bug (bsc#1012628).
  - ACPI: x86: Add a quirk for Dell Inspiron 14 2-in-1 for
    StorageD3Enable (bsc#1012628).
  - ARM: decompressor: Include .data.rel.ro.local (bsc#1012628).
  - thermal: intel_powerclamp: Use get_cpu() instead of
    smp_processor_id() to avoid crash (bsc#1012628).
  - powercap: intel_rapl: fix UBSAN shift-out-of-bounds issue
    (bsc#1012628).
  - MIPS: BCM47XX: Cast memcmp() of function to (void *)
    (bsc#1012628).
  - cpufreq: intel_pstate: Add Tigerlake support in no-HWP mode
    (bsc#1012628).
  - ACPI: tables: FPDT: Don't call acpi_os_map_memory() on invalid
    phys address (bsc#1012628).
  - fortify: Fix __compiletime_strlen() under UBSAN_BOUNDS_LOCAL
    (bsc#1012628).
  - ACPI: video: Add Toshiba Satellite/Portege Z830 quirk
    (bsc#1012628).
  - cpufreq: amd_pstate: fix wrong lowest perf fetch (bsc#1012628).
  - rcu-tasks: Ensure RCU Tasks Trace loops have quiescent states
    (bsc#1012628).
  - rcu-tasks: Convert RCU_LOCKDEP_WARN() to WARN_ONCE()
    (bsc#1012628).
  - rcu: Back off upon fill_page_cache_func() allocation failure
    (bsc#1012628).
  - rcu: Avoid triggering strict-GP irq-work when RCU is idle
    (bsc#1012628).
  - fs: dlm: fix race in lowcomms (bsc#1012628).
  - module: tracking: Keep a record of tainted unloaded modules only
    (bsc#1012628).
  - ARM/dma-mapping: don't override ->dma_coherent when set from
    a bus notifier (bsc#1012628).
  - selftest: tpm2: Add Client.__del__() to close /dev/tpm* handle
    (bsc#1012628).
  - tools/power turbostat: Use standard Energy Unit for SPR Dram
    RAPL domain (bsc#1012628).
  - f2fs: fix to account FS_CP_DATA_IO correctly (bsc#1012628).
  - f2fs: fix race condition on setting FI_NO_EXTENT flag
    (bsc#1012628).
  - ACPI: APEI: do not add task_work to kernel thread to avoid
    memory leak (bsc#1012628).
  - thermal/drivers/qcom/tsens-v0_1: Fix MSM8939 fourth sensor hw_id
    (bsc#1012628).
  - random: schedule jitter credit for next jiffy, not in two
    jiffies (bsc#1012628).
  - crypto: cavium - prevent integer overflow loading firmware
    (bsc#1012628).
  - crypto: marvell/octeontx - prevent integer overflows
    (bsc#1012628).
  - kbuild: rpm-pkg: fix breakage when V=1 is used (bsc#1012628).
  - linux/export: use inline assembler to populate symbol CRCs
    (bsc#1012628).
  - kbuild: remove the target in signal traps when interrupted
    (bsc#1012628).
  - ftrace: Fix recursive locking direct_mutex in
    ftrace_modify_direct_caller (bsc#1012628).
  - tracing/osnoise: Fix possible recursive locking in
    stop_per_cpu_kthreads (bsc#1012628).
  - tracing: kprobe: Make gen test module work in arm and riscv
    (bsc#1012628).
  - tracing: kprobe: Fix kprobe event gen test module on exit
    (bsc#1012628).
  - iommu/iova: Fix module config properly (bsc#1012628).
  - cifs: return correct error in ->calc_signature() (bsc#1012628).
  - clocksource/drivers/timer-gxp: Add missing error handling in
    gxp_timer_probe (bsc#1012628).
  - clocksource/drivers/arm_arch_timer: Fix handling of ARM erratum
    858921 (bsc#1012628).
  - crypto: qat - fix DMA transfer direction (bsc#1012628).
  - crypto: inside-secure - Change swab to swab32 (bsc#1012628).
  - crypto: ccp - Release dma channels before dmaengine unrgister
    (bsc#1012628).
  - crypto: akcipher - default implementation for setting a private
    key (bsc#1012628).
  - iommu/omap: Fix buffer overflow in debugfs (bsc#1012628).
  - cgroup/cpuset: Enable update_tasks_cpumask() on top_cpuset
    (bsc#1012628).
  - crypto: hisilicon/qm - fix missing put dfx access (bsc#1012628).
  - crypto: qat - fix default value of WDT timer (bsc#1012628).
  - hwrng: imx-rngc - Moving IRQ handler registering after
    imx_rngc_irq_mask_clear() (bsc#1012628).
  - hwrng: imx-rngc - use devm_clk_get_enabled (bsc#1012628).
  - cgroup: Honor caller's cgroup NS when resolving path
    (bsc#1012628).
  - crypto: ccp - Fail the PSP initialization when writing psp
    data file failed (bsc#1012628).
  - hwrng: arm-smccc-trng - fix NO_ENTROPY handling (bsc#1012628).
  - crypto: hisilicon/zip - fix mismatch in get/set sgl_sge_nr
    (bsc#1012628).
  - crypto: sahara - don't sleep when in softirq (bsc#1012628).
  - powerpc/pseries/vas: Pass hw_cpu_id to node associativity HCALL
    (bsc#1012628).
  - powerpc/kprobes: Fix null pointer reference in
    arch_prepare_kprobe() (bsc#1012628).
  - powerpc: Fix SPE Power ISA properties for e500v1 platforms
    (bsc#1012628).
  - powerpc/64/interrupt: Fix return to masked context after
    hard-mask irq becomes pending (bsc#1012628).
  - powerpc/64: mark irqs hard disabled in boot paca (bsc#1012628).
  - powerpc/64/interrupt: Fix false warning in context tracking
    due to idle state (bsc#1012628).
  - powerpc/64s: Fix GENERIC_CPU build flags for PPC970 / G5
    (bsc#1012628).
  - x86/hyperv: Fix 'struct hv_enlightened_vmcs' definition
    (bsc#1012628).
  - powerpc: Fix fallocate and fadvise64_64 compat parameter
    combination (bsc#1012628).
  - powerpc: dts: turris1x.dts: Fix labels in DSA cpu port nodes
    (bsc#1012628).
  - powerpc: dts: turris1x.dts: Fix NOR partitions labels
    (bsc#1012628).
  - cpuidle: riscv-sbi: Fix CPU_PM_CPU_IDLE_ENTER_xyz() macro usage
    (bsc#1012628).
  - powerpc/powernv: add missing of_node_put() in
    opal_export_attrs() (bsc#1012628).
  - powerpc/pci_dn: Add missing of_node_put() (bsc#1012628).
  - powerpc/sysdev/fsl_msi: Add missing of_node_put() (bsc#1012628).
  - powerpc/math_emu/efp: Include module.h (bsc#1012628).
  - powerpc/configs: Properly enable PAPR_SCM in pseries_defconfig
    (bsc#1012628).
  - ipc: mqueue: fix possible memory leak in init_mqueue_fs()
    (bsc#1012628).
  - mailbox: bcm-ferxrm-mailbox: Fix error check for dma_map_sg
    (bsc#1012628).
  - mailbox: mpfs: account for mbox offsets while sending
    (bsc#1012628).
  - mailbox: mpfs: fix handling of the reg property (bsc#1012628).
  - mailbox: imx: fix RST channel support (bsc#1012628).
  - clk: ast2600: BCLK comes from EPLL (bsc#1012628).
  - clk: ti: dra7-atl: Fix reference leak in of_dra7_atl_clk_probe
    (bsc#1012628).
  - clk: ti: Balance of_node_get() calls for of_find_node_by_name()
    (bsc#1012628).
  - clk: imx: scu: fix memleak on platform_device_add() fails
    (bsc#1012628).
  - clk: imx8mp: tune the order of enet_qos_root_clk (bsc#1012628).
  - clk: bcm2835: fix bcm2835_clock_rate_from_divisor declaration
    (bsc#1012628).
  - clk: bcm2835: Make peripheral PLLC critical (bsc#1012628).
  - clk: baikal-t1: Add SATA internal ref clock buffer
    (bsc#1012628).
  - clk: baikal-t1: Add shared xGMAC ref/ptp clocks internal parent
    (bsc#1012628).
  - clk: baikal-t1: Fix invalid xGMAC PTP clock divider
    (bsc#1012628).
  - clk: vc5: Fix 5P49V6901 outputs disabling when enabling FOD
    (bsc#1012628).
  - spmi: pmic-arb: correct duplicate APID to PPID mapping logic
    (bsc#1012628).
  - usb: mtu3: fix failed runtime suspend in host only mode
    (bsc#1012628).
  - HID: amd_sfh: Handle condition of "no sensors" for SFH1.1
    (bsc#1012628).
  - dmaengine: ioat: stop mod_timer from resurrecting deleted
    timer in __cleanup() (bsc#1012628).
  - io_uring/rw: defer fsnotify calls to task context (bsc#1012628).
  - clk: mediatek: Migrate remaining clk_unregister_*() to
    clk_hw_unregister_*() (bsc#1012628).
  - clk: mediatek: fix unregister function in
    mtk_clk_register_dividers cleanup (bsc#1012628).
  - clk: mediatek: clk-mt8195-mfg: Reparent mfg_bg3d and propagate
    rate changes (bsc#1012628).
  - clk: mediatek: mt8183: mfgcfg: Propagate rate changes to parent
    (bsc#1012628).
  - mfd: da9061: Fix Failed to set Two-Wire Bus Mode (bsc#1012628).
  - mfd: sm501: Add check for platform_driver_register()
    (bsc#1012628).
  - mfd: fsl-imx25: Fix check for platform_get_irq() errors
    (bsc#1012628).
  - mfd: lp8788: Fix an error handling path in lp8788_irq_init()
    and lp8788_irq_init() (bsc#1012628).
  - mfd: lp8788: Fix an error handling path in lp8788_probe()
    (bsc#1012628).
  - mfd: fsl-imx25: Fix an error handling path in
    mx25_tsadc_setup_irq() (bsc#1012628).
  - mfd: intel_soc_pmic: Fix an error handling path in
    intel_soc_pmic_i2c_probe() (bsc#1012628).
  - fsi: core: Check error number after calling ida_simple_get
    (bsc#1012628).
  - RDMA/rxe: Fix resize_finish() in rxe_queue.c (bsc#1012628).
  - RDMA/rxe: Set pd early in mr alloc routines (bsc#1012628).
  - nvmet-auth: don't try to cancel a non-initialized work_struct
    (bsc#1012628).
  - clk: qcom: gcc-sm6115: Override default Alpha PLL regs
    (bsc#1012628).
  - clk: qcom: apss-ipq6018: mark apcs_alias0_core_clk as critical
    (bsc#1012628).
  - scsi: iscsi: iscsi_tcp: Fix null-ptr-deref while calling
    getpeername() (bsc#1012628).
  - scsi: pm8001: Fix running_req for internal abort commands
    (bsc#1012628).
  - scsi: libsas: Fix use-after-free bug in smp_execute_task_sg()
    (bsc#1012628).
  - serial: 8250: Fix restoring termios speed after suspend
    (bsc#1012628).
  - firmware: google: Test spinlock on panic path to avoid lockups
    (bsc#1012628).
  - slimbus: qcom-ngd: Add error handling in
    of_qcom_slim_ngd_register (bsc#1012628).
  - staging: vt6655: fix some erroneous memory clean-up loops
    (bsc#1012628).
  - phy: qualcomm: call clk_disable_unprepare in the error handling
    (bsc#1012628).
  - tty: serial: fsl_lpuart: disable dma rx/tx use flags in
    lpuart_dma_shutdown (bsc#1012628).
  - serial: 8250: Toggle IER bits on only after irq has been set up
    (bsc#1012628).
  - drivers: serial: jsm: fix some leaks in probe (bsc#1012628).
  - usb: dwc3: core: fix some leaks in probe (bsc#1012628).
  - usb: typec: anx7411: Use of_get_child_by_name() instead of
    of_find_node_by_name() (bsc#1012628).
  - usb: gadget: function: fix dangling pnp_string in f_printer.c
    (bsc#1012628).
  - xhci: Don't show warning for reinit on known broken suspend
    (bsc#1012628).
  - IB: Set IOVA/LENGTH on IB_MR in core/uverbs layers
    (bsc#1012628).
  - RDMA/cm: Use SLID in the work completion as the DLID in
    responder side (bsc#1012628).
  - md: Remove extra mddev_get() in md_seq_start() (bsc#1012628).
  - md/raid5: Remove unnecessary bio_put() in raid5_read_one_chunk()
    (bsc#1012628).
  - md/raid5: Ensure stripe_fill happens on non-read IO with journal
    (bsc#1012628).
  - md: Replace snprintf with scnprintf (bsc#1012628).
  - io_uring/fdinfo: fix sqe dumping for IORING_SETUP_SQE128
    (bsc#1012628).
  - eventfd: guard wake_up in eventfd fs calls as well
    (bsc#1012628).
  - block: Fix the enum blk_eh_timer_return documentation
    (bsc#1012628).
  - mtd: rawnand: meson: fix bit map use in meson_nfc_ecc_correct()
    (bsc#1012628).
  - ata: fix ata_id_has_dipm() (bsc#1012628).
  - ata: fix ata_id_has_ncq_autosense() (bsc#1012628).
  - ata: fix ata_id_has_devslp() (bsc#1012628).
  - ata: fix ata_id_sense_reporting_enabled() and
    ata_id_has_sense_reporting() (bsc#1012628).
  - RDMA/siw: Fix QP destroy to wait for all references dropped
    (bsc#1012628).
  - RDMA/siw: Always consume all skbuf data in sk_data_ready()
    upcall (bsc#1012628).
  - RDMA/srp: Fix srp_abort() (bsc#1012628).
  - RDMA/irdma: Validate udata inlen and outlen (bsc#1012628).
  - RDMA/irdma: Align AE id codes to correct flush code and event
    (bsc#1012628).
  - mtd: rawnand: fsl_elbc: Fix none ECC mode (bsc#1012628).
  - mtd: rawnand: intel: Remove undocumented compatible string
    (bsc#1012628).
  - mtd: rawnand: intel: Read the chip-select line from the correct
    OF node (bsc#1012628).
  - phy: phy-mtk-tphy: fix the phy type setting issue (bsc#1012628).
  - phy: amlogic: phy-meson-axg-mipi-pcie-analog: Hold reference
    returned by of_get_parent() (bsc#1012628).
  - phy: qcom-qmp-usb: disable runtime PM on unbind (bsc#1012628).
  - remoteproc: Harden rproc_handle_vdev() against integer overflow
    (bsc#1012628).
  - mtd: devices: docg3: check the return value of devm_ioremap()
    in the probe (bsc#1012628).
  - scsi: lpfc: Fix various issues reported by tools (bsc#1012628).
  - clk: qcom: sm6115: Select QCOM_GDSC (bsc#1012628).
  - dyndbg: drop EXPORTed dynamic_debug_exec_queries (bsc#1012628).
  - dyndbg: let query-modname override actual module name
    (bsc#1012628).
  - dyndbg: fix module.dyndbg handling (bsc#1012628).
  - dyndbg: fix static_branch manipulation (bsc#1012628).
  - usb: gadget: f_fs: stricter integer overflow checks
    (bsc#1012628).
  - iio: Use per-device lockdep class for mlock (bsc#1012628).
  - dmaengine: hisilicon: Add multi-thread support for a DMA channel
    (bsc#1012628).
  - dmaengine: hisilicon: Fix CQ head update (bsc#1012628).
  - dmaengine: hisilicon: Disable channels when unregister hisi_dma
    (bsc#1012628).
  - dmaengine: idxd: avoid deadlock in process_misc_interrupts()
    (bsc#1012628).
  - phy: rockchip-inno-usb2: Return zero after otg sync
    (bsc#1012628).
  - fpga: prevent integer overflow in dfl_feature_ioctl_set_irq()
    (bsc#1012628).
  - fpga: dfl-pci: Add IDs for Intel N6000, N6001 and C6100 cards
    (bsc#1012628).
  - misc: ocxl: fix possible refcount leak in afu_ioctl()
    (bsc#1012628).
  - clk: mediatek: mt8195-infra_ao: Set pwrmcu clocks as critical
    (bsc#1012628).
  - clk: mediatek: clk-mt8195-vdo1: Reparent and set rate on
    vdo1_dpintf's parent (bsc#1012628).
  - clk: mediatek: clk-mt8195-vdo0: Set rate on
    vdo0_dp_intf0_dp_intf's parent (bsc#1012628).
  - RDMA/rxe: Fix the error caused by qp->sk (bsc#1012628).
  - RDMA/rxe: Fix "kernel NULL pointer dereference" error
    (bsc#1012628).
  - media: xilinx: vipp: Fix refcount leak in xvip_graph_dma_init
    (bsc#1012628).
  - media: uvcvideo: Use entity get_cur in uvc_ctrl_set
    (bsc#1012628).
  - media: uvcvideo: Fix memory leak in uvc_gpio_parse
    (bsc#1012628).
  - media: meson: vdec: add missing clk_disable_unprepare on error
    in vdec_hevc_start() (bsc#1012628).
  - media: amphion: fix a bug that vpu core may not resume after
    suspend (bsc#1012628).
  - media: amphion: don't change the colorspace reported by decoder
    (bsc#1012628).
  - media: amphion: adjust the encoder's value range of gop size
    (bsc#1012628).
  - media: amphion: insert picture startcode after seek for vc1g
    format (bsc#1012628).
  - media: mediatek: vcodec: Skip non CBR bitrate mode
    (bsc#1012628).
  - tty: xilinx_uartps: Fix the ignore_status (bsc#1012628).
  - tty: xilinx_uartps: Check clk_enable return value (bsc#1012628).
  - media: airspy: fix memory leak in airspy probe (bsc#1012628).
  - media: exynos4-is: fimc-is: Add of_node_put() when breaking
    out of loop (bsc#1012628).
  - clk: qcom: gcc-sdm660: Use floor ops for SDCC1 clock
    (bsc#1012628).
  - HSI: omap_ssi_port: Fix dma_map_sg error check (bsc#1012628).
  - HSI: omap_ssi: Fix refcount leak in ssi_probe (bsc#1012628).
  - HID: uclogic: Fix warning in uclogic_rdesc_template_apply
    (bsc#1012628).
  - HID: uclogic: Add missing suffix for digitalizers (bsc#1012628).
  - clk: samsung: exynosautov9: correct register offsets of
    peric0/c1 (bsc#1012628).
  - clk: tegra20: Fix refcount leak in tegra20_clock_init
    (bsc#1012628).
  - clk: tegra: Fix refcount leak in tegra114_clock_init
    (bsc#1012628).
  - clk: tegra: Fix refcount leak in tegra210_clock_init
    (bsc#1012628).
  - coresight: docs: Fix a broken reference (bsc#1012628).
  - clk: sprd: Hold reference returned by of_get_parent()
    (bsc#1012628).
  - clk: berlin: Add of_node_put() for of_get_parent()
    (bsc#1012628).
  - clk: qoriq: Hold reference returned by of_get_parent()
    (bsc#1012628).
  - clk: oxnas: Hold reference returned by of_get_parent()
    (bsc#1012628).
  - clk: st: Hold reference returned by of_get_parent()
    (bsc#1012628).
  - clk: meson: Hold reference returned by of_get_parent()
    (bsc#1012628).
  - usb: common: debug: Check non-standard control requests
    (bsc#1012628).
  - usb: common: usb-conn-gpio: Simplify some error message
    (bsc#1012628).
  - RDMA/mlx5: Don't compare mkey tags in DEVX indirect mkey
    (bsc#1012628).
  - iio: magnetometer: yas530: Change data type of hard_offsets
    to signed (bsc#1012628).
  - iio: ABI: Fix wrong format of differential capacitance channel
    ABI (bsc#1012628).
  - iio: inkern: fix return value in
    devm_of_iio_channel_get_by_name() (bsc#1012628).
  - iio: inkern: only release the device node when done with it
    (bsc#1012628).
  - iio: adc: at91-sama5d2_adc: disable/prepare buffer on
    suspend/resume (bsc#1012628).
  - iio: adc: at91-sama5d2_adc: lock around oversampling and sample
    freq (bsc#1012628).
  - iio: adc: at91-sama5d2_adc: check return status for pressure
    and touch (bsc#1012628).
  - iio: adc: at91-sama5d2_adc: fix AT91_SAMA5D2_MR_TRACKTIM_MAX
    (bsc#1012628).
  - selftests/cpu-hotplug: Reserve one cpu online at least
    (bsc#1012628).
  - selftests/cpu-hotplug: Delete fault injection related code
    (bsc#1012628).
  - selftests/cpu-hotplug: Use return instead of exit (bsc#1012628).
  - iomap: iomap: fix memory corruption when recording errors
    during writeback (bsc#1012628).
  - ARM: dts: exynos: fix polarity of VBUS GPIO of Origen
    (bsc#1012628).
  - arm64: dts: exynos: fix polarity of "enable" line of NFC chip
    in TM2 (bsc#1012628).
  - arm64: ftrace: fix module PLTs with mcount (bsc#1012628).
  - ext4: don't run ext4lazyinit for read-only filesystems
    (bsc#1012628).
  - ext4: continue to expand file system when the target size
    doesn't reach (bsc#1012628).
  - ARM: Drop CMDLINE_* dependency on ATAGS (bsc#1012628).
  - ARM: dts: exynos: correct s5k6a3 reset polarity on Midas family
    (bsc#1012628).
  - arm64: dts: ti: k3-j7200: fix main pinmux range (bsc#1012628).
  - arm64: dts: qcom: sm8450: fix UFS PHY serdes size (bsc#1012628).
  - arm64: dts: qcom: ipq8074: fix PCIe PHY serdes size
    (bsc#1012628).
  - soc/tegra: fuse: Drop Kconfig dependency on TEGRA20_APB_DMA
    (bsc#1012628).
  - soc/tegra: fuse: Add missing of_node_put() in tegra_init_fuse()
    (bsc#1012628).
  - arm64: dts: qcom: sm8350-sagami: correct TS pin property
    (bsc#1012628).
  - ia64: export memory_add_physaddr_to_nid to fix cxl build error
    (bsc#1012628).
  - arm64: dts: marvell: 98dx25xx: use correct property for i2c
    gpios (bsc#1012628).
  - ARM: dts: kirkwood: lsxl: remove first ethernet port
    (bsc#1012628).
  - ARM: dts: kirkwood: lsxl: fix serial line (bsc#1012628).
  - ARM: dts: turris-omnia: Fix mpp26 pin name and comment
    (bsc#1012628).
  - arm64: dts: qcom: sc7180-trogdor: Keep pm6150_adc enabled for TZ
    (bsc#1012628).
  - arm64: dts: qcom: pm8350c: Drop PWM reg declaration
    (bsc#1012628).
  - arm64: dts: qcom: sa8295p-adp: disallow regulator mode switches
    (bsc#1012628).
  - arm64: dts: qcom: sc8280xp-lenovo-thinkpad-x13s: disallow
    regulator mode switches (bsc#1012628).
  - arm64: dts: qcom: sc8280xp-crd: disallow regulator mode switches
    (bsc#1012628).
  - arm64: dts: qcom: sc7280: Update lpasscore node (bsc#1012628).
  - arm64: dts: qcom: sc7280: Cleanup the lpasscc node
    (bsc#1012628).
  - arm64: dts: qcom: sdm845-xiaomi-polaris: Fix sde_dsi_active
    pinctrl (bsc#1012628).
  - dt-bindings: clock: exynosautov9: correct clock numbering of
    peric0/c1 (bsc#1012628).
  - arm64: dts: renesas: r9a07g043: Fix SCI{Rx,Tx} interrupt types
    (bsc#1012628).
  - arm64: dts: renesas: r9a07g054: Fix SCI{Rx,Tx} interrupt types
    (bsc#1012628).
  - arm64: dts: renesas: r9a07g044: Fix SCI{Rx,Tx} interrupt types
    (bsc#1012628).
  - ARM: dts: imx6qdl-kontron-samx6i: hook up DDC i2c bus
    (bsc#1012628).
  - soc: qcom: smem_state: Add refcounting for the 'state->of_node'
    (bsc#1012628).
  - soc: qcom: smsm: Fix refcount leak bugs in qcom_smsm_probe()
    (bsc#1012628).
  - locks: fix TOCTOU race when granting write lease (bsc#1012628).
  - memory: of: Fix refcount leak bug in of_lpddr3_get_ddr_timings()
    (bsc#1012628).
  - memory: of: Fix refcount leak bug in of_get_ddr_timings()
    (bsc#1012628).
  - memory: pl353-smc: Fix refcount leak bug in pl353_smc_probe()
    (bsc#1012628).
  - ALSA: hda/hdmi: Don't skip notification handling during PM
    operation (bsc#1012628).
  - ASoC: rockchip: i2s: use regmap_read_poll_timeout_atomic to
    poll I2S_CLR (bsc#1012628).
  - ASoC: mt6660: Fix PM disable depth imbalance in mt6660_i2c_probe
    (bsc#1012628).
  - ASoC: wm5102: Fix PM disable depth imbalance in wm5102_probe
    (bsc#1012628).
  - ASoC: wm5110: Fix PM disable depth imbalance in wm5110_probe
    (bsc#1012628).
  - ASoC: wm8997: Fix PM disable depth imbalance in wm8997_probe
    (bsc#1012628).
  - ASoC: wcd-mbhc-v2: Revert "ASoC: wcd-mbhc-v2: use
    pm_runtime_resume_and_get()" (bsc#1012628).
  - ASoC: stm: Fix PM disable depth imbalance in stm32_i2s_probe
    (bsc#1012628).
  - ASoC: stm32: spdifrx: Fix PM disable depth imbalance in
    stm32_spdifrx_probe (bsc#1012628).
  - ASoC: stm32: dfsdm: Fix PM disable depth imbalance in
    stm32_adfsdm_probe (bsc#1012628).
  - mmc: wmt-sdmmc: Fix an error handling path in wmt_mci_probe()
    (bsc#1012628).
  - ALSA: dmaengine: increment buffer pointer atomically
    (bsc#1012628).
  - ASoC: da7219: Fix an error handling path in
    da7219_register_dai_clks() (bsc#1012628).
  - ASoC: codecs: tx-macro: fix kcontrol put (bsc#1012628).
  - virtio-gpu: fix shift wrapping bug in
    virtio_gpu_fence_event_create() (bsc#1012628).
  - drm/vmwgfx: Fix memory leak in vmw_mksstat_add_ioctl()
    (bsc#1012628).
  - ASoC: SOF: ipc4-topology: Free the ida when IPC fails in
    sof_ipc4_widget_setup() (bsc#1012628).
  - ALSA: usb-audio: Properly refcounting clock rate (bsc#1012628).
  - ALSA: hda/hdmi: Fix the converter allocation for the silent
    stream (bsc#1012628).
  - ALSA: hda/hdmi: change type for the 'assigned' variable
    (bsc#1012628).
  - drm/msm/dp: correct 1.62G link rate at
    dp_catalog_ctrl_config_msa() (bsc#1012628).
  - drm/msm/dpu: index dpu_kms->hw_vbif using vbif_idx
    (bsc#1012628).
  - drm/msm: lookup the ICC paths in both mdp5/dpu and mdss devices
    (bsc#1012628).
  - ASoC: eureka-tlv320: Hold reference returned from of_find_xxx
    API (bsc#1012628).
  - mmc: au1xmmc: Fix an error handling path in au1xmmc_probe()
    (bsc#1012628).
  - ASoC: rockchip: i2s: use regmap_read_poll_timeout to poll
    I2S_CLR (bsc#1012628).
  - drm/amdgpu: Fix memory leak in hpd_rx_irq_create_workqueue()
    (bsc#1012628).
  - drm/omap: dss: Fix refcount leak bugs (bsc#1012628).
  - ASoC: SOF: mediatek: mt8195: Import namespace
    SND_SOC_SOF_MTK_COMMON (bsc#1012628).
  - ASoC: mediatek: mt8195-mt6359: Properly register sound card
    for SOF (bsc#1012628).
  - drm/bochs: fix blanking (bsc#1012628).
  - drm/virtio: set fb_modifiers_not_supported (bsc#1012628).
  - ALSA: hda: beep: Simplify keep-power-at-enable behavior
    (bsc#1012628).
  - ASoC: wm_adsp: Handle optional legacy support (bsc#1012628).
  - ASoC: rsnd: Add check for rsnd_mod_power_on (bsc#1012628).
  - drm/bridge: it6505: Fix the order of DP_SET_POWER commands
    (bsc#1012628).
  - drm/bridge: megachips: Fix a null pointer dereference bug
    (bsc#1012628).
  - drm/amdgpu: add missing pci_disable_device() in
    amdgpu_pmops_runtime_resume() (bsc#1012628).
  - platform/chrome: cros_ec_typec: Correct alt mode index
    (bsc#1012628).
  - platform/chrome: cros_ec_typec: Add bit offset for DP VDO
    (bsc#1012628).
  - drm: fix drm_mipi_dbi build errors (bsc#1012628).
  - drm/panel: use 'select' for Ili9341 panel driver helpers
    (bsc#1012628).
  - platform/x86: msi-laptop: Fix resource cleanup (bsc#1012628).
  - platform/x86: msi-laptop: Fix old-ec check for backlight
    registering (bsc#1012628).
  - ASoC: tas2764: Fix mute/unmute (bsc#1012628).
  - ASoC: tas2764: Drop conflicting set_bias_level power setting
    (bsc#1012628).
  - ASoC: tas2764: Allow mono streams (bsc#1012628).
  - ASoC: soc-pcm.c: call __soc_pcm_close() in soc_pcm_close()
    (bsc#1012628).
  - drm/virtio: Fix same-context optimization (bsc#1012628).
  - drm/i915/dg2: Bump up CDCLK for DG2 (bsc#1012628).
  - platform/chrome: fix memory corruption in ioctl (bsc#1012628).
  - platform/chrome: fix double-free in chromeos_laptop_prepare()
    (bsc#1012628).
  - drm/msm: Make .remove and .shutdown HW shutdown consistent
    (bsc#1012628).
  - ASoC: amd: acp: add missing platform_device_unregister()
    in acp_pci_probe() (bsc#1012628).
  - ASoC: mt6359: fix tests for platform_get_irq() failure
    (bsc#1012628).
  - drm:pl111: Add of_node_put() when breaking out of
    for_each_available_child_of_node() (bsc#1012628).
  - drm/dp_mst: fix drm_dp_dpcd_read return value checks
    (bsc#1012628).
  - drm/format-helper: Fix test on big endian architectures
    (bsc#1012628).
  - drm/bridge: parade-ps8640: Fix regulator supply order
    (bsc#1012628).
  - drm/bridge: tc358767: Add of_node_put() when breaking out of
    loop (bsc#1012628).
  - drm/bridge: anx7625: Fix refcount bug in anx7625_parse_dt()
    (bsc#1012628).
  - drm/virtio: Correct drm_gem_shmem_get_sg_table() error handling
    (bsc#1012628).
  - video/aperture: Disable and unregister sysfb devices via
    aperture helpers (bsc#1012628).
  - drm/bridge: it6505: Power on downstream device in .atomic_enable
    (bsc#1012628).
  - drm/vc4: drv: Call component_unbind_all() (bsc#1012628).
  - drm/mipi-dsi: Detach devices when removing the host
    (bsc#1012628).
  - drm/bridge: Avoid uninitialized variable warning (bsc#1012628).
  - drm: bridge: adv7511: unregister cec i2c device after cec
    adapter (bsc#1012628).
  - drm: bridge: adv7511: fix CEC power down control register offset
    (bsc#1012628).
  - net: mvpp2: fix mvpp2 debugfs leak (bsc#1012628).
  - once: add DO_ONCE_SLOW() for sleepable contexts (bsc#1012628).
  - net/ieee802154: reject zero-sized raw_sendmsg() (bsc#1012628).
  - net: wwan: iosm: Call mutex_init before locking it
    (bsc#1012628).
  - eth: sp7021: fix use after free bug in
    spl2sw_nvmem_get_mac_address (bsc#1012628).
  - bnx2x: fix potential memory leak in bnx2x_tpa_stop()
    (bsc#1012628).
  - eth: lan743x: reject extts for non-pci11x1x devices
    (bsc#1012628).
  - net: prestera: acl: Add check for kmemdup (bsc#1012628).
  - af_unix: Fix memory leaks of the whole sk due to OOB skb
    (bsc#1012628).
  - net: rds: don't hold sock lock when cancelling work from
    rds_tcp_reset_callbacks() (bsc#1012628).
  - hwmon: (pmbus/mp2888) Fix sensors readouts for MPS Multi-phase
    mp2888 controller (bsc#1012628).
  - Bluetooth: hci_sync: Fix not indicating power state
    (bsc#1012628).
  - spi: Ensure that sg_table won't be used after being freed
    (bsc#1012628).
  - tcp: fix tcp_cwnd_validate() to not forget is_cwnd_limited
    (bsc#1012628).
  - sctp: handle the error returned from
    sctp_auth_asoc_init_active_key (bsc#1012628).
  - mISDN: fix use-after-free bugs in l1oip timer handlers
    (bsc#1012628).
  - eth: alx: take rtnl_lock on resume (bsc#1012628).
  - vhost/vsock: Use kvmalloc/kvfree for larger packets
    (bsc#1012628).
  - wifi: rtl8xxxu: Fix AIFS written to REG_EDCA_*_PARAM
    (bsc#1012628).
  - wifi: rtl8xxxu: gen2: Enable 40 MHz channel width (bsc#1012628).
  - Bluetooth: Prevent double register of suspend (bsc#1012628).
  - spi: s3c64xx: Fix large transfers with DMA (bsc#1012628).
  - netfilter: nft_fib: Fix for rpath check with VRF devices
    (bsc#1012628).
  - xfrm: Reinject transport-mode packets through workqueue
    (bsc#1012628).
  - Bluetooth: hci_core: Fix not handling link timeouts propertly
    (bsc#1012628).
  - i2c: mlxbf: support lock mechanism (bsc#1012628).
  - libbpf: Don't require full struct enum64 in UAPI headers
    (bsc#1012628).
  - cw1200: fix incorrect check to determine if no element is
    found in list (bsc#1012628).
  - skmsg: Schedule psock work if the cached skb exists on the psock
    (bsc#1012628).
  - spi/omap100k:Fix PM disable depth imbalance in
    omap1_spi100k_probe (bsc#1012628).
  - spi: dw: Fix PM disable depth imbalance in dw_spi_bt1_probe
    (bsc#1012628).
  - spi: cadence-quadspi: Fix PM disable depth imbalance in
    cqspi_probe (bsc#1012628).
  - x86/cpu: Include the header of init_ia32_feat_ctl()'s prototype
    (bsc#1012628).
  - wifi: ath11k: fix peer addition/deletion error on sta band
    migration (bsc#1012628).
  - libbpf: restore memory layout of bpf_object_open_opts
    (bsc#1012628).
  - x86/microcode/AMD: Track patch allocation size explicitly
    (bsc#1012628).
  - mips: dts: ralink: mt7621: fix external phy on GB-PC2
    (bsc#1012628).
  - wifi: ath11k: fix number of VHT beamformee spatial streams
    (bsc#1012628).
  - wifi: ath11k: fix failed to find the peer with peer_id 0 when
    disconnected (bsc#1012628).
  - mwifiex: fix sleep in atomic context bugs caused by
    dev_coredumpv (bsc#1012628).
  - flow_dissector: Do not count vlan tags inside tunnel payload
    (bsc#1012628).
  - selftests/bpf: Adapt cgroup effective query uapi change
    (bsc#1012628).
  - bpftool: Fix wrong cgroup attach flags being assigned to
    effective progs (bsc#1012628).
  - bpf, cgroup: Reject prog_attach_flags array when effective query
    (bsc#1012628).
  - netfilter: conntrack: revisit the gc initial rescheduling bias
    (bsc#1012628).
  - netfilter: conntrack: fix the gc rescheduling delay
    (bsc#1012628).
  - libbpf: Fix NULL pointer exception in API
    btf_dump__dump_type_data (bsc#1012628).
  - Bluetooth: hci_{ldisc,serdev}: check percpu_init_rwsem()
    failure (bsc#1012628).
  - wifi: ath11k: Include STA_KEEPALIVE_ARP_RESPONSE TLV header
    by default (bsc#1012628).
  - libbpf: Fix crash if SEC("freplace") programs don't have
    attach_prog_fd set (bsc#1012628).
  - bpf: Ensure correct locking around vulnerable function
    find_vpid() (bsc#1012628).
  - net: fs_enet: Fix wrong check in do_pd_setup (bsc#1012628).
  - Bluetooth: RFCOMM: Fix possible deadlock on socket
    shutdown/release (bsc#1012628).
  - wifi: mt76: mt7921e: fix rmmod crash in driver reload test
    (bsc#1012628).
  - wifi: mt76: mt7915: do not check state before configuring
    implicit beamform (bsc#1012628).
  - wifi: mt76: fix uninitialized pointer in mt7921_mac_fill_rx
    (bsc#1012628).
  - wifi: mt76: mt7915: fix mcs value in ht mode (bsc#1012628).
  - wifi: mt76: mt7921: fix the firmware version report
    (bsc#1012628).
  - wifi: mt76: mt7921: add mt7921_mutex_acquire at
    mt7921_sta_set_decap_offload (bsc#1012628).
  - wifi: mt76: mt7921: add mt7921_mutex_acquire at mt7921_[start,
    stop]_ap (bsc#1012628).
  - wifi: mt76: connac: fix possible unaligned access in
    mt76_connac_mcu_add_nested_tlv (bsc#1012628).
  - wifi: mt76: mt7915: fix possible unaligned access in
    mt7915_mac_add_twt_setup (bsc#1012628).
  - wifi: mt76: mt7615: add mt7615_mutex_acquire/release in
    mt7615_sta_set_decap_offload (bsc#1012628).
  - wifi: mt76: sdio: fix transmitting packet hangs (bsc#1012628).
  - wifi: mt76: mt7921: fix use after free in mt7921_acpi_read()
    (bsc#1012628).
  - wifi: mt76: mt7915: fix an uninitialized variable bug
    (bsc#1012628).
  - wifi: mt76: sdio: poll sta stat when device transmits data
    (bsc#1012628).
  - wifi: mt76: sdio: fix the deadlock caused by sdio->stat_work
    (bsc#1012628).
  - wifi: mt76: mt7921u: fix race issue between reset and
    suspend/resume (bsc#1012628).
  - wifi: mt76: mt7921s: fix race issue between reset and
    suspend/resume (bsc#1012628).
  - wifi: mt76: mt7921e: fix race issue between reset and
    suspend/resume (bsc#1012628).
  - Bluetooth: avoid hci_dev_test_and_set_flag() in mgmt_init_hdev()
    (bsc#1012628).
  - wifi: rtl8xxxu: Remove copy-paste leftover in
    gen2_update_rate_mask (bsc#1012628).
  - wifi: rtl8xxxu: gen2: Fix mistake in path B IQ calibration
    (bsc#1012628).
  - bpf: btf: fix truncated last_member_type_id in
    btf_struct_resolve (bsc#1012628).
  - spi: meson-spicc: do not rely on busy flag in pow2 clk ops
    (bsc#1012628).
  - wifi: rtl8xxxu: Fix skb misuse in TX queue selection
    (bsc#1012628).
  - spi: qup: add missing clk_disable_unprepare on error in
    spi_qup_pm_resume_runtime() (bsc#1012628).
  - spi: qup: add missing clk_disable_unprepare on error in
    spi_qup_resume() (bsc#1012628).
  - wifi: mac80211: mlme: assign link address correctly
    (bsc#1012628).
  - selftests/xsk: Avoid use-after-free on ctx (bsc#1012628).
  - wifi: rtw88: add missing destroy_workqueue() on error path in
    rtw_core_init() (bsc#1012628).
  - wifi: wfx: prevent underflow in wfx_send_pds() (bsc#1012628).
  - wifi: rtl8xxxu: tighten bounds checking in rtl8xxxu_read_efuse()
    (bsc#1012628).
  - wifi: rtw89: pci: correct TX resource checking in low power mode
    (bsc#1012628).
  - wifi: rtw89: pci: fix interrupt stuck after leaving low power
    mode (bsc#1012628).
  - bpf: Only add BTF IDs for socket security hooks when
    CONFIG_SECURITY_NETWORK is on (bsc#1012628).
  - Bluetooth: btusb: mediatek: fix WMT failure during runtime
    suspend (bsc#1012628).
  - bpf: Use this_cpu_{inc_return|dec} for prog->active
    (bsc#1012628).
  - bpf: Use this_cpu_{inc|dec|inc_return} for bpf_task_storage_busy
    (bsc#1012628).
  - wifi: ath11k: Fix incorrect QMI message ID mappings
    (bsc#1012628).
  - bpf: Propagate error from htab_lock_bucket() to userspace
    (bsc#1012628).
  - bpf: Disable preemption when increasing per-cpu map_locked
    (bsc#1012628).
  - selftests/xsk: Add missing close() on netns fd (bsc#1012628).
  - xsk: Fix backpressure mechanism on Tx (bsc#1012628).
  - x86/resctrl: Fix to restore to original value when re-enabling
    hardware prefetch register (bsc#1012628).
  - spi: mt7621: Fix an error message in mt7621_spi_probe()
    (bsc#1012628).
  - esp: choose the correct inner protocol for GSO on inter address
    family tunnels (bsc#1012628).
  - audit: free audit_proctitle only on task exit (bsc#1012628).
  - audit: explicitly check audit_context->context enum value
    (bsc#1012628).
  - ice: set tx_tstamps when creating new Tx rings via ethtool
    (bsc#1012628).
  - bpftool: Fix a wrong type cast in btf_dumper_int (bsc#1012628).
  - wifi: mac80211: allow bw change during channel switch in mesh
    (bsc#1012628).
  - wifi: mac80211_hwsim: fix link change handling (bsc#1012628).
  - wifi: mac80211: mlme: don't add empty EML capabilities
    (bsc#1012628).
  - wifi: mac80211: fix use-after-free (bsc#1012628).
  - wifi: cfg80211: get correct AP link chandef (bsc#1012628).
  - wifi: mac80211: properly set old_links when removing a link
    (bsc#1012628).
  - bpf: Fix reference state management for synchronous callbacks
    (bsc#1012628).
  - net: prestera: cache port state for non-phylink ports too
    (bsc#1012628).
  - tsnep: Fix TSNEP_INFO_TX_TIME register define (bsc#1012628).
  - leds: lm3601x: Don't use mutex after it was destroyed
    (bsc#1012628).
  - bpf: Fix ref_obj_id for dynptr data slices in verifier
    (bsc#1012628).
  - bpf: Cleanup check_refcount_ok (bsc#1012628).
  - wifi: ath10k: add peer map clean up for peer delete in
    ath10k_sta_state() (bsc#1012628).
  - wifi: ath10k: Set tx credit to one for WCN3990 snoc based
    devices (bsc#1012628).
  - wifi: rtlwifi: 8192de: correct checking of IQK reload
    (bsc#1012628).
  - libbpf: Initialize err in probe_map_create (bsc#1012628).
  - m68k: Process bootinfo records before saving them (bsc#1012628).
  - x86/paravirt: add extra clobbers with ZERO_CALL_USED_REGS
    enabled (bsc#1012628).
  - NFSD: Fix handling of oversized NFSv4 COMPOUND requests
    (bsc#1012628).
  - NFSD: Protect against send buffer overflow in NFSv2 READDIR
    (bsc#1012628).
  - SUNRPC: Fix svcxdr_init_encode's buflen calculation
    (bsc#1012628).
  - SUNRPC: Fix svcxdr_init_decode's end-of-buffer calculation
    (bsc#1012628).
  - nfsd: Fix a memory leak in an error handling path (bsc#1012628).
  - objtool: Preserve special st_shndx indexes in elf_update_symbol
    (bsc#1012628).
  - ACPI: PCC: Fix Tx acknowledge in the PCC address space handler
    (bsc#1012628).
  - ACPI: PCC: replace wait_for_completion() (bsc#1012628).
  - ACPI: PCC: Release resources on address space setup failure path
    (bsc#1012628).
  - ARM: 9247/1: mm: set readonly for MT_MEMORY_RO with ARM_LPAE
    (bsc#1012628).
  - ARM: 9244/1: dump: Fix wrong pg_level in walk_pmd()
    (bsc#1012628).
  - ARM: 9243/1: riscpc: Unbreak the build (bsc#1012628).
  - erofs: use kill_anon_super() to kill super in fscache mode
    (bsc#1012628).
  - erofs: fix order >= MAX_ORDER warning due to crafted negative
    i_size (bsc#1012628).
  - MIPS: SGI-IP27: Fix platform-device leak in
    bridge_platform_create() (bsc#1012628).
  - MIPS: SGI-IP30: Fix platform-device leak in
    bridge_platform_create() (bsc#1012628).
  - sh: machvec: Use char[] for section boundaries (bsc#1012628).
  - cpufreq: amd-pstate: Fix initial highest_perf value
    (bsc#1012628).
  - thermal: cpufreq_cooling: Check the policy first in
    cpufreq_cooling_register() (bsc#1012628).
  - acl: return EOPNOTSUPP in posix_acl_fix_xattr_common()
    (bsc#1012628).
  - ntfs3: rework xattr handlers and switch to POSIX ACL VFS helpers
    (bsc#1012628).
  - userfaultfd: open userfaultfds with O_RDONLY (bsc#1012628).
  - ima: fix blocking of security.ima xattrs of unsupported
    algorithms (bsc#1012628).
  - selinux: use "grep -E" instead of "egrep" (bsc#1012628).
  - smb3: must initialize two ACL struct fields to zero
    (bsc#1012628).
  - drm/amdgpu: Enable F32_WPTR_POLL_ENABLE in mqd (bsc#1012628).
  - drm/amdgpu: Enable VCN PG on GC11_0_1 (bsc#1012628).
  - drm/amd/display: explicitly disable psr_feature_enable
    appropriately (bsc#1012628).
  - drm/amd/display: Add HUBP surface flip interrupt handler
    (bsc#1012628).
  - drm/amd/display: Fix vblank refcount in vrr transition
    (bsc#1012628).
  - drm/amd/display: Enable 2 to 1 ODM policy if supported
    (bsc#1012628).
  - drm/amd/display: Enable dpia support for dcn314 (bsc#1012628).
  - drm/amd/display: Validate DSC After Enable All New CRTCs
    (bsc#1012628).
  - drm/amd/display: zeromem mypipe heap struct before using it
    (bsc#1012628).
  - drm/amd/display: Update PMFW z-state interface for DCN314
    (bsc#1012628).
  - drm/amd/display: Fix watermark calculation (bsc#1012628).
  - drm/i915: Fix display problems after resume (bsc#1012628).
  - drm/i915: Fix watermark calculations for DG2 CCS+CC modifier
    (bsc#1012628).
  - drm/i915: Fix watermark calculations for DG2 CCS modifiers
    (bsc#1012628).
  - drm/i915: Fix watermark calculations for gen12+ CCS+CC modifier
    (bsc#1012628).
  - drm/i915: Fix watermark calculations for gen12+ MC CCS modifier
    (bsc#1012628).
  - drm/i915: Fix watermark calculations for gen12+ RC CCS modifier
    (bsc#1012628).
  - drm/i915/guc: Fix revocation of non-persistent contexts
    (bsc#1012628).
  - drm/i915/gt: Use i915_vm_put on ppgtt_create error paths
    (bsc#1012628).
  - drm/nouveau: fix a use-after-free in
    nouveau_gem_prime_import_sg_table() (bsc#1012628).
  - drm/nouveau/kms/nv140-: Disable interlacing (bsc#1012628).
  - staging: greybus: audio_helper: remove unused and wrong debugfs
    usage (bsc#1012628).
  - KVM: VMX: Drop bits 31:16 when shoving exception error code
    into VMCS (bsc#1012628).
  - KVM: x86: Treat #DBs from the emulator as fault-like (code
    and DR7.GD=1) (bsc#1012628).
  - KVM: nVMX: Don't propagate vmcs12's PERF_GLOBAL_CTRL settings
    to vmcs02 (bsc#1012628).
  - KVM: nVMX: Unconditionally purge queued/injected events on
    nested "exit" (bsc#1012628).
  - KVM: x86/emulator: Fix handing of POP SS to correctly set
    interruptibility (bsc#1012628).
  - blk-wbt: call rq_qos_add() after wb_normal is initialized
    (bsc#1012628).
  - blk-throttle: fix that io throttle can only work for single bio
    (bsc#1012628).
  - media: cedrus: Fix endless loop in cedrus_h265_skip_bits()
    (bsc#1012628).
  - media: cedrus: Set the platform driver data earlier
    (bsc#1012628).
  - media: cedrus: Fix watchdog race condition (bsc#1012628).
  - efi: libstub: drop pointless get_memory_map() call
    (bsc#1012628).
  - thunderbolt: Explicitly enable lane adapter hotplug events at
    startup (bsc#1012628).
  - rpmsg: char: Avoid double destroy of default endpoint
    (bsc#1012628).
  - tracing: Fix reading strings from synthetic events
    (bsc#1012628).
  - tracing: Add "(fault)" name injection to kernel probes
    (bsc#1012628).
  - tracing: Move duplicate code of trace_kprobe/eprobe.c into
    header (bsc#1012628).
  - tracing: Do not free snapshot if tracer is on cmdline
    (bsc#1012628).
  - tracing: Add ioctl() to force ring buffer waiters to wake up
    (bsc#1012628).
  - tracing: Wake up waiters when tracing is disabled (bsc#1012628).
  - tracing: Wake up ring buffer waiters on closing of the file
    (bsc#1012628).
  - tracing: Disable interrupt or preemption before acquiring
    arch_spinlock_t (bsc#1012628).
  - tracing/eprobe: Fix alloc event dir failed when event name no
    set (bsc#1012628).
  - ring-buffer: Fix race between reset page and reading page
    (bsc#1012628).
  - ring-buffer: Add ring_buffer_wake_waiters() (bsc#1012628).
  - ring-buffer: Check pending waiters when doing wake ups as well
    (bsc#1012628).
  - ring-buffer: Have the shortest_full queue be the shortest not
    longest (bsc#1012628).
  - ring-buffer: Allow splice to read previous partially read pages
    (bsc#1012628).
  - ftrace: Still disable enabled records marked as disabled
    (bsc#1012628).
  - ftrace: Properly unset FTRACE_HASH_FL_MOD (bsc#1012628).
  - livepatch: fix race between fork and KLP transition
    (bsc#1012628).
  - ext4: update 'state->fc_regions_size' after successful memory
    allocation (bsc#1012628).
  - ext4: fix potential memory leak in ext4_fc_record_regions()
    (bsc#1012628).
  - ext4: fix potential memory leak in
    ext4_fc_record_modified_inode() (bsc#1012628).
  - ext4: fix miss release buffer head in ext4_fc_write_inode
    (bsc#1012628).
  - ext4: fix dir corruption when ext4_dx_add_entry() fails
    (bsc#1012628).
  - ext4: fix i_version handling in ext4 (bsc#1012628).
  - ext4: place buffer head allocation before handle start
    (bsc#1012628).
  - ext4: ext4_read_bh_lock() should submit IO if the buffer isn't
    uptodate (bsc#1012628).
  - ext4: unconditionally enable the i_version counter
    (bsc#1012628).
  - ext4: don't increase iversion counter for ea_inodes
    (bsc#1012628).
  - ext4: fix check for block being out of directory size
    (bsc#1012628).
  - ext4: make ext4_lazyinit_thread freezable (bsc#1012628).
  - ext4: fix null-ptr-deref in ext4_write_info (bsc#1012628).
  - ext4: avoid crash when inline data creation follows DIO write
    (bsc#1012628).
  - ext2: Add sanity checks for group and filesystem size
    (bsc#1012628).
  - jbd2: add miss release buffer head in fc_do_one_pass()
    (bsc#1012628).
  - jbd2: fix potential use-after-free in jbd2_fc_wait_bufs
    (bsc#1012628).
  - jbd2: fix potential buffer head reference count leak
    (bsc#1012628).
  - jbd2: wake up journal waiters in FIFO order, not LIFO
    (bsc#1012628).
  - f2fs: allow direct read for zoned device (bsc#1012628).
  - f2fs: fix to do sanity check on summary info (bsc#1012628).
  - f2fs: fix to do sanity check on destination blkaddr during
    recovery (bsc#1012628).
  - f2fs: increase the limit for reserve_root (bsc#1012628).
  - f2fs: flush pending checkpoints when freezing super
    (bsc#1012628).
  - f2fs: complete checkpoints during remount (bsc#1012628).
  - f2fs: fix wrong continue condition in GC (bsc#1012628).
  - btrfs: set generation before calling btrfs_clean_tree_block
    in btrfs_init_new_buffer (bsc#1012628).
  - btrfs: fix missed extent on fsync after dropping extent maps
    (bsc#1012628).
  - btrfs: fix race between quota enable and quota rescan ioctl
    (bsc#1012628).
  - btrfs: enhance unsupported compat RO flags handling
    (bsc#1012628).
  - btrfs: fix alignment of VMA for memory mapped files on THP
    (bsc#1012628).
  - fs: record I_DIRTY_TIME even if inode already has I_DIRTY_INODE
    (bsc#1012628).
  - ksmbd: Fix user namespace mapping (bsc#1012628).
  - ksmbd: Fix wrong return value and message length check in
    smb2_ioctl() (bsc#1012628).
  - ksmbd: fix endless loop when encryption for response fails
    (bsc#1012628).
  - ksmbd: fix incorrect handling of iterate_dir (bsc#1012628).
  - smb3: do not log confusing message when server returns no
    network interfaces (bsc#1012628).
  - hwrng: core - let sleep be interrupted when unregistering hwrng
    (bsc#1012628).
  - fbdev: smscufx: Fix use-after-free in ufx_ops_open()
    (bsc#1012628).
  - pinctrl: rockchip: add pinmux_ops.gpio_set_direction callback
    (bsc#1012628).
  - gpio: rockchip: request GPIO mux to pinctrl when setting
    direction (bsc#1012628).
  - scsi: qedf: Populate sysfs attributes for vport (bsc#1012628).
  - scsi: lpfc: Rework MIB Rx Monitor debug info logic
    (bsc#1012628).
  - slimbus: qcom-ngd: cleanup in probe error path (bsc#1012628).
  - slimbus: qcom-ngd: use correct error in message of
    pdr_add_lookup() failure (bsc#1012628).
  - powerpc/boot: Explicitly disable usage of SPE instructions
    (bsc#1012628).
  - powerpc/Kconfig: Fix non existing CONFIG_PPC_FSL_BOOKE
    (bsc#1012628).
  - powercap: intel_rapl: Use standard Energy Unit for SPR Dram
    RAPL domain (bsc#1012628).
  - LoadPin: Fix Kconfig doc about format of file with verity
    digests (bsc#1012628).
  - cpufreq: qcom-cpufreq-hw: Fix uninitialized throttled_freq
    warning (bsc#1012628).
  - NFSD: Protect against send buffer overflow in NFSv3 READ
    (bsc#1012628).
  - NFSD: Protect against send buffer overflow in NFSv2 READ
    (bsc#1012628).
  - NFSD: Protect against send buffer overflow in NFSv3 READDIR
    (bsc#1012628).
  - serial: 8250: Request full 16550A feature probing for OxSemi
    PCIe devices (bsc#1012628).
  - serial: 8250: Let drivers request full 16550A feature probing
    (bsc#1012628).
  - serial: ar933x: Deassert Transmit Enable on ->rs485_config()
    (bsc#1012628).
  - serial: Deassert Transmit Enable on probe in driver-specific
    way (bsc#1012628).
  - serial: stm32: Deassert Transmit Enable on ->rs485_config()
    (bsc#1012628).
  - serial: cpm_uart: Don't request IRQ too early for console port
    (bsc#1012628).
  - PCI: Sanitise firmware BAR assignments behind a PCI-PCI bridge
    (bsc#1012628).
  - xen/gntdev: Accommodate VMA splitting (bsc#1012628).
  - xen/gntdev: Prevent leaking grants (bsc#1012628).
  - mm/mmap: undo ->mmap() when arch_validate_flags() fails
    (bsc#1012628).
  - mm/uffd: fix warning without PTE_MARKER_UFFD_WP compiled in
    (bsc#1012628).
  - mm/damon: validate if the pmd entry is present before accessing
    (bsc#1012628).
  - mm/hugetlb: fix races when looking up a CONT-PTE/PMD size
    hugetlb page (bsc#1012628).
  - clocksource/drivers/arm_arch_timer: Fix CNTPCT_LO and CNTVCT_LO
    value (bsc#1012628).
  - arm64: mte: Avoid setting PG_mte_tagged if no tags cleared or
    restored (bsc#1012628).
  - arm64: mte: move register initialization to C (bsc#1012628).
  - drm/udl: Restore display mode on resume (bsc#1012628).
  - drm/virtio: Use appropriate atomic state in
    virtio_gpu_plane_cleanup_fb() (bsc#1012628).
  - drm/virtio: Unlock reservations on dma_resv_reserve_fences()
    error (bsc#1012628).
  - drm/virtio: Unlock reservations on
    virtio_gpu_object_shmem_init() error (bsc#1012628).
  - drm/virtio: Check whether transferred 2D BO is shmem
    (bsc#1012628).
  - dmaengine: qcom-adm: fix wrong calling convention for
    prep_slave_sg (bsc#1012628).
  - dmaengine: qcom-adm: fix wrong sizeof config in slave_config
    (bsc#1012628).
  - dmaengine: mxs: use platform_driver_register (bsc#1012628).
  - dm: verity-loadpin: Only trust verity targets with enforcement
    (bsc#1012628).
  - Revert "drm/amdgpu: use dirty framebuffer helper" (bsc#1012628).
  - nvme-multipath: fix possible hang in live ns resize with ANA
    access (bsc#1012628).
  - nvmem: core: Fix memleak in nvmem_register() (bsc#1012628).
  - UM: cpuinfo: Fix a warning for CONFIG_CPUMASK_OFFSTACK
    (bsc#1012628).
  - riscv: Pass -mno-relax only on lld < 15.0.0 (bsc#1012628).
  - riscv: always honor the CONFIG_CMDLINE_FORCE when parsing dtb
    (bsc#1012628).
  - riscv: Make VM_WRITE imply VM_READ (bsc#1012628).
  - riscv: Allow PROT_WRITE-only mmap() (bsc#1012628).
  - riscv: vdso: fix NULL deference in vdso_join_timens() when vfork
    (bsc#1012628).
  - parisc: Fix userspace graphics card breakage due to pgtable
    special bit (bsc#1012628).
  - parisc: fbdev/stifb: Align graphics memory size to 4MB
    (bsc#1012628).
  - RISC-V: Make port I/O string accessors actually work
    (bsc#1012628).
  - RISC-V: Re-enable counter access from userspace (bsc#1012628).
  - riscv: topology: fix default topology reporting (bsc#1012628).
  - arm64: topology: move store_cpu_topology() to shared code
    (bsc#1012628).
  - regulator: qcom_rpm: Fix circular deferral regression
    (bsc#1012628).
  - net: thunderbolt: Enable DMA paths only after rings are enabled
    (bsc#1012628).
  - hwmon: (gsc-hwmon) Call of_node_get() before of_find_xxx API
    (bsc#1012628).
  - ASoC: wcd934x: fix order of Slimbus unprepare/disable
    (bsc#1012628).
  - ASoC: wcd9335: fix order of Slimbus unprepare/disable
    (bsc#1012628).
  - arm64: dts: qcom: sdm845-mtp: correct ADC settle time
    (bsc#1012628).
  - platform/chrome: cros_ec_proto: Update version on GET_NEXT_EVENT
    failure (bsc#1012628).
  - quota: Check next/prev free block number after reading from
    quota file (bsc#1012628).
  - HID: multitouch: Add memory barriers (bsc#1012628).
  - mbcache: Avoid nesting of cache->c_list_lock under bit locks
    (bsc#1012628).
  - btf: Export bpf_dynptr definition (bsc#1012628).
  - fs: dlm: fix invalid derefence of sb_lvbptr (bsc#1012628).
  - fs: dlm: handle -EBUSY first in lock arg validation
    (bsc#1012628).
  - fs: dlm: fix race between test_bit() and queue_work()
    (bsc#1012628).
  - i2c: designware: Fix handling of real but unexpected device
    interrupts (bsc#1012628).
  - mmc: sdhci-sprd: Fix minimum clock limit (bsc#1012628).
  - mmc: sdhci-tegra: Use actual clock rate for SW tuning correction
    (bsc#1012628).
  - mmc: renesas_sdhi: Fix rounding errors (bsc#1012628).
  - can: kvaser_usb_leaf: Fix CAN state after restart (bsc#1012628).
  - can: kvaser_usb_leaf: Fix TX queue out of sync after restart
    (bsc#1012628).
  - can: kvaser_usb_leaf: Fix overread with an invalid command
    (bsc#1012628).
  - can: kvaser_usb: Fix use of uninitialized completion
    (bsc#1012628).
  - mmc: core: Add SD card quirk for broken discard (bsc#1012628).
  - usb: add quirks for Lenovo OneLink+ Dock (bsc#1012628).
  - usb: gadget: uvc: Fix argument to sizeof() in
    uvc_register_video() (bsc#1012628).
  - xhci: dbc: Fix memory leak in xhci_alloc_dbc() (bsc#1012628).
  - iio: pressure: dps310: Reset chip after timeout (bsc#1012628).
  - iio: pressure: dps310: Refactor startup procedure (bsc#1012628).
  - iio: adc: ad7923: fix channel readings for some variants
    (bsc#1012628).
  - iio: ltc2497: Fix reading conversion results (bsc#1012628).
  - iio: dac: ad5593r: Fix i2c read protocol requirements
    (bsc#1012628).
  - cifs: Fix the error length of VALIDATE_NEGOTIATE_INFO message
    (bsc#1012628).
  - cifs: destage dirty pages before re-reading them for cache=none
    (bsc#1012628).
  - hv_netvsc: Fix race between VF offering and VF association
    message from host (bsc#1012628).
  - io_uring: correct pinned_vm accounting (bsc#1012628).
  - io_uring/af_unix: defer registered files gc to io_uring release
    (bsc#1012628).
  - io_uring/net: handle -EINPROGRESS correct for IORING_OP_CONNECT
    (bsc#1012628).
  - io_uring: limit registration w/ SINGLE_ISSUER (bsc#1012628).
  - io_uring/net: don't update msg_name if not provided
    (bsc#1012628).
  - io_uring/net: fix fast_iov assignment in io_setup_async_msg()
    (bsc#1012628).
  - io_uring/rw: don't lose short results on io_setup_async_rw()
    (bsc#1012628).
  - io_uring/rw: fix unexpected link breakage (bsc#1012628).
  - io_uring/net: don't lose partial send/recv on fail
    (bsc#1012628).
  - io_uring/rw: don't lose partial IO result on fail (bsc#1012628).
  - io_uring: add custom opcode hooks on fail (bsc#1012628).
  - mtd: rawnand: atmel: Unmap streaming DMA mappings (bsc#1012628).
  - ALSA: hda/realtek: Add Intel Reference SSID to support headset
    keys (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for ASUS GV601R laptop
    (bsc#1012628).
  - ALSA: hda/realtek: Correct pin configs for ASUS G533Z
    (bsc#1012628).
  - ALSA: hda/realtek: remove ALC289_FIXUP_DUAL_SPK for Dell 5530
    (bsc#1012628).
  - ALSA: usb-audio: Fix NULL dererence at error path (bsc#1012628).
  - ALSA: usb-audio: Fix potential memory leaks (bsc#1012628).
  - ALSA: rawmidi: Drop register_mutex in snd_rawmidi_free()
    (bsc#1012628).
  - ALSA: oss: Fix potential deadlock at unregistration
    (bsc#1012628).
  - commit beade21
* Fri Oct 21 2022 tiwai@suse.de
  - ALSA: hda/realtek: Add quirk for ASUS Zenbook using CS35L41
    (bsc#1203922).
  - commit fc9be74
* Fri Oct 21 2022 jslaby@suse.cz
  - Refresh patches.suse/drm-amdgpu-Fix-for-BO-move-issue.patch.
    Update upstream status.
  - commit 48205db
* Thu Oct 20 2022 tiwai@suse.de
  - drm/amdgpu: Fix for BO move issue (bsc#1204160).
  - commit b9e3808
* Thu Oct 20 2022 tiwai@suse.de
  - drm/amdgpu: Fix VRAM BO swap issue (bsc#1204160).
  - commit 51f20d5
* Wed Oct 19 2022 jslaby@suse.cz
  - lib/Kconfig.debug: Add check for non-constant .{s,u}leb128
    support to DWARF5 (bsc#1012628).
  - Update config files.
  - hid: topre: Add driver fixing report descriptor (bsc#1012628).
  - Update config files.
  - arm64: errata: Add Cortex-A55 to the repeat tlbi list
    (bsc#1012628).
  - Update config files.
  - commit f78cd12
* Mon Oct 17 2022 mkubecek@suse.cz
  - update submitted patch
  - update to v2 and rename
    - patches.suse/scsi-mpi3mr-add-explicit-dependency-on-CONFIG_SCSI_S.patch
    - > patches.suse/scsi-mpi3mr-select-CONFIG_SCSI_SAS_ATTRS.patch
  - update config/x86_64/kvmsmall
    - SCSI_SAS_ATTRS=m (new dependency in 6.1-rc1)
  - commit d8f9c79
* Mon Oct 17 2022 dmueller@suse.com
  - config.conf: Reenable arm64
  - Update config files (arm64). copy 6.1-rc1  from x86_64, enable
    all new SOC erratas, enable all new modules.
  - commit 8d7f37c
* Mon Oct 17 2022 mkubecek@suse.cz
  - scsi: mpi3mr: add explicit dependency on CONFIG_SCSI_SAS_ATTRS.
    Fix x86_64/kvmsmall build failure.
  - commit 2fa879f
* Mon Oct 17 2022 schwab@suse.de
  - rpm/check-for-config-changes: loosen pattern for AS_HAS_*
    This is needed to handle CONFIG_AS_HAS_NON_CONST_LEB128.
  - commit bdc0bf7
* Mon Oct 17 2022 mkubecek@suse.cz
  - Update to 6.1-rc1
  - eliminate 21 patches (18 stable, 3 mainline)
    - patches.suse/ALSA-hda-realtek-Add-quirk-for-HP-Zbook-Firefly-14-G.patch
    - patches.suse/ALSA-hda-realtek-More-robust-component-matching-for-.patch
    - patches.suse/watchdog-wdat_wdt-fix-min-max-timer-value.patch
  - disable
    - patches.suse/suse-hv-guest-os-id.patch (bsc#1189965)
  - refresh
    - patches.suse/Input-i8042-Apply-probe-defer-to-more-ASUS-ZenBook-m.patch
    - patches.suse/add-suse-supported-flag.patch
    - patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch
  - add DRM crash fix
    - patches.suse/drm-sched-Fix-kernel-NULL-pointer-dereference-error.patch
  - disable ARM architectures (need config update)
  - new config options
    - Processor type and features
    - XEN_PV_MSR_SAFE=y
    - Power management and ACPI options
    - X86_AMD_PSTATE_UT=n
    - General architecture-dependent options
    - CFI_CLANG=n
    - Memory Management options
    - LRU_GEN=y
    - LRU_GEN_ENABLED=n
    - LRU_GEN_STATS=n
    - Cryptographic API
    - CRYPTO_ARIA_AESNI_AVX_X86_64=m
    - Library routines
    - FORCE_NR_CPUS=n
    - Kernel hacking
    - DEBUG_MAPLE_TREE=n
    - TEST_DYNAMIC_DEBUG=n
    - Network device support
    - NGBE=m
    - NET_VENDOR_ADI=y
    - ADIN1110=m
    - MLX5_EN_MACSEC=y
    - PSE_CONTROLLER=y
    - PSE_REGULATOR=m
    - Input device support
    - KEYBOARD_PINEPHONE=m
    - TOUCHSCREEN_COLIBRI_VF50=m
    - Hardware Monitoring support
    - SENSORS_MAX31760=m
    - SENSORS_TPS546D24=m
    - SENSORS_EMC2305=m
    - Multifunction device drivers
    - MFD_MT6370=n
    - MFD_OCELOT=n
    - MFD_SY7636A=n
    - MFD_RT5120=n
    - Graphics support
    - DRM_USE_DYNAMIC_DEBUG=y
    - Sound card support
    - SND_SOC_AMD_PS=m
    - SND_SOC_AMD_PS_MACH=m
    - SND_SOC_SOF_AMD_REMBRANDT=m
    - SND_SOC_SOF_SKYLAKE=m
    - SND_SOC_SOF_KABYLAKE=m
    - SND_SOC_CS42L83=n
    - SND_SOC_SRC4XXX_I2C=n
    - HID support
    - HID_VRC2=m
    - HID_PXRC=m
    - HID_TOPRE=m
    - Industrial I/O support
    - MSA311=n
    - MAX11205=n
    - RICHTEK_RTQ6056=n
    - BOSCH_BNO055_SERIAL=n
    - BOSCH_BNO055_I2C=n
    - LTRF216A=n
    - Misc devices
    - GP_PCI1XXXX=m
    - AHCI_DWC=m
    - SERIAL_FSL_LPUART_CONSOLE=y
    - I2C_PCI1XXXX=m
    - SPI_MICROCHIP_CORE_QSPI=m
    - PINCTRL_CY8C95X0=m
    - EXAR_WDT=m
    - STAGING_MEDIA_DEPRECATED=n
    - CROS_TYPEC_SWITCH=m
    - AMD_PMF=m
    - OF dependent (i386, ppc64/ppc64le, riscv64)
    - PATA_OF_PLATFORM=m
    - COMMON_CLK_VC7=m
    - NVMEM_U_BOOT_ENV=m
    - ppc64le / ppc64
    - ARCH_FORCE_MAX_ORDER=9 (default)
    - INPUT_IBM_PANEL=m
    - KFENCE=y
    - KFENCE_SAMPLE_INTERVAL=0
    - KFENCE_NUM_OBJECTS=255
    - KFENCE_DEFERRABLE=n
    - KFENCE_STATIC_KEYS=y
    - KFENCE_STRESS_TEST_FAULTS=0
    - riscv64
    - EFI_ZBOOT=n
    - PINCTRL_STARFIVE_JH7100=m
    - CHARGER_RK817=m
    - SND_SOC_ES8326=m
    - SIFIVE_CCACHE=y
    - RESET_POLARFIRE_SOC=y
  - commit 79462df
* Mon Oct 17 2022 jslaby@suse.cz
  - Update
    patches.kernel.org/6.0.2-022-wifi-cfg80211-mac80211-reject-bad-MBSSID-elemen.patch
    (bsc#1012628 bsc#1203770 CVE-2022-41674).
  - Update
    patches.kernel.org/6.0.2-023-wifi-mac80211-fix-MBSSID-parsing-use-after-free.patch
    (bsc#1012628 bsc#1204051 CVE-2022-42719).
  - Update
    patches.kernel.org/6.0.2-025-wifi-cfg80211-fix-BSS-refcounting-bugs.patch
    (bsc#1012628 bsc#1204059 CVE-2022-42720).
  - Update
    patches.kernel.org/6.0.2-026-wifi-cfg80211-avoid-nontransmitted-BSS-list-cor.patch
    (bsc#1012628 bsc#1204060 CVE-2022-42721).
  - Update
    patches.kernel.org/6.0.2-028-wifi-mac80211-fix-crash-in-beacon-protection-fo.patch
    (bsc#1012628 bsc#1204125 CVE-2022-42722).
    Add CVE references.
  - commit af756fb
* Sun Oct 16 2022 jslaby@suse.cz
  - Linux 6.0.2 (bsc#1012628).
  - nilfs2: fix NULL pointer dereference at
    nilfs_bmap_lookup_at_level() (bsc#1012628).
  - nilfs2: fix use-after-free bug of struct nilfs_root
    (bsc#1012628).
  - nilfs2: fix leak of nilfs_root in case of writer thread creation
    failure (bsc#1012628).
  - nilfs2: replace WARN_ONs by nilfs_error for checkpoint
    acquisition failure (bsc#1012628).
  - nvme-pci: set min_align_mask before calculating max_hw_sectors
    (bsc#1012628).
  - random: restore O_NONBLOCK support (bsc#1012628).
  - random: clamp credited irq bits to maximum mixed (bsc#1012628).
  - ALSA: hda: Fix position reporting on Poulsbo (bsc#1012628).
  - efi: Correct Macmini DMI match in uefi cert quirk (bsc#1012628).
  - scsi: qla2xxx: Revert "scsi: qla2xxx: Fix response queue
    handler reading stale packets" (bsc#1012628).
  - scsi: qla2xxx: Fix response queue handler reading stale packets
    (bsc#1012628).
  - scsi: stex: Properly zero out the passthrough command structure
    (bsc#1012628).
  - USB: serial: qcserial: add new usb-id for Dell branded EM7455
    (bsc#1012628).
  - Revert "USB: fixup for merge issue with "usb: dwc3: Don't
    switch OTG -> peripheral if extcon is present"" (bsc#1012628).
  - Revert "usb: dwc3: Don't switch OTG -> peripheral if extcon
    is present" (bsc#1012628).
  - Revert "powerpc/rtas: Implement reentrant rtas call"
    (bsc#1012628).
  - Revert "crypto: qat - reduce size of mapped region"
    (bsc#1012628).
  - random: avoid reading two cache lines on irq randomness
    (bsc#1012628).
  - random: use expired timer rather than wq for mixing fast pool
    (bsc#1012628).
  - wifi: cfg80211: fix u8 overflow in
    cfg80211_update_notlisted_nontrans() (bsc#1012628).
  - wifi: cfg80211/mac80211: reject bad MBSSID elements
    (bsc#1012628).
  - wifi: mac80211: fix MBSSID parsing use-after-free (bsc#1012628).
  - wifi: cfg80211: ensure length byte is present before access
    (bsc#1012628).
  - wifi: cfg80211: fix BSS refcounting bugs (bsc#1012628).
  - wifi: cfg80211: avoid nontransmitted BSS list corruption
    (bsc#1012628).
  - wifi: mac80211_hwsim: avoid mac80211 warning on bad rate
    (bsc#1012628).
  - wifi: mac80211: fix crash in beacon protection for P2P-device
    (bsc#1012628).
  - wifi: cfg80211: update hidden BSSes to avoid WARN_ON
    (bsc#1012628).
  - mctp: prevent double key removal and unref (bsc#1012628).
  - Input: xpad - add supported devices as contributed on github
    (bsc#1012628).
  - Input: xpad - fix wireless 360 controller breaking after suspend
    (bsc#1012628).
  - misc: pci_endpoint_test: Aggregate params checking for xfer
    (bsc#1012628).
  - misc: pci_endpoint_test: Fix
    pci_endpoint_test_{copy,write,read}() panic (bsc#1012628).
  - commit 7fb6561
* Fri Oct 14 2022 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream reference and move into sorted section:
    - patches.suse/watchdog-wdat_wdt-fix-min-max-timer-value.patch
  - commit 64a2b58
* Fri Oct 14 2022 jslaby@suse.cz
  - Refresh
    patches.suse/ACPI-resource-Add-ASUS-model-S5402ZA-to-quirks.patch.
  - Refresh
    patches.suse/ACPI-resource-Skip-IRQ-override-on-Asus-Vivobook-K34.patch.
    Update upstream status. They were merged already.
  - commit 098c340
* Fri Oct 14 2022 jslaby@suse.cz
  - ACPI: resource: do IRQ override on LENOVO IdeaPad (bsc#1203794).
  - ACPI: resource: Add ASUS model S5402ZA to quirks (bsc#1203794).
  - ACPI: resource: Skip IRQ override on Asus Vivobook
    K3402ZA/K3502ZA (bsc#1203794).
  - commit c7a2f55
* Wed Oct 12 2022 jslaby@suse.cz
  - Linux 6.0.1 (bsc#1012628).
  - xsk: Inherit need_wakeup flag for shared sockets (bsc#1012628).
  - fs: fix UAF/GPF bug in nilfs_mdt_destroy (bsc#1012628).
  - sparc: Unbreak the build (bsc#1012628).
  - Makefile.extrawarn: Move -Wcast-function-type-strict to W=1
    (bsc#1012628).
  - hardening: Remove Clang's enable flag for
    - ftrivial-auto-var-init=zero (bsc#1012628).
  - docs: update mediator information in CoC docs (bsc#1012628).
  - hwmon: (aquacomputer_d5next) Fix Quadro fan speed offsets
    (bsc#1012628).
  - usb: mon: make mmapped memory read only (bsc#1012628).
  - USB: serial: ftdi_sio: fix 300 bps rate for SIO (bsc#1012628).
  - gpiolib: acpi: Add support to ignore programming an interrupt
    (bsc#1012628).
  - gpiolib: acpi: Add a quirk for Asus UM325UAZ (bsc#1012628).
  - RISC-V: Print SSTC in canonical order (bsc#1012628).
  - bpf: Gate dynptr API behind CAP_BPF (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix state in __mtk_foe_entry_clear
    (bsc#1012628).
  - bpf: Fix resetting logic for unreferenced kptrs (bsc#1012628).
  - Bluetooth: use hdev->workqueue when queuing
    hdev->{cmd,ncmd}_timer works (bsc#1012628).
  - Update config files.
  - commit 0c45fd2
* Mon Oct 10 2022 tiwai@suse.de
  - misc: sgi-gru: fix use-after-free error in
    gru_set_context_option, gru_fault and gru_handle_user_call_os
    (CVE-2022-3424 bsc#1204166).
  - commit cf55d04
* Fri Oct 07 2022 mkubecek@suse.cz
  - series.conf: cleanup
  - move upstreamed patches to sorted section:
    - patches.suse/ALSA-hda-realtek-Add-quirk-for-HP-Zbook-Firefly-14-G.patch
    - patches.suse/ALSA-hda-realtek-More-robust-component-matching-for-.patch
  - commit e926c4b
* Thu Oct 06 2022 jslaby@suse.cz
  - fix coredump breakage (coredump fix).
  - commit 97b0626
* Wed Oct 05 2022 msuchanek@suse.de
  - Revert "constraints: increase disk space for all architectures"
    (bsc#1203693).
    This reverts commit 43a9011f904bc7328d38dc340f5e71aecb6b19ca.
  - commit 3d33373
* Tue Oct 04 2022 tiwai@suse.de
  - ALSA: hda/realtek: More robust component matching for CS35L41
    (bsc#1203699).
  - ALSA: hda/realtek: Add quirk for HP Zbook Firefly 14 G9 model
    (bsc#1203699).
  - commit 25aa080
* Mon Oct 03 2022 jslaby@suse.cz
  - Refresh
    patches.suse/vduse-prevent-uninitialized-memory-accesses.patch.
    Update upstream status.
  - commit 39efccd
* Sun Oct 02 2022 mkubecek@suse.cz
  - Update to 6.0 final
  - eliminate 1 patch
    - patches.suse/vduse-prevent-uninitialized-memory-accesses.patch
  - refresh configs (headers only)
  - commit a7dafe3
* Wed Sep 28 2022 jslaby@suse.cz
  - Linux 5.19.12 (bsc#1012628).
  - drm/i915: Extract intel_edp_fixup_vbt_bpp() (bsc#1012628).
  - drm/i915/pps: Split pps_init_delays() into distinct parts
    (bsc#1012628).
  - drm/i915/bios: Split parse_driver_features() into two parts
    (bsc#1012628).
  - drm/i915/bios: Split VBT parsing to global vs. panel specific
    parts (bsc#1012628).
  - drm/i915/bios: Split VBT data into per-panel vs. global parts
    (bsc#1012628).
  - drm/i915/dsi: filter invalid backlight and CABC ports
    (bsc#1012628).
  - drm/i915/dsi: fix dual-link DSI backlight and CABC ports for
    display 11+ (bsc#1012628).
  - smb3: Move the flush out of smb2_copychunk_range() into its
    callers (bsc#1012628).
  - smb3: fix temporary data corruption in collapse range
    (bsc#1012628).
  - smb3: fix temporary data corruption in insert range
    (bsc#1012628).
  - usb: add quirks for Lenovo OneLink+ Dock (bsc#1012628).
  - usb: gadget: udc-xilinx: replace memcpy with memcpy_toio
    (bsc#1012628).
  - smb3: use filemap_write_and_wait_range instead of
    filemap_write_and_wait (bsc#1012628).
  - Revert "usb: add quirks for Lenovo OneLink+ Dock" (bsc#1012628).
  - Revert "usb: gadget: udc-xilinx: replace memcpy with
    memcpy_toio" (bsc#1012628).
  - xfrm: fix XFRMA_LASTUSED comment (bsc#1012628).
  - block: remove QUEUE_FLAG_DEAD (bsc#1012628).
  - block: stop setting the nomerges flags in blk_cleanup_queue
    (bsc#1012628).
  - block: simplify disk shutdown (bsc#1012628).
  - scsi: core: Fix a use-after-free (bsc#1012628).
  - drivers/base: Fix unsigned comparison to -1 in
    CPUMAP_FILE_MAX_BYTES (bsc#1012628).
  - USB: core: Fix RST error in hub.c (bsc#1012628).
  - USB: serial: option: add Quectel BG95 0x0203 composition
    (bsc#1012628).
  - USB: serial: option: add Quectel RM520N (bsc#1012628).
  - Revert "ALSA: usb-audio: Split endpoint setups for hw_params
    and prepare" (bsc#1012628).
  - ALSA: core: Fix double-free at snd_card_new() (bsc#1012628).
  - ALSA: hda/tegra: set depop delay for tegra (bsc#1012628).
  - ALSA: hda: Fix hang at HD-audio codec unbinding due to refcount
    saturation (bsc#1012628).
  - ALSA: hda: Fix Nvidia dp infoframe (bsc#1012628).
  - ALSA: hda: add Intel 5 Series / 3400 PCI DID (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Huawei WRT-WX9 (bsc#1012628).
  - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5570
    laptop (bsc#1012628).
  - ALSA: hda/realtek: Re-arrange quirk table entries (bsc#1012628).
  - ALSA: hda/realtek: Add pincfg for ASUS G513 HP jack
    (bsc#1012628).
  - ALSA: hda/realtek: Add pincfg for ASUS G533Z HP jack
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for ASUS GA503R laptop
    (bsc#1012628).
  - ALSA: hda/realtek: Enable 4-speaker output Dell Precision 5530
    laptop (bsc#1012628).
  - ALSA: hda/realtek: Add a quirk for HP OMEN 16 (8902) mute LED
    (bsc#1012628).
  - iommu/vt-d: Check correct capability for sagaw determination
    (bsc#1012628).
  - exfat: fix overflow for large capacity partition (bsc#1012628).
  - btrfs: fix hang during unmount when stopping block group
    reclaim worker (bsc#1012628).
  - btrfs: fix hang during unmount when stopping a space reclaim
    worker (bsc#1012628).
  - btrfs: zoned: wait for extent buffer IOs before finishing a zone
    (bsc#1012628).
  - libperf evlist: Fix polling of system-wide events (bsc#1012628).
  - media: flexcop-usb: fix endpoint type check (bsc#1012628).
  - usb: dwc3: core: leave default DMA if the controller does not
    support 64-bit DMA (bsc#1012628).
  - thunderbolt: Add support for Intel Maple Ridge single port
    controller (bsc#1012628).
  - efi: x86: Wipe setup_data on pure EFI boot (bsc#1012628).
  - efi: libstub: check Shim mode using MokSBStateRT (bsc#1012628).
  - wifi: mt76: fix reading current per-tid starting sequence
    number for aggregation (bsc#1012628).
  - gpio: mockup: fix NULL pointer dereference when removing debugfs
    (bsc#1012628).
  - gpio: mockup: Fix potential resource leakage when register a
    chip (bsc#1012628).
  - gpiolib: cdev: Set lineevent_state::irq after IRQ register
    successfully (bsc#1012628).
  - riscv: fix a nasty sigreturn bug.. (bsc#1012628).
  - riscv: fix RISCV_ISA_SVPBMT kconfig dependency warning
    (bsc#1012628).
  - drm/i915/gem: Flush contexts on driver release (bsc#1012628).
  - drm/i915/gem: Really move i915_gem_context.link under ref
    protection (bsc#1012628).
  - xen/xenbus: fix xenbus_setup_ring() (bsc#1012628).
  - kasan: call kasan_malloc() from __kmalloc_*track_caller()
    (bsc#1012628).
  - can: flexcan: flexcan_mailbox_read() fix return value for drop =
    true (bsc#1012628).
  - net: mana: Add rmb after checking owner bits (bsc#1012628).
  - mm/slub: fix to return errno if kmalloc() fails (bsc#1012628).
  - mm: slub: fix flush_cpu_slab()/__free_slab() invocations in
    task context (bsc#1012628).
  - KVM: x86: Reinstate kvm_vcpu_arch.guest_supported_xcr0
    (bsc#1012628).
  - KVM: x86: Always enable legacy FP/SSE in allowed user XFEATURES
    (bsc#1012628).
  - KVM: x86: Inject #UD on emulated XSETBV if XSAVES isn't enabled
    (bsc#1012628).
  - perf/arm-cmn: Add more bits to child node address offset field
    (bsc#1012628).
  - arm64: topology: fix possible overflow in amu_fie_setup()
    (bsc#1012628).
  - vmlinux.lds.h: CFI: Reduce alignment of jump-table to function
    alignment (bsc#1012628).
  - batman-adv: Fix hang up with small MTU hard-interface
    (bsc#1012628).
  - firmware: arm_scmi: Harden accesses to the reset domains
    (bsc#1012628).
  - firmware: arm_scmi: Fix the asynchronous reset requests
    (bsc#1012628).
  - arm64: dts: rockchip: Lower sd speed on quartz64-b
    (bsc#1012628).
  - arm64: dts: rockchip: Pull up wlan wake# on Gru-Bob
    (bsc#1012628).
  - arm64: dts: rockchip: Fix typo in lisense text for PX30.Core
    (bsc#1012628).
  - drm/mediatek: dsi: Add atomic {destroy,duplicate}_state,
    reset callbacks (bsc#1012628).
  - arm64: dts: imx8mm: Reverse CPLD_Dn GPIO label mapping on
    MX8Menlo (bsc#1012628).
  - arm64: dts: rockchip: Set RK3399-Gru PCLK_EDP to 24 MHz
    (bsc#1012628).
  - arm64: dts: imx8mn: remove GPU power domain reset (bsc#1012628).
  - arm64: dts: imx8ulp: add #reset-cells for pcc (bsc#1012628).
  - dmaengine: ti: k3-udma-private: Fix refcount leak bug in
    of_xudma_dev_get() (bsc#1012628).
  - arm64: dts: rockchip: fix property for usb2 phy supply on
    rock-3a (bsc#1012628).
  - arm64: dts: rockchip: fix property for usb2 phy supply on
    rk3568-evb1-v10 (bsc#1012628).
  - arm64: dts: rockchip: Remove 'enable-active-low' from
    rk3399-puma (bsc#1012628).
  - arm64: dts: rockchip: Remove 'enable-active-low' from
    rk3566-quartz64-a (bsc#1012628).
  - arm64: dts: imx8mm-verdin: extend pmic voltages (bsc#1012628).
  - netfilter: nf_conntrack_sip: fix ct_sip_walk_headers
    (bsc#1012628).
  - netfilter: nf_conntrack_irc: Tighten matching on DCC message
    (bsc#1012628).
  - netfilter: nfnetlink_osf: fix possible bogus match in
    nf_osf_find() (bsc#1012628).
  - ice: Don't double unplug aux on peer initiated reset
    (bsc#1012628).
  - ice: Fix crash by keep old cfg when update TCs more than queues
    (bsc#1012628).
  - iavf: Fix cached head and tail value for iavf_get_tx_pending
    (bsc#1012628).
  - ipvlan: Fix out-of-bound bugs caused by unset skb->mac_header
    (bsc#1012628).
  - net: core: fix flow symmetric hash (bsc#1012628).
  - wifi: iwlwifi: Mark IWLMEI as broken (bsc#1012628).
  - arm64: dts: tqma8mqml: Include phy-imx8-pcie.h header
    (bsc#1012628).
  - drm/mediatek: Fix wrong dither settings (bsc#1012628).
  - arm64: dts: imx8mp-venice-gw74xx: fix CAN STBY polarity
    (bsc#1012628).
  - arm64: dts: imx8mp-venice-gw74xx: fix ksz9477 cpu port
    (bsc#1012628).
  - ARM: dts: lan966x: Fix the interrupt number for internal PHYs
    (bsc#1012628).
  - net: phy: aquantia: wait for the suspend/resume operations to
    finish (bsc#1012628).
  - arm64: dts: imx8mp-venice-gw74xx: fix port/phy validation
    (bsc#1012628).
  - scsi: qla2xxx: Fix memory leak in __qlt_24xx_handle_abts()
    (bsc#1012628).
  - scsi: mpt3sas: Fix return value check of dma_get_required_mask()
    (bsc#1012628).
  - net: bonding: Share lacpdu_mcast_addr definition (bsc#1012628).
  - net: bonding: Unsync device addresses on ndo_stop (bsc#1012628).
  - net: team: Unsync device addresses on ndo_stop (bsc#1012628).
  - drm/panel: simple: Fix innolux_g121i1_l01 bus_format
    (bsc#1012628).
  - mm/slab_common: fix possible double free of kmem_cache
    (bsc#1012628).
  - MIPS: lantiq: export clk_get_io() for lantiq_wdt.ko
    (bsc#1012628).
  - MIPS: Loongson32: Fix PHY-mode being left unspecified
    (bsc#1012628).
  - um: fix default console kernel parameter (bsc#1012628).
  - iavf: Fix bad page state (bsc#1012628).
  - mlxbf_gige: clear MDIO gateway lock after read (bsc#1012628).
  - iavf: Fix set max MTU size with port VLAN and jumbo frames
    (bsc#1012628).
  - i40e: Fix VF set max MTU size (bsc#1012628).
  - i40e: Fix set max_tx_rate when it is lower than 1 Mbps
    (bsc#1012628).
  - netdevsim: Fix hwstats debugfs file permissions (bsc#1012628).
  - sfc: fix TX channel offset when using legacy interrupts
    (bsc#1012628).
  - sfc: fix null pointer dereference in efx_hard_start_xmit
    (bsc#1012628).
  - bnxt_en: fix flags to check for supported fw version
    (bsc#1012628).
  - gve: Fix GFP flags when allocing pages (bsc#1012628).
  - drm/hisilicon: Add depends on MMU (bsc#1012628).
  - of: mdio: Add of_node_put() when breaking out of for_each_xx
    (bsc#1012628).
  - net: ipa: properly limit modem routing table use (bsc#1012628).
  - sfc/siena: fix TX channel offset when using legacy interrupts
    (bsc#1012628).
  - sfc/siena: fix null pointer dereference in efx_hard_start_xmit
    (bsc#1012628).
  - wireguard: ratelimiter: disable timings test by default
    (bsc#1012628).
  - wireguard: netlink: avoid variable-sized memcpy on sockaddr
    (bsc#1012628).
  - net: enetc: move enetc_set_psfp() out of the common
    enetc_set_features() (bsc#1012628).
  - net: enetc: deny offload of tc-based TSN features on VF
    interfaces (bsc#1012628).
  - ipv6: Fix crash when IPv6 is administratively disabled
    (bsc#1012628).
  - net/sched: taprio: avoid disabling offload when it was never
    enabled (bsc#1012628).
  - net/sched: taprio: make qdisc_leaf() see the per-netdev-queue
    pfifo child qdiscs (bsc#1012628).
  - ice: config netdev tc before setting queues number
    (bsc#1012628).
  - ice: Fix interface being down after reset with
    link-down-on-close flag on (bsc#1012628).
  - netfilter: nf_tables: fix nft_counters_enabled underflow at
    nf_tables_addchain() (bsc#1012628).
  - netfilter: nf_tables: fix percpu memory leak at
    nf_tables_addchain() (bsc#1012628).
  - netfilter: ebtables: fix memory leak when blob is malformed
    (bsc#1012628).
  - netfilter: nf_ct_ftp: fix deadlock when nat rewrite is needed
    (bsc#1012628).
  - net: ravb: Fix PHY state warning splat during system resume
    (bsc#1012628).
  - net: sh_eth: Fix PHY state warning splat during system resume
    (bsc#1012628).
  - gpio: tqmx86: fix uninitialized variable girq (bsc#1012628).
  - can: gs_usb: gs_can_open(): fix race dev->can.state condition
    (bsc#1012628).
  - perf stat: Fix BPF program section name (bsc#1012628).
  - perf stat: Fix cpu map index in bperf cgroup code (bsc#1012628).
  - perf jit: Include program header in ELF files (bsc#1012628).
  - perf kcore_copy: Do not check /proc/modules is unchanged
    (bsc#1012628).
  - perf tools: Honor namespace when synthesizing build-ids
    (bsc#1012628).
  - drm/mediatek: dsi: Move mtk_dsi_stop() call back to
    mtk_dsi_poweroff() (bsc#1012628).
  - ice: Fix ice_xdp_xmit() when XDP TX queue number is not
    sufficient (bsc#1012628).
  - net/smc: Stop the CLC flow if no link to map buffers on
    (bsc#1012628).
  - net: phy: micrel: fix shared interrupt on LAN8814 (bsc#1012628).
  - bonding: fix NULL deref in bond_rr_gen_slave_id (bsc#1012628).
  - net: sunhme: Fix packet reception for len < RX_COPY_THRESHOLD
    (bsc#1012628).
  - net: sched: fix possible refcount leak in tc_new_tfilter()
    (bsc#1012628).
  - bnxt: prevent skb UAF after handing over to PTP worker
    (bsc#1012628).
  - selftests: forwarding: add shebang for sch_red.sh (bsc#1012628).
  - io_uring: ensure that cached task references are always put
    on exit (bsc#1012628).
  - serial: fsl_lpuart: Reset prior to registration (bsc#1012628).
  - serial: Create uart_xmit_advance() (bsc#1012628).
  - serial: tegra: Use uart_xmit_advance(), fixes icount.tx
    accounting (bsc#1012628).
  - serial: tegra-tcu: Use uart_xmit_advance(), fixes icount.tx
    accounting (bsc#1012628).
  - cgroup: cgroup_get_from_id() must check the looked-up kn is
    a directory (bsc#1012628).
  - phy: marvell: phy-mvebu-a3700-comphy: Remove broken reset
    support (bsc#1012628).
  - s390/dasd: fix Oops in dasd_alias_get_start_dev due to missing
    pavgroup (bsc#1012628).
  - drm/i915/display: Fix handling of enable_psr parameter
    (bsc#1012628).
  - blk-mq: fix error handling in __blk_mq_alloc_disk (bsc#1012628).
  - block: call blk_mq_exit_queue from disk_release for never
    added disks (bsc#1012628).
  - block: Do not call blk_put_queue() if gendisk allocation fails
    (bsc#1012628).
  - Drivers: hv: Never allocate anything besides framebuffer from
    framebuffer memory region (bsc#1012628).
  - drm/gma500: Fix BUG: sleeping function called from invalid
    context errors (bsc#1012628).
  - drm/gma500: Fix WARN_ON(lock->magic != lock) error
    (bsc#1012628).
  - drm/gma500: Fix (vblank) IRQs not working after suspend/resume
    (bsc#1012628).
  - gpio: ixp4xx: Make irqchip immutable (bsc#1012628).
  - drm/amd/pm: disable BACO entry/exit completely on several
    sienna cichlid cards (bsc#1012628).
  - drm/amdgpu: use dirty framebuffer helper (bsc#1012628).
  - drm/amdgpu: change the alignment size of TMR BO to 1M
    (bsc#1012628).
  - drm/amdgpu: add HDP remap functionality to nbio 7.7
    (bsc#1012628).
  - drm/amdgpu: Skip reset error status for psp v13_0_0
    (bsc#1012628).
  - drm/amd/display: Limit user regamma to a valid value
    (bsc#1012628).
  - drm/amd/display: Reduce number of arguments of dml31's
    CalculateWatermarksAndDRAMSpeedChangeSupport() (bsc#1012628).
  - drm/amd/display: Reduce number of arguments of dml31's
    CalculateFlipSchedule() (bsc#1012628).
  - drm/amd/display: Mark dml30's UseMinimumDCFCLK() as noinline
    for stack usage (bsc#1012628).
  - drm/rockchip: Fix return type of cdn_dp_connector_mode_valid
    (bsc#1012628).
  - gpio: mt7621: Make the irqchip immutable (bsc#1012628).
  - pmem: fix a name collision (bsc#1012628).
  - fsdax: Fix infinite loop in dax_iomap_rw() (bsc#1012628).
  - workqueue: don't skip lockdep work dependency in
    cancel_work_sync() (bsc#1012628).
  - i2c: imx: If pm_runtime_get_sync() returned 1 device access
    is possible (bsc#1012628).
  - i2c: mlxbf: incorrect base address passed during io write
    (bsc#1012628).
  - i2c: mlxbf: prevent stack overflow in
    mlxbf_i2c_smbus_start_transaction() (bsc#1012628).
  - i2c: mlxbf: Fix frequency calculation (bsc#1012628).
  - i2c: mux: harden i2c_mux_alloc() against integer overflows
    (bsc#1012628).
  - drm/amdgpu: don't register a dirty callback for non-atomic
    (bsc#1012628).
  - certs: make system keyring depend on built-in x509 parser
    (bsc#1012628).
  - Makefile.debug: set -g unconditional on CONFIG_DEBUG_INFO_SPLIT
    (bsc#1012628).
  - Makefile.debug: re-enable debug info for .S files (bsc#1012628).
  - devdax: Fix soft-reservation memory description (bsc#1012628).
  - ext4: fix bug in extents parsing when eh_entries == 0 and
    eh_depth > 0 (bsc#1012628).
  - ext4: limit the number of retries after discarding
    preallocations blocks (bsc#1012628).
  - ext4: make mballoc try target group first even with
    mb_optimize_scan (bsc#1012628).
  - ext4: avoid unnecessary spreading of allocations among groups
    (bsc#1012628).
  - ext4: use locality group preallocation for small closed files
    (bsc#1012628).
  - ext4: use buckets for cr 1 block scan instead of rbtree
    (bsc#1012628).
  - ext4: fixup possible uninitialized variable access in
    ext4_mb_choose_next_group_cr1() (bsc#1012628).
  - ext4: make directory inode spreading reflect flexbg size
    (bsc#1012628).
  - Update config files.
  - commit 95fa5b8
* Tue Sep 27 2022 ykaukab@suse.de
  - constraints: increase disk space for all architectures
    References: bsc#1203693
    aarch64 is already suffering. SLE15-SP5 x86_64 stats show that it is
    very close to the limit.
  - commit 43a9011
* Tue Sep 27 2022 dmueller@suse.com
  - ACPI: processor idle: Practically limit "Dummy wait" workaround
    to old Intel systems (bsc#1203767).
  - commit 2d94a9f
* Tue Sep 27 2022 jslaby@suse.cz
  - Refresh
    patches.suse/Revert-block-freeze-the-queue-earlier-in-del_gendisk.patch.
    Update to upstream version.
  - commit b4b8524
* Sun Sep 25 2022 mkubecek@suse.cz
  - Update to 6.0-rc7
  - refresh configs
  - commit 74aafe0
* Fri Sep 23 2022 jslaby@suse.cz
  - Linux 5.19.11 (bsc#1012628).
  - of: fdt: fix off-by-one error in unflatten_dt_nodes()
    (bsc#1012628).
  - pinctrl: qcom: sc8180x: Fix gpio_wakeirq_map (bsc#1012628).
  - pinctrl: qcom: sc8180x: Fix wrong pin numbers (bsc#1012628).
  - pinctrl: rockchip: Enhance support for IRQ_TYPE_EDGE_BOTH
    (bsc#1012628).
  - pinctrl: sunxi: Fix name for A100 R_PIO (bsc#1012628).
  - SUNRPC: Fix call completion races with call_decode()
    (bsc#1012628).
  - NFSv4: Turn off open-by-filehandle and NFS re-export for NFSv4.0
    (bsc#1012628).
  - gpio: mpc8xxx: Fix support for IRQ_TYPE_LEVEL_LOW flow_type
    in mpc85xx (bsc#1012628).
  - NFSv4.2: Update mode bits after ALLOCATE and DEALLOCATE
    (bsc#1012628).
  - Revert "SUNRPC: Remove unreachable error condition"
    (bsc#1012628).
  - drm/panel-edp: Fix delays for Innolux N116BCA-EA1 (bsc#1012628).
  - drm/meson: Correct OSD1 global alpha value (bsc#1012628).
  - drm/meson: Fix OSD1 RGB to YCbCr coefficient (bsc#1012628).
  - drm/rockchip: vop2: Fix eDP/HDMI sync polarities (bsc#1012628).
  - drm/i915/vdsc: Set VDSC PIC_HEIGHT before using for DP DSC
    (bsc#1012628).
  - drm/i915/guc: Don't update engine busyness stats too frequently
    (bsc#1012628).
  - drm/i915/guc: Cancel GuC engine busyness worker synchronously
    (bsc#1012628).
  - block: blk_queue_enter() / __bio_queue_enter() must return
    - EAGAIN for nowait (bsc#1012628).
  - parisc: ccio-dma: Add missing iounmap in error path in
    ccio_probe() (bsc#1012628).
  - of/device: Fix up of_dma_configure_id() stub (bsc#1012628).
  - io_uring/msg_ring: check file type before putting (bsc#1012628).
  - cifs: revalidate mapping when doing direct writes (bsc#1012628).
  - cifs: don't send down the destination address to sendmsg for
    a SOCK_STREAM (bsc#1012628).
  - cifs: always initialize struct msghdr smb_msg completely
    (bsc#1012628).
  - blk-lib: fix blkdev_issue_secure_erase (bsc#1012628).
  - parisc: Allow CONFIG_64BIT with ARCH=parisc (bsc#1012628).
  - tools/include/uapi: Fix <asm/errno.h> for parisc and xtensa
    (bsc#1012628).
  - drm/i915/gt: Fix perf limit reasons bit positions (bsc#1012628).
  - drm/i915: Set correct domains values at _i915_vma_move_to_active
    (bsc#1012628).
  - drm/amdgpu: make sure to init common IP before gmc
    (bsc#1012628).
  - drm/amdgpu: Don't enable LTR if not supported (bsc#1012628).
  - drm/amdgpu: move nbio ih_doorbell_range() into ih code for vega
    (bsc#1012628).
  - drm/amdgpu: move nbio sdma_doorbell_range() into sdma code
    for vega (bsc#1012628).
  - net: Find dst with sk's xfrm policy not ctl_sk (bsc#1012628).
  - dt-bindings: apple,aic: Fix required item "apple,fiq-index"
    in affinity description (bsc#1012628).
  - cgroup: Add missing cpus_read_lock() to cgroup_attach_task_all()
    (bsc#1012628).
  - ALSA: hda/sigmatel: Keep power up while beep is enabled
    (bsc#1012628).
  - ALSA: hda/sigmatel: Fix unused variable warning for beep power
    change (bsc#1012628).
  - commit b35e71f
* Fri Sep 23 2022 dmueller@suse.com
  - config(arm*): disable CONFIG_PM_AUTOSLEEP and CONFIG_PM_WAKELOCKS (bsc#1189677)
  - commit 1c0b96b
* Thu Sep 22 2022 dmueller@suse.com
  - config.conf: reenable armv6hl configs
  - commit cd71399
* Thu Sep 22 2022 jslaby@suse.cz
  - Refresh
    patches.suse/Revert-iommu-vt-d-Fix-possible-recursive-locking-in-.patch.
    Update upstream status.
  - commit a267615
* Wed Sep 21 2022 tiwai@suse.de
  - media: dvb-core: Fix UAF due to refcount races at releasing
    (CVE-2022-41218 bsc#1202960).
  - commit 66556c1
* Wed Sep 21 2022 dmueller@suse.com
  - arm64: enable CONFIG_ARCH_RENESAS (bsc#1203558)
    Also compile everything as modules that isn't debug
    or deprecated that was previously disabled by the
    global RENESAS disablement.
  - commit b1f13b9
* Wed Sep 21 2022 dmueller@suse.com
  - config.conf: Reenable arm64 configs
  - Update config files, taken from 6.0-rc1 update from x86_64,
    enabling all new erratas, enabling all new modules
  - commit 9b3cde4
* Wed Sep 21 2022 jslaby@suse.cz
  - Revert "iommu/vt-d: Fix possible recursive locking in
    intel_iommu_init()" (iommu bug).
  - commit 9392b7d
* Tue Sep 20 2022 jslaby@suse.cz
  - Linux 5.19.10 (bsc#1012628).
  - Input: goodix - add compatible string for GT1158 (bsc#1012628).
  - RDMA/irdma: Use s/g array in post send only when its valid
    (bsc#1012628).
  - gpio: 104-idio-16: Make irq_chip immutable (bsc#1012628).
  - gpio: 104-dio-48e: Make irq_chip immutable (bsc#1012628).
  - LoongArch: Fix arch_remove_memory() undefined build error
    (bsc#1012628).
  - LoongArch: Fix section mismatch due to acpi_os_ioremap()
    (bsc#1012628).
  - platform/x86: asus-wmi: Increase FAN_CURVE_BUF_LEN to 32
    (bsc#1012628).
  - usb: storage: Add ASUS <0x0b05:0x1932> to IGNORE_UAS
    (bsc#1012628).
  - platform/x86: acer-wmi: Acer Aspire One AOD270/Packard Bell
    Dot keymap fixes (bsc#1012628).
  - perf/arm_pmu_platform: fix tests for platform_get_irq() failure
    (bsc#1012628).
  - net: dsa: hellcreek: Print warning only once (bsc#1012628).
  - drm/amd/amdgpu: skip ucode loading if ucode_size == 0
    (bsc#1012628).
  - nvmet-tcp: fix unhandled tcp states in nvmet_tcp_state_change()
    (bsc#1012628).
  - nvme-pci: add NVME_QUIRK_BOGUS_NID for Lexar NM610
    (bsc#1012628).
  - drm/amd/pm: use vbios carried pptable for all SMU13.0.7 SKUs
    (bsc#1012628).
  - drm/amdgpu: disable FRU access on special SIENNA CICHLID card
    (bsc#1012628).
  - Input: iforce - add support for Boeder Force Feedback Wheel
    (bsc#1012628).
  - ieee802154: cc2520: add rc code in cc2520_tx() (bsc#1012628).
  - gpio: mockup: remove gpio debugfs when remove device
    (bsc#1012628).
  - r8152: add PID for the Lenovo OneLink+ Dock (bsc#1012628).
  - tg3: Disable tg3 device on system reboot to avoid triggering
    AER (bsc#1012628).
  - Bluetooth: MGMT: Fix Get Device Flags (bsc#1012628).
  - hid: intel-ish-hid: ishtp: Fix ishtp client sending disordered
    message (bsc#1012628).
  - HID: ishtp-hid-clientHID: ishtp-hid-client: Fix comment typo
    (bsc#1012628).
  - dt-bindings: iio: gyroscope: bosch,bmg160: correct number of
    pins (bsc#1012628).
  - kvm: x86: mmu: Always flush TLBs when enabling dirty logging
    (bsc#1012628).
  - peci: cpu: Fix use-after-free in adev_release() (bsc#1012628).
  - drm/msm/rd: Fix FIFO-full deadlock (bsc#1012628).
  - platform/surface: aggregator_registry: Add support for Surface
    Laptop Go 2 (bsc#1012628).
  - Input: goodix - add support for GT1158 (bsc#1012628).
  - ACPI: resource: skip IRQ override on AMD Zen platforms
    (bsc#1012628).
  - RDMA/mlx5: Fix UMR cleanup on error flow of driver init
    (bsc#1012628).
  - RDMA/mlx5: Add a umr recovery flow (bsc#1012628).
  - RDMA/mlx5: Rely on RoCE fw cap instead of devlink when setting
    profile (bsc#1012628).
  - net/mlx5: Use software VHCA id when it's supported
    (bsc#1012628).
  - net/mlx5: Introduce ifc bits for using software vhca id
    (bsc#1012628).
  - iommu/vt-d: Fix kdump kernels boot failure with scalable mode
    (bsc#1012628).
  - commit 28d7d4c
* Sun Sep 18 2022 mkubecek@suse.cz
  - Update to 6.0-rc6
  - commit 2132e28
* Thu Sep 15 2022 jslaby@suse.cz
  - Linux 5.19.9 (bsc#1012628).
  - efi: libstub: Disable struct randomization (bsc#1012628).
  - efi: capsule-loader: Fix use-after-free in efi_capsule_write
    (bsc#1012628).
  - wifi: iwlegacy: 4965: corrected fix for potential off-by-one
    overflow in il4965_rs_fill_link_cmd() (bsc#1012628).
  - fs: only do a memory barrier for the first set_buffer_uptodate()
    (bsc#1012628).
  - soc: fsl: select FSL_GUTS driver for DPIO (bsc#1012628).
  - Revert "mm: kmemleak: take a full lowmem check in
    kmemleak_*_phys()" (bsc#1012628).
  - scsi: qla2xxx: Disable ATIO interrupt coalesce for quad port
    ISP27XX (bsc#1012628).
  - scsi: core: Allow the ALUA transitioning state enough time
    (bsc#1012628).
  - scsi: megaraid_sas: Fix double kfree() (bsc#1012628).
  - drm/gem: Fix GEM handle release errors (bsc#1012628).
  - drm/amdgpu: Move psp_xgmi_terminate call from
    amdgpu_xgmi_remove_device to psp_hw_fini (bsc#1012628).
  - drm/amdgpu: fix hive reference leak when adding xgmi device
    (bsc#1012628).
  - drm/amdgpu: Check num_gfx_rings for gfx v9_0 rb setup
    (bsc#1012628).
  - drm/amdgpu: Remove the additional kfd pre reset call for sriov
    (bsc#1012628).
  - drm/radeon: add a force flush to delay work when radeon
    (bsc#1012628).
  - scsi: ufs: core: Reduce the power mode change timeout
    (bsc#1012628).
  - Revert "parisc: Show error if wrong 32/64-bit compiler is
    being used" (bsc#1012628).
  - parisc: ccio-dma: Handle kmalloc failure in
    ccio_init_resources() (bsc#1012628).
  - parisc: Add runtime check to prevent PA2.0 kernels on PA1.x
    machines (bsc#1012628).
  - arm64: errata: add detection for AMEVCNTR01 incrementing
    incorrectly (bsc#1012628).
  - netfilter: conntrack: work around exceeded receive window
    (bsc#1012628).
  - thermal/int340x_thermal: handle data_vault when the value is
    ZERO_SIZE_PTR (bsc#1012628).
  - cpufreq: check only freq_table in __resolve_freq()
    (bsc#1012628).
  - net/core/skbuff: Check the return value of skb_copy_bits()
    (bsc#1012628).
  - md: Flush workqueue md_rdev_misc_wq in md_alloc() (bsc#1012628).
  - fbdev: omapfb: Fix tests for platform_get_irq() failure
    (bsc#1012628).
  - fbdev: fbcon: Destroy mutex on freeing struct fb_info
    (bsc#1012628).
  - fbdev: chipsfb: Add missing pci_disable_device() in
    chipsfb_pci_init() (bsc#1012628).
  - x86/sev: Mark snp_abort() noreturn (bsc#1012628).
  - drm/amdgpu: add sdma instance check for gfx11 CGCG
    (bsc#1012628).
  - drm/amdgpu: mmVM_L2_CNTL3 register not initialized correctly
    (bsc#1012628).
  - ALSA: pcm: oss: Fix race at SNDCTL_DSP_SYNC (bsc#1012628).
  - ALSA: emu10k1: Fix out of bounds access in
    snd_emu10k1_pcm_channel_alloc() (bsc#1012628).
  - ALSA: hda: Once again fix regression of page allocations with
    IOMMU (bsc#1012628).
  - ALSA: aloop: Fix random zeros in capture data when using
    jiffies timer (bsc#1012628).
  - ALSA: usb-audio: Split endpoint setups for hw_params and prepare
    (bsc#1012628).
  - ALSA: usb-audio: Clear fixed clock rate at closing EP
    (bsc#1012628).
  - ALSA: usb-audio: Fix an out-of-bounds bug in
    __snd_usb_parse_audio_interface() (bsc#1012628).
  - tracefs: Only clobber mode/uid/gid on remount if asked
    (bsc#1012628).
  - tracing: hold caller_addr to hardirq_{enable,disable}_ip
    (bsc#1012628).
  - tracing: Fix to check event_mutex is held while accessing
    trigger list (bsc#1012628).
  - btrfs: zoned: set pseudo max append zone limit in zone emulation
    mode (bsc#1012628).
  - btrfs: zoned: fix API misuse of zone finish waiting
    (bsc#1012628).
  - vfio/type1: Unpin zero pages (bsc#1012628).
  - kprobes: Prohibit probes in gate area (bsc#1012628).
  - perf: RISC-V: fix access beyond allocated array (bsc#1012628).
  - debugfs: add debugfs_lookup_and_remove() (bsc#1012628).
  - sched/debug: fix dentry leak in update_sched_domain_debugfs
    (bsc#1012628).
  - drm/amd/display: fix memory leak when using debugfs_lookup()
    (bsc#1012628).
  - driver core: fix driver_set_override() issue with empty strings
    (bsc#1012628).
  - nvmet: fix a use-after-free (bsc#1012628).
  - drm/i915/bios: Copy the whole MIPI sequence block (bsc#1012628).
  - drm/i915/slpc: Let's fix the PCODE min freq table setup for SLPC
    (bsc#1012628).
  - drm/i915: Implement WaEdpLinkRateDataReload (bsc#1012628).
  - scsi: mpt3sas: Fix use-after-free warning (bsc#1012628).
  - scsi: lpfc: Add missing destroy_workqueue() in error path
    (bsc#1012628).
  - cgroup: Elide write-locking threadgroup_rwsem when updating
    csses on an empty subtree (bsc#1012628).
  - cgroup: Fix threadgroup_rwsem <-> cpus_read_lock() deadlock
    (bsc#1012628).
  - cifs: remove useless parameter 'is_fsctl' from SMB2_ioctl()
    (bsc#1012628).
  - smb3: missing inode locks in zero range (bsc#1012628).
  - spi: bitbang: Fix lsb-first Rx (bsc#1012628).
  - ASoC: cs42l42: Only report button state if there was a button
    interrupt (bsc#1012628).
  - Revert "soc: imx: imx8m-blk-ctrl: set power device name"
    (bsc#1012628).
  - arm64: dts: imx8mm-verdin: update CAN clock to 40MHz
    (bsc#1012628).
  - arm64: dts: imx8mm-verdin: use level interrupt for mcp251xfd
    (bsc#1012628).
  - ASoC: qcom: sm8250: add missing module owner (bsc#1012628).
  - regmap: spi: Reserve space for register address/padding
    (bsc#1012628).
  - arm64: dts: imx8mp-venice-gw74xx: fix sai2 pin settings
    (bsc#1012628).
  - arm64: dts: imx8mq-tqma8mq: Remove superfluous interrupt-names
    (bsc#1012628).
  - RDMA/rtrs-clt: Use the right sg_cnt after ib_dma_map_sg
    (bsc#1012628).
  - RDMA/rtrs-srv: Pass the correct number of entries for dma
    mapped SGL (bsc#1012628).
  - ARM: dts: imx6qdl-vicut1.dtsi: Fix node name backlight_led
    (bsc#1012628).
  - ARM: dts: imx6qdl-kontron-samx6i: remove duplicated node
    (bsc#1012628).
  - ARM: dts: imx6qdl-kontron-samx6i: fix spi-flash compatible
    (bsc#1012628).
  - arm64: dts: ls1028a-qds-65bb: don't use in-band autoneg for
    2500base-x (bsc#1012628).
  - soc: imx: gpcv2: Assert reset before ungating clock
    (bsc#1012628).
  - arm64: dts: verdin-imx8mm: add otg2 pd to usbphy (bsc#1012628).
  - arm64: dts: imx8mm-venice-gw7901: fix port/phy validation
    (bsc#1012628).
  - arm64: dts: freescale: verdin-imx8mm: fix atmel_mxt_ts reset
    polarity (bsc#1012628).
  - arm64: dts: freescale: verdin-imx8mp: fix atmel_mxt_ts reset
    polarity (bsc#1012628).
  - regulator: core: Clean up on enable failure (bsc#1012628).
  - ASoC: SOF: Kconfig: Make IPC_FLOOD_TEST depend on SND_SOC_SOF
    (bsc#1012628).
  - ASoC: SOF: Kconfig: Make IPC_MESSAGE_INJECTOR depend on
    SND_SOC_SOF (bsc#1012628).
  - tee: fix compiler warning in tee_shm_register() (bsc#1012628).
  - RDMA/irdma: Fix drain SQ hang with no completion (bsc#1012628).
  - arm64: dts: renesas: r8a779g0: Fix HSCIF0 interrupt number
    (bsc#1012628).
  - RDMA/cma: Fix arguments order in net device validation
    (bsc#1012628).
  - soc: brcmstb: pm-arm: Fix refcount leak and __iomem leak bugs
    (bsc#1012628).
  - RDMA/hns: Fix supported page size (bsc#1012628).
  - RDMA/hns: Fix wrong fixed value of qp->rq.wqe_shift
    (bsc#1012628).
  - RDMA/hns: Remove the num_qpc_timer variable (bsc#1012628).
  - wifi: wilc1000: fix DMA on stack objects (bsc#1012628).
  - ARM: at91: pm: fix self-refresh for sama7g5 (bsc#1012628).
  - ARM: at91: pm: fix DDR recalibration when resuming from backup
    and self-refresh (bsc#1012628).
  - ARM: dts: at91: sama5d27_wlsom1: specify proper regulator
    output ranges (bsc#1012628).
  - ARM: dts: at91: sama5d2_icp: specify proper regulator output
    ranges (bsc#1012628).
  - ARM: dts: at91: sama7g5ek: specify proper regulator output
    ranges (bsc#1012628).
  - ARM: dts: at91: sama5d27_wlsom1: don't keep ldo2 enabled all
    the time (bsc#1012628).
  - ARM: dts: at91: sama5d2_icp: don't keep vdd_other enabled all
    the time (bsc#1012628).
  - netfilter: br_netfilter: Drop dst references before setting
    (bsc#1012628).
  - netfilter: nf_tables: clean up hook list when offload flags
    check fails (bsc#1012628).
  - riscv: dts: microchip: use an mpfs specific l2 compatible
    (bsc#1012628).
  - netfilter: nf_conntrack_irc: Fix forged IP logic (bsc#1012628).
  - RDMA/srp: Set scmnd->result only when scmnd is not NULL
    (bsc#1012628).
  - ALSA: usb-audio: Inform the delayed registration more properly
    (bsc#1012628).
  - ALSA: usb-audio: Register card again for iface over
    delayed_register option (bsc#1012628).
  - rxrpc: Fix ICMP/ICMP6 error handling (bsc#1012628).
  - rxrpc: Fix an insufficiently large sglist in
    rxkad_verify_packet_2() (bsc#1012628).
  - afs: Use the operation issue time instead of the reply time
    for callbacks (bsc#1012628).
  - kunit: fix assert_type for comparison macros (bsc#1012628).
  - Revert "net: phy: meson-gxl: improve link-up behavior"
    (bsc#1012628).
  - sch_sfb: Don't assume the skb is still around after enqueueing
    to child (bsc#1012628).
  - tipc: fix shift wrapping bug in map_get() (bsc#1012628).
  - net: introduce __skb_fill_page_desc_noacc (bsc#1012628).
  - tcp: TX zerocopy should not sense pfmemalloc status
    (bsc#1012628).
  - ice: Fix DMA mappings leak (bsc#1012628).
  - ice: use bitmap_free instead of devm_kfree (bsc#1012628).
  - i40e: Fix kernel crash during module removal (bsc#1012628).
  - iavf: Detach device during reset task (bsc#1012628).
  - xen-netback: only remove 'hotplug-status' when the vif is
    actually destroyed (bsc#1012628).
  - block: don't add partitions if GD_SUPPRESS_PART_SCAN is set
    (bsc#1012628).
  - RDMA/siw: Pass a pointer to virt_to_page() (bsc#1012628).
  - bonding: use unspecified address if no available link local
    address (bsc#1012628).
  - bonding: add all node mcast address when slave up (bsc#1012628).
  - ipv6: sr: fix out-of-bounds read when setting HMAC data
    (bsc#1012628).
  - IB/core: Fix a nested dead lock as part of ODP flow
    (bsc#1012628).
  - RDMA/mlx5: Set local port to one when accessing counters
    (bsc#1012628).
  - btrfs: zoned: fix mounting with conventional zones
    (bsc#1012628).
  - erofs: fix error return code in erofs_fscache_{meta_,}read_folio
    (bsc#1012628).
  - erofs: fix pcluster use-after-free on UP platforms
    (bsc#1012628).
  - nvme-tcp: fix UAF when detecting digest errors (bsc#1012628).
  - nvme-tcp: fix regression that causes sporadic requests to time
    out (bsc#1012628).
  - tcp: fix early ETIMEDOUT after spurious non-SACK RTO
    (bsc#1012628).
  - btrfs: fix the max chunk size and stripe length calculation
    (bsc#1012628).
  - nvmet: fix mar and mor off-by-one errors (bsc#1012628).
  - RDMA/irdma: Report the correct max cqes from query device
    (bsc#1012628).
  - RDMA/irdma: Return error on MR deregister CQP failure
    (bsc#1012628).
  - RDMA/irdma: Return correct WC error for bind operation failure
    (bsc#1012628).
  - RDMA/irdma: Report RNR NAK generation in device caps
    (bsc#1012628).
  - net: dsa: felix: disable cut-through forwarding for frames
    oversized for tc-taprio (bsc#1012628).
  - net: dsa: felix: access QSYS_TAG_CONFIG under tas_lock in
    vsc9959_sched_speed_set (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix typo in __mtk_foe_entry_clear
    (bsc#1012628).
  - net: ethernet: mtk_eth_soc: check max allowed hash in
    mtk_ppe_check_skb (bsc#1012628).
  - net/smc: Fix possible access to freed memory in link clear
    (bsc#1012628).
  - io_uring: recycle kbuf recycle on tw requeue (bsc#1012628).
  - net: phy: lan87xx: change interrupt src of link_up to comm_ready
    (bsc#1012628).
  - sch_sfb: Also store skb len before calling child enqueue
    (bsc#1012628).
  - libperf evlist: Fix per-thread mmaps for multi-threaded targets
    (bsc#1012628).
  - perf dlfilter dlfilter-show-cycles: Fix types for print format
    (bsc#1012628).
  - perf script: Fix Cannot print 'iregs' field for hybrid systems
    (bsc#1012628).
  - perf record: Fix synthesis failure warnings (bsc#1012628).
  - hwmon: (tps23861) fix byte order in resistance register
    (bsc#1012628).
  - ASoC: mchp-spdiftx: remove references to mchp_i2s_caps
    (bsc#1012628).
  - ASoC: mchp-spdiftx: Fix clang -Wbitfield-constant-conversion
    (bsc#1012628).
  - lsm,io_uring: add LSM hooks for the new uring_cmd file op
    (bsc#1012628).
  - selinux: implement the security_uring_cmd() LSM hook
    (bsc#1012628).
  - Smack: Provide read control for io_uring_cmd (bsc#1012628).
  - MIPS: loongson32: ls1c: Fix hang during startup (bsc#1012628).
  - kbuild: disable header exports for UML in a straightforward way
    (bsc#1012628).
  - i40e: Refactor tc mqprio checks (bsc#1012628).
  - i40e: Fix ADQ rate limiting for PF (bsc#1012628).
  - net: bonding: replace dev_trans_start() with the jiffies of
    the last ARP/NS (bsc#1012628).
  - bonding: accept unsolicited NA message (bsc#1012628).
  - swiotlb: avoid potential left shift overflow (bsc#1012628).
  - iommu/amd: use full 64-bit value in build_completion_wait()
    (bsc#1012628).
  - s390/boot: fix absolute zero lowcore corruption on boot
    (bsc#1012628).
  - time64.h: consolidate uses of PSEC_PER_NSEC (bsc#1012628).
  - net: dsa: felix: tc-taprio intervals smaller than MTU should
    send at least one packet (bsc#1012628).
  - hwmon: (mr75203) fix VM sensor allocation when "intel,vm-map"
    not defined (bsc#1012628).
  - hwmon: (mr75203) update pvt->v_num and vm_num to the actual
    number of used sensors (bsc#1012628).
  - hwmon: (mr75203) fix voltage equation for negative source input
    (bsc#1012628).
  - hwmon: (mr75203) fix multi-channel voltage reading
    (bsc#1012628).
  - hwmon: (mr75203) enable polling for all VM channels
    (bsc#1012628).
  - iommu/vt-d: Fix possible recursive locking in intel_iommu_init()
    (bsc#1012628).
  - perf evlist: Always use arch_evlist__add_default_attrs()
    (bsc#1012628).
  - perf stat: Fix L2 Topdown metrics disappear for raw events
    (bsc#1012628).
  - Revert "arm64: kasan: Revert "arm64: mte: reset the page tag
    in page->flags"" (bsc#1012628).
  - hwmon: (asus-ec-sensors) add support for Strix Z690-a D4
    (bsc#1012628).
  - hwmon: (asus-ec-sensors) add support for Maximus XI Hero
    (bsc#1012628).
  - hwmon: (asus-ec-sensors) add missing sensors for X570-I GAMING
    (bsc#1012628).
  - hwmon: (asus-ec-sensors) add definitions for ROG ZENITH II
    EXTREME (bsc#1012628).
  - hwmon: (asus-ec-sensors) autoload module via DMI data
    (bsc#1012628).
  - arm64/bti: Disable in kernel BTI when cross section thunks
    are broken (bsc#1012628).
  - iommu/vt-d: Correctly calculate sagaw value of IOMMU
    (bsc#1012628).
  - iommu/virtio: Fix interaction with VFIO (bsc#1012628).
  - Update config files.
  - commit 0312ea1
* Mon Sep 12 2022 jdelvare@suse.de
  - watchdog: wdat_wdt: Set the min and max timeout values properly
    (bsc#1194023).
  - commit a5f18a6
* Mon Sep 12 2022 tiwai@suse.de
  - iommu: Fix false ownership failure on AMD systems with PASID
    activated (bsc#1202492).
  - commit c4990ab
* Mon Sep 12 2022 tiwai@suse.de
  - Drop temporary workaround patch for HD-audio IOMMU bug (bsc#1202492)
    The proper upstream fix will be merged instead
  - commit 23d9d61
* Sun Sep 11 2022 mkubecek@suse.cz
  - Update to 6.0-rc5
  - eliminate 5 patches:
    - patches.suse/ASoC-nau8540-Implement-hw-constraint-for-rates.patch
    - patches.suse/ASoC-nau8821-Implement-hw-constraint-for-rates.patch
    - patches.suse/ASoC-nau8824-Fix-semaphore-unbalance-at-error-paths.patch
    - patches.suse/ASoC-nau8824-Implement-hw-constraint-for-rates.patch
    - patches.suse/ASoC-nau8825-Implement-hw-constraint-for-rates.patch
  - refresh configs
  - commit f7dcc92
* Thu Sep 08 2022 jslaby@suse.cz
  - Linux 5.19.8 (bsc#1012628).
  - drm/msm/dp: make eDP panel as the first connected connector
    (bsc#1012628).
  - drm/msm/dsi: fix the inconsistent indenting (bsc#1012628).
  - drm/msm/dpu: populate wb or intf before reset_intf_cfg
    (bsc#1012628).
  - drm/msm/dp: delete DP_RECOVERED_CLOCK_OUT_EN to fix tps4
    (bsc#1012628).
  - drm/msm/dsi: Fix number of regulators for msm8996_dsi_cfg
    (bsc#1012628).
  - drm/msm/dsi: Fix number of regulators for SDM660 (bsc#1012628).
  - platform/x86: pmc_atom: Fix SLP_TYPx bitfield mask
    (bsc#1012628).
  - platform/x86: x86-android-tablets: Fix broken touchscreen on
    Chuwi Hi8 with Windows BIOS (bsc#1012628).
  - xsk: Fix corrupted packets for XDP_SHARED_UMEM (bsc#1012628).
  - drm/msm/gpu: Drop qos request if devm_devfreq_add_device()
    fails (bsc#1012628).
  - peci: aspeed: fix error check return value of platform_get_irq()
    (bsc#1012628).
  - iio: adc: mcp3911: make use of the sign bit (bsc#1012628).
  - skmsg: Fix wrong last sg check in sk_msg_recvmsg()
    (bsc#1012628).
  - bpf: Restrict bpf_sys_bpf to CAP_PERFMON (bsc#1012628).
  - ip_tunnel: Respect tunnel key's "flow_flags" in IP tunnels
    (bsc#1012628).
  - bpf, cgroup: Fix kernel BUG in purge_effective_progs
    (bsc#1012628).
  - drm/i915/gvt: Fix Comet Lake (bsc#1012628).
  - ieee802154/adf7242: defer destroy_workqueue call (bsc#1012628).
  - bpf: Fix a data-race around bpf_jit_limit (bsc#1012628).
  - drm/i915/ttm: fix CCS handling (bsc#1012628).
  - drm/i915/display: avoid warnings when registering dual panel
    backlight (bsc#1012628).
  - ALSA: hda: intel-nhlt: Correct the handling of fmt_config
    flexible array (bsc#1012628).
  - wifi: cfg80211: debugfs: fix return type in ht40allow_map_read()
    (bsc#1012628).
  - xhci: Fix null pointer dereference in remove if xHC has only
    one roothub (bsc#1012628).
  - Revert "xhci: turn off port power in shutdown" (bsc#1012628).
  - bpf: Allow helpers to accept pointers with a fixed size
    (bsc#1012628).
  - bpf: Tidy up verifier check_func_arg() (bsc#1012628).
  - bpf: Do mark_chain_precision for ARG_CONST_ALLOC_SIZE_OR_ZERO
    (bsc#1012628).
  - Bluetooth: hci_event: Fix vendor (unknown) opcode status
    handling (bsc#1012628).
  - Bluetooth: hci_sync: Fix suspend performance regression
    (bsc#1012628).
  - Bluetooth: hci_event: Fix checking conn for le_conn_complete_evt
    (bsc#1012628).
  - Bluetooth: hci_sync: hold hdev->lock when cleanup hci_conn
    (bsc#1012628).
  - net: sparx5: fix handling uneven length packets in manual
    extraction (bsc#1012628).
  - net: smsc911x: Stop and start PHY during suspend and resume
    (bsc#1012628).
  - openvswitch: fix memory leak at failed datapath creation
    (bsc#1012628).
  - nfp: flower: fix ingress police using matchall filter
    (bsc#1012628).
  - net: dsa: xrs700x: Use irqsave variant for u64 stats update
    (bsc#1012628).
  - drm/i915: fix null pointer dereference (bsc#1012628).
  - net: sched: tbf: don't call qdisc_put() while holding tree lock
    (bsc#1012628).
  - net/sched: fix netdevice reference leaks in
    attach_default_qdiscs() (bsc#1012628).
  - net: phy: micrel: Make the GPIO to be non-exclusive
    (bsc#1012628).
  - net: lan966x: improve error handle in
    lan966x_fdma_rx_get_frame() (bsc#1012628).
  - ethernet: rocker: fix sleep in atomic context bug in
    neigh_timer_handler (bsc#1012628).
  - cachefiles: fix error return code in cachefiles_ondemand_copen()
    (bsc#1012628).
  - cachefiles: make on-demand request distribution fairer
    (bsc#1012628).
  - mlxbf_gige: compute MDIO period based on i1clk (bsc#1012628).
  - kcm: fix strp_init() order and cleanup (bsc#1012628).
  - sch_cake: Return __NET_XMIT_STOLEN when consuming enqueued skb
    (bsc#1012628).
  - tcp: annotate data-race around challenge_timestamp
    (bsc#1012628).
  - Revert "sch_cake: Return __NET_XMIT_STOLEN when consuming
    enqueued skb" (bsc#1012628).
  - net/smc: Remove redundant refcount increase (bsc#1012628).
  - soundwire: qcom: fix device status array range (bsc#1012628).
  - mm/slab_common: Deleting kobject in kmem_cache_destroy()
    without holding slab_mutex/cpu_hotplug_lock (bsc#1012628).
  - platform/mellanox: mlxreg-lc: Fix coverity warning
    (bsc#1012628).
  - platform/mellanox: mlxreg-lc: Fix locking issue (bsc#1012628).
  - serial: fsl_lpuart: RS485 RTS polariy is inverse (bsc#1012628).
  - tty: serial: atmel: Preserve previous USART mode if RS485
    disabled (bsc#1012628).
  - staging: rtl8712: fix use after free bugs (bsc#1012628).
  - staging: r8188eu: Add Rosewill USB-N150 Nano to device tables
    (bsc#1012628).
  - staging: r8188eu: add firmware dependency (bsc#1012628).
  - Revert "powerpc: Remove unused FW_FEATURE_NATIVE references"
    (bsc#1012628).
  - powerpc: align syscall table for ppc32 (bsc#1012628).
  - powerpc/rtas: Fix RTAS MSR[HV] handling for Cell (bsc#1012628).
  - vt: Clear selection before changing the font (bsc#1012628).
  - musb: fix USB_MUSB_TUSB6010 dependency (bsc#1012628).
  - tty: serial: lpuart: disable flow control while waiting for
    the transmit engine to complete (bsc#1012628).
  - Input: iforce - wake up after clearing IFORCE_XMIT_RUNNING flag
    (bsc#1012628).
  - iio: light: cm3605: Fix an error handling path in cm3605_probe()
    (bsc#1012628).
  - iio: ad7292: Prevent regulator double disable (bsc#1012628).
  - iio: adc: mcp3911: correct "microchip,device-addr" property
    (bsc#1012628).
  - iio: adc: mcp3911: use correct formula for AD conversion
    (bsc#1012628).
  - misc: fastrpc: fix memory corruption on probe (bsc#1012628).
  - misc: fastrpc: fix memory corruption on open (bsc#1012628).
  - firmware_loader: Fix use-after-free during unregister
    (bsc#1012628).
  - firmware_loader: Fix memory leak in firmware upload
    (bsc#1012628).
  - USB: serial: ftdi_sio: add Omron CS1W-CIF31 device id
    (bsc#1012628).
  - landlock: Fix file reparenting without explicit
    LANDLOCK_ACCESS_FS_REFER (bsc#1012628).
  - mmc: core: Fix UHS-I SD 1.8V workaround branch (bsc#1012628).
  - mmc: core: Fix inconsistent sd3_bus_mode at UHS-I SD voltage
    switch failure (bsc#1012628).
  - binder: fix UAF of ref->proc caused by race condition
    (bsc#1012628).
  - binder: fix alloc->vma_vm_mm null-ptr dereference (bsc#1012628).
  - cifs: fix small mempool leak in SMB2_negotiate() (bsc#1012628).
  - KVM: VMX: Heed the 'msr' argument in msr_write_intercepted()
    (bsc#1012628).
  - riscv: kvm: move extern sbi_ext declarations to a header
    (bsc#1012628).
  - clk: ti: Fix missing of_node_get() ti_find_clock_provider()
    (bsc#1012628).
  - drm/i915/reg: Fix spelling mistake "Unsupport" -> "Unsupported"
    (bsc#1012628).
  - clk: core: Honor CLK_OPS_PARENT_ENABLE for clk gate ops
    (bsc#1012628).
  - Revert "clk: core: Honor CLK_OPS_PARENT_ENABLE for clk gate ops"
    (bsc#1012628).
  - clk: core: Fix runtime PM sequence in clk_core_unprepare()
    (bsc#1012628).
  - Input: rk805-pwrkey - fix module autoloading (bsc#1012628).
  - powerpc/papr_scm: Fix nvdimm event mappings (bsc#1012628).
  - clk: bcm: rpi: Fix error handling of raspberrypi_fw_get_rate
    (bsc#1012628).
  - clk: bcm: rpi: Prevent out-of-bounds access (bsc#1012628).
  - clk: bcm: rpi: Add missing newline (bsc#1012628).
  - hwmon: (gpio-fan) Fix array out of bounds access (bsc#1012628).
  - gpio: pca953x: Add mutex_lock for regcache sync in PM
    (bsc#1012628).
  - gpio: realtek-otto: switch to 32-bit I/O (bsc#1012628).
  - KVM: x86: Mask off unsupported and unknown bits of
    IA32_ARCH_CAPABILITIES (bsc#1012628).
  - powerpc/papr_scm: Ensure rc is always initialized in
    papr_scm_pmu_register() (bsc#1012628).
  - xen/grants: prevent integer overflow in gnttab_dma_alloc_pages()
    (bsc#1012628).
  - mm: pagewalk: Fix race between unmap and page walker
    (bsc#1012628).
  - xen-blkback: Advertise feature-persistent as user requested
    (bsc#1012628).
  - xen-blkfront: Advertise feature-persistent as user requested
    (bsc#1012628).
  - xen-blkfront: Cache feature_persistent value before
    advertisement (bsc#1012628).
  - thunderbolt: Use the actual buffer in tb_async_error()
    (bsc#1012628).
  - thunderbolt: Check router generation before connecting xHCI
    (bsc#1012628).
  - usb: dwc3: pci: Add support for Intel Raptor Lake (bsc#1012628).
  - media: mceusb: Use new usb_control_msg_*() routines
    (bsc#1012628).
  - xhci: Add grace period after xHC start to prevent premature
    runtime suspend (bsc#1012628).
  - usb: dwc3: disable USB core PHY management (bsc#1012628).
  - usb: dwc3: gadget: Avoid duplicate requests to enable Run/Stop
    (bsc#1012628).
  - usb: dwc3: fix PHY disable sequence (bsc#1012628).
  - USB: serial: ch341: fix lost character on LCR updates
    (bsc#1012628).
  - USB: serial: ch341: fix disabled rx timer on older devices
    (bsc#1012628).
  - USB: serial: cp210x: add Decagon UCA device id (bsc#1012628).
  - USB: serial: option: add support for OPPO R11 diag port
    (bsc#1012628).
  - USB: serial: option: add Quectel EM060K modem (bsc#1012628).
  - USB: serial: option: add support for Cinterion MV32-WA/WB
    RmNet mode (bsc#1012628).
  - usb: typec: altmodes/displayport: correct pin assignment for
    UFP receptacles (bsc#1012628).
  - usb: typec: intel_pmc_mux: Add new ACPI ID for Meteor Lake
    IOM device (bsc#1012628).
  - usb: typec: tcpm: Return ENOTSUPP for power supply prop writes
    (bsc#1012628).
  - usb: dwc2: fix wrong order of phy_power_on and phy_init
    (bsc#1012628).
  - usb: cdns3: fix issue with rearming ISO OUT endpoint
    (bsc#1012628).
  - usb: cdns3: fix incorrect handling TRB_SMM flag for ISOC
    transfer (bsc#1012628).
  - USB: cdc-acm: Add Icom PMR F3400 support (0c26:0020)
    (bsc#1012628).
  - usb-storage: Add ignore-residue quirk for NXP PN7462AU
    (bsc#1012628).
  - s390/hugetlb: fix prepare_hugepage_range() check for 2 GB
    hugepages (bsc#1012628).
  - s390: fix nospec table alignments (bsc#1012628).
  - USB: core: Prevent nested device-reset calls (bsc#1012628).
  - usb: xhci-mtk: relax TT periodic bandwidth allocation
    (bsc#1012628).
  - usb: xhci-mtk: fix bandwidth release issue (bsc#1012628).
  - usb: gadget: f_uac2: fix superspeed transfer (bsc#1012628).
  - usb: gadget: mass_storage: Fix cdrom data transfers on MAC-OS
    (bsc#1012628).
  - USB: gadget: Fix obscure lockdep violation for udc_mutex
    (bsc#1012628).
  - dma-buf/dma-resv: check if the new fence is really later
    (bsc#1012628).
  - arm64/kexec: Fix missing extra range for crashkres_low
    (bsc#1012628).
  - driver core: Don't probe devices after bus_type.match() probe
    deferral (bsc#1012628).
  - wifi: mac80211: Don't finalize CSA in IBSS mode if state is
    disconnected (bsc#1012628).
  - wifi: mac80211: Fix UAF in ieee80211_scan_rx() (bsc#1012628).
  - ip: fix triggering of 'icmp redirect' (bsc#1012628).
  - net: Use u64_stats_fetch_begin_irq() for stats fetch
    (bsc#1012628).
  - net: mac802154: Fix a condition in the receive path
    (bsc#1012628).
  - ALSA: memalloc: Revive x86-specific WC page allocations again
    (bsc#1012628).
  - ALSA: hda/realtek: Add speaker AMP init for Samsung laptops
    with ALC298 (bsc#1012628).
  - ALSA: seq: oss: Fix data-race for max_midi_devs access
    (bsc#1012628).
  - ALSA: seq: Fix data-race at module auto-loading (bsc#1012628).
  - drm/i915/backlight: Disable pps power hook for aux based
    backlight (bsc#1012628).
  - drm/i915/guc: clear stalled request after a reset (bsc#1012628).
  - drm/i915/glk: ECS Liva Q2 needs GLK HDMI port timing quirk
    (bsc#1012628).
  - drm/i915: Skip wm/ddb readout for disabled pipes (bsc#1012628).
  - tty: n_gsm: add sanity check for gsm->receive in
    gsm_receive_buf() (bsc#1012628).
  - tty: n_gsm: initialize more members at gsm_alloc_mux()
    (bsc#1012628).
  - tty: n_gsm: replace kicktimer with delayed_work (bsc#1012628).
  - tty: n_gsm: avoid call of sleeping functions from atomic context
    (bsc#1012628).
  - commit 0330383
* Thu Sep 08 2022 jslaby@suse.cz
  - Refresh
    patches.suse/Revert-usb-typec-ucsi-add-a-common-function-ucsi_unr.patch.
    Update upstream info.
  - commit 9b6c180
* Wed Sep 07 2022 jslaby@suse.cz
  - Revert "Revert "btrfs: check if root is readonly while setting security" (bsc#1203114)
    This reverts commit 2b3da4915c03713f32e48582d3a1130238586489.
    iWe can revert it as microos-tools are fixed now:
    https://build.opensuse.org/request/show/1001364
  - commit 9291084
* Tue Sep 06 2022 tiwai@suse.de
  - vduse: prevent uninitialized memory accesses (CVE-2022-2308
    bsc#1202573).
  - commit 70d9c50
* Mon Sep 05 2022 jslaby@suse.cz
  - Refresh
    patches.kernel.org/5.19.5-001-kbuild-dummy-tools-avoid-tmpdir-leak-in-dummy-.patch.
    Make it really create the file. Sometimes, quilt is confused.
  - commit 11a0be1
* Mon Sep 05 2022 jslaby@suse.cz
  - Revert "btrfs: check if root is readonly while setting security
    xattr" (bsc#1203114).
  - commit 2b3da49
* Mon Sep 05 2022 jslaby@suse.cz
  - Linux 5.19.7 (bsc#1012628).
  - arm64: cacheinfo: Fix incorrect assignment of signed error
    value to unsigned fw_level (bsc#1012628).
  - net: neigh: don't call kfree_skb() under spin_lock_irqsave()
    (bsc#1012628).
  - net/af_packet: check len when min_header_len equals to 0
    (bsc#1012628).
  - android: binder: fix lockdep check on clearing vma
    (bsc#1012628).
  - btrfs: tree-checker: check for overlapping extent items
    (bsc#1012628).
  - btrfs: fix lockdep splat with reloc root extent buffers
    (bsc#1012628).
  - btrfs: move lockdep class helpers to locking.c (bsc#1012628).
  - ALSA: hda/cs8409: Support new Dolphin Variants (bsc#1012628).
  - platform/x86: serial-multi-instantiate: Add CLSA0101 Laptop
    (bsc#1012628).
  - testing: selftests: nft_flowtable.sh: use random netns names
    (bsc#1012628).
  - netfilter: conntrack: NF_CONNTRACK_PROCFS should no longer
    default to y (bsc#1012628).
  - drm/amdgpu: Fix interrupt handling on ih_soft ring
    (bsc#1012628).
  - drm/amdgpu: Add secure display TA load for Renoir (bsc#1012628).
  - drm/amdgpu: Add decode_iv_ts helper for ih_v6 block
    (bsc#1012628).
  - drm/amd/display: avoid doing vm_init multiple time
    (bsc#1012628).
  - drm/amd/display: Fix plug/unplug external monitor will hang
    while playback MPO video (bsc#1012628).
  - drm/amdgpu: Increase tlb flush timeout for sriov (bsc#1012628).
  - drm/amd/display: Fix pixel clock programming (bsc#1012628).
  - drm/amd/pm: add missing ->fini_xxxx interfaces for some SMU13
    asics (bsc#1012628).
  - drm/amd/pm: add missing ->fini_microcode interface for Sienna
    Cichlid (bsc#1012628).
  - drm/amdgpu: disable 3DCGCG/CGLS temporarily due to stability
    issue (bsc#1012628).
  - ksmbd: don't remove dos attribute xattr on O_TRUNC open
    (bsc#1012628).
  - s390/hypfs: avoid error message under KVM (bsc#1012628).
  - ALSA: hda/realtek: Add quirks for ASUS Zenbooks using CS35L41
    (bsc#1012628).
  - neigh: fix possible DoS due to net iface start/stop loop
    (bsc#1012628).
  - net: lan966x: fix checking for return value of
    platform_get_irq_byname() (bsc#1012628).
  - ksmbd: return STATUS_BAD_NETWORK_NAME error status if share
    is not configured (bsc#1012628).
  - drm/amd/pm: Fix a potential gpu_metrics_table memory leak
    (bsc#1012628).
  - drm/amdkfd: Handle restart of kfd_ioctl_wait_events
    (bsc#1012628).
  - drm/amd/pm: skip pptable override for smu_v13_0_7 (bsc#1012628).
  - drm/amd/display: Fix TDR eDP and USB4 display light up issue
    (bsc#1012628).
  - drm/amd/display: clear optc underflow before turn off odm clock
    (bsc#1012628).
  - drm/amd/display: For stereo keep "FLIP_ANY_FRAME" (bsc#1012628).
  - drm/amd/display: Fix HDMI VSIF V3 incorrect issue (bsc#1012628).
  - drm/amd/display: Avoid MPC infinite loop (bsc#1012628).
  - drm/amd/display: Device flash garbage before get in OS
    (bsc#1012628).
  - drm/amd/display: Add a missing register field for HPO DP stream
    encoder (bsc#1012628).
  - rtla: Fix tracer name (bsc#1012628).
  - ASoC: rt5640: Fix the JD voltage dropping issue (bsc#1012628).
  - ASoC: sh: rz-ssi: Improve error handling in rz_ssi_probe()
    error path (bsc#1012628).
  - fs/ntfs3: Fix work with fragmented xattr (bsc#1012628).
  - mmc: sdhci-of-dwcmshc: Re-enable support for the BlueField-3
    SoC (bsc#1012628).
  - mmc: sdhci-of-dwcmshc: rename rk3568 to rk35xx (bsc#1012628).
  - mmc: sdhci-of-dwcmshc: add reset call back for rockchip Socs
    (bsc#1012628).
  - mmc: mtk-sd: Clear interrupts when cqe off/disable
    (bsc#1012628).
  - HID: intel-ish-hid: ipc: Add Meteor Lake PCI device ID
    (bsc#1012628).
  - HID: thrustmaster: Add sparco wheel and fix array length
    (bsc#1012628).
  - HID: nintendo: fix rumble worker null pointer deref
    (bsc#1012628).
  - HID: asus: ROG NKey: Ignore portion of 0x5a report
    (bsc#1012628).
  - HID: Add Apple Touchbar on T2 Macs in hid_have_special_driver
    list (bsc#1012628).
  - HID: AMD_SFH: Add a DMI quirk entry for Chromebooks
    (bsc#1012628).
  - HID: add Lenovo Yoga C630 battery quirk (bsc#1012628).
  - HID: input: fix uclogic tablets (bsc#1012628).
  - ALSA: usb-audio: Add quirk for LH Labs Geek Out HD Audio 1V5
    (bsc#1012628).
  - mm/rmap: Fix anon_vma->degree ambiguity leading to double-reuse
    (bsc#1012628).
  - bpf: Don't redirect packets with invalid pkt_len (bsc#1012628).
  - ftrace: Fix NULL pointer dereference in is_ftrace_trampoline
    when ftrace is dead (bsc#1012628).
  - fbdev: fb_pm2fb: Avoid potential divide by zero error
    (bsc#1012628).
  - net: fix refcount bug in sk_psock_get (2) (bsc#1012628).
  - HID: hidraw: fix memory leak in hidraw_release() (bsc#1012628).
  - USB: gadget: Fix use-after-free Read in usb_udc_uevent()
    (bsc#1012628).
  - media: pvrusb2: fix memory leak in pvr_probe (bsc#1012628).
  - udmabuf: Set the DMA mask for the udmabuf device (v2)
    (bsc#1012628).
  - HID: steam: Prevent NULL pointer dereference in
    steam_{recv,send}_report (bsc#1012628).
  - Revert "PCI/portdrv: Don't disable AER reporting in
    get_port_device_capability()" (bsc#1012628).
  - Bluetooth: L2CAP: Fix build errors in some archs (bsc#1012628).
  - arm64: errata: Add Cortex-A510 to the repeat tlbi list
    (bsc#1012628).
  - Update config files.
    Set CONFIG_ARM64_ERRATUM_2441009=y as per default.
  - docs: kerneldoc-preamble: Test xeCJK.sty before loading
    (bsc#1012628).
  - crypto: lib - remove unneeded selection of XOR_BLOCKS
    (bsc#1012628).
  - firmware: tegra: bpmp: Do only aligned access to IPC memory area
    (bsc#1012628).
  - drm/vc4: hdmi: Depends on CONFIG_PM (bsc#1012628).
  - drm/vc4: hdmi: Rework power up (bsc#1012628).
  - commit 6d5067d
* Sun Sep 04 2022 mkubecek@suse.cz
  - Update to 6.0-rc4
  - refresh configs
  - commit c26d0f0
* Thu Sep 01 2022 jslaby@suse.cz
  - rpm/kernel-source.spec.in: simplify finding of broken symlinks
    "find -xtype l" will report them, so use that to make the search a bit
    faster (without using shell).
  - commit 13bbc51
* Thu Sep 01 2022 jslaby@suse.cz
  - Linux 5.19.6 (bsc#1012628).
  - NFS: Fix another fsync() issue after a server reboot
    (bsc#1012628).
  - audit: fix potential double free on error path from
    fsnotify_add_inode_mark (bsc#1012628).
  - cgroup: Fix race condition at rebind_subsystems() (bsc#1012628).
  - parisc: Make CONFIG_64BIT available for ARCH=parisc64 only
    (bsc#1012628).
  - parisc: Fix exception handler for fldw and fstw instructions
    (bsc#1012628).
  - kernel/sys_ni: add compat entry for fadvise64_64 (bsc#1012628).
  - kprobes: don't call disarm_kprobe() for disabled kprobes
    (bsc#1012628).
  - mm/uffd: reset write protection when unregister with wp-mode
    (bsc#1012628).
  - mm/hugetlb: support write-faults in shared mappings
    (bsc#1012628).
  - mt76: mt7921: fix command timeout in AP stop period
    (bsc#1012628).
  - xfrm: fix refcount leak in __xfrm_policy_check() (bsc#1012628).
  - Revert "xfrm: update SA curlft.use_time" (bsc#1012628).
  - xfrm: clone missing x->lastused in xfrm_do_migrate
    (bsc#1012628).
  - af_key: Do not call xfrm_probe_algs in parallel (bsc#1012628).
  - xfrm: policy: fix metadata dst->dev xmit null pointer
    dereference (bsc#1012628).
  - fs: require CAP_SYS_ADMIN in target namespace for idmapped
    mounts (bsc#1012628).
  - Revert "net: macsec: update SCI upon MAC address
    change." (bsc#1012628).
  - NFSv4.2 fix problems with __nfs42_ssc_open (bsc#1012628).
  - SUNRPC: RPC level errors should set task->tk_rpc_status
    (bsc#1012628).
  - mm/smaps: don't access young/dirty bit if pte unpresent
    (bsc#1012628).
  - ntfs: fix acl handling (bsc#1012628).
  - rose: check NULL rose_loopback_neigh->loopback (bsc#1012628).
  - r8152: fix the units of some registers for RTL8156A
    (bsc#1012628).
  - r8152: fix the RX FIFO settings when suspending (bsc#1012628).
  - nfc: pn533: Fix use-after-free bugs caused by pn532_cmd_timeout
    (bsc#1012628).
  - ice: xsk: prohibit usage of non-balanced queue id (bsc#1012628).
  - ice: xsk: use Rx ring's XDP ring when picking NAPI context
    (bsc#1012628).
  - net/mlx5e: Properly disable vlan strip on non-UL reps
    (bsc#1012628).
  - net/mlx5: LAG, fix logic over MLX5_LAG_FLAG_NDEVS_READY
    (bsc#1012628).
  - net/mlx5: Eswitch, Fix forwarding decision to uplink
    (bsc#1012628).
  - net/mlx5: Disable irq when locking lag_lock (bsc#1012628).
  - net/mlx5: Fix cmd error logging for manage pages cmd
    (bsc#1012628).
  - net/mlx5: Avoid false positive lockdep warning by adding
    lock_class_key (bsc#1012628).
  - net/mlx5e: Fix wrong application of the LRO state (bsc#1012628).
  - net/mlx5e: Fix wrong tc flag used when set hw-tc-offload off
    (bsc#1012628).
  - net: dsa: microchip: ksz9477: cleanup the ksz9477_switch_detect
    (bsc#1012628).
  - net: dsa: microchip: move switch chip_id detection to ksz_common
    (bsc#1012628).
  - net: dsa: microchip: move tag_protocol to ksz_common
    (bsc#1012628).
  - net: dsa: microchip: move vlan functionality to ksz_common
    (bsc#1012628).
  - net: dsa: microchip: move the port mirror to ksz_common
    (bsc#1012628).
  - net: dsa: microchip: update the ksz_phylink_get_caps
    (bsc#1012628).
  - net: dsa: microchip: keep compatibility with device tree blobs
    with no phy-mode (bsc#1012628).
  - net: ipa: don't assume SMEM is page-aligned (bsc#1012628).
  - net: phy: Don't WARN for PHY_READY state in
    mdio_bus_phy_resume() (bsc#1012628).
  - net: moxa: get rid of asymmetry in DMA mapping/unmapping
    (bsc#1012628).
  - bonding: 802.3ad: fix no transmission of LACPDUs (bsc#1012628).
  - net: ipvtap - add __init/__exit annotations to module init/exit
    funcs (bsc#1012628).
  - netfilter: ebtables: reject blobs that don't provide all entry
    points (bsc#1012628).
  - netfilter: nft_tproxy: restrict to prerouting hook
    (bsc#1012628).
  - bnxt_en: Use PAGE_SIZE to init buffer when multi buffer XDP
    is not in use (bsc#1012628).
  - bnxt_en: set missing reload flag in devlink features
    (bsc#1012628).
  - bnxt_en: fix NQ resource accounting during vf creation on
    57500 chips (bsc#1012628).
  - bnxt_en: fix LRO/GRO_HW features in ndo_fix_features callback
    (bsc#1012628).
  - netfilter: nf_tables: disallow updates of implicit chain
    (bsc#1012628).
  - netfilter: nf_tables: make table handle allocation per-netns
    friendly (bsc#1012628).
  - netfilter: nft_payload: report ERANGE for too long offset and
    length (bsc#1012628).
  - netfilter: nft_payload: do not truncate csum_offset and
    csum_type (bsc#1012628).
  - netfilter: nf_tables: do not leave chain stats enabled on error
    (bsc#1012628).
  - netfilter: nft_osf: restrict osf to ipv4, ipv6 and inet families
    (bsc#1012628).
  - netfilter: nft_tunnel: restrict it to netdev family
    (bsc#1012628).
  - netfilter: nf_tables: disallow binding to already bound chain
    (bsc#1012628).
  - netfilter: flowtable: add function to invoke garbage collection
    immediately (bsc#1012628).
  - netfilter: flowtable: fix stuck flows on cleanup due to pending
    work (bsc#1012628).
  - net: Fix data-races around sysctl_[rw]mem_(max|default)
    (bsc#1012628).
  - net: Fix data-races around weight_p and dev_weight_[rt]x_bias
    (bsc#1012628).
  - net: Fix data-races around netdev_max_backlog (bsc#1012628).
  - net: Fix data-races around netdev_tstamp_prequeue (bsc#1012628).
  - ratelimit: Fix data-races in ___ratelimit() (bsc#1012628).
  - net: Fix data-races around sysctl_optmem_max (bsc#1012628).
  - net: Fix a data-race around sysctl_tstamp_allow_data
    (bsc#1012628).
  - net: Fix a data-race around sysctl_net_busy_poll (bsc#1012628).
  - net: Fix a data-race around sysctl_net_busy_read (bsc#1012628).
  - net: Fix a data-race around netdev_budget (bsc#1012628).
  - net: Fix data-races around sysctl_max_skb_frags (bsc#1012628).
  - net: Fix a data-race around netdev_budget_usecs (bsc#1012628).
  - net: Fix data-races around sysctl_fb_tunnels_only_for_init_net
    (bsc#1012628).
  - net: Fix data-races around sysctl_devconf_inherit_init_net
    (bsc#1012628).
  - net: Fix a data-race around gro_normal_batch (bsc#1012628).
  - net: Fix a data-race around netdev_unregister_timeout_secs
    (bsc#1012628).
  - net: Fix a data-race around sysctl_somaxconn (bsc#1012628).
  - ixgbe: stop resetting SYSTIME in ixgbe_ptp_start_cyclecounter
    (bsc#1012628).
  - i40e: Fix incorrect address type for IPv6 flow rules
    (bsc#1012628).
  - net: ethernet: mtk_eth_soc: enable rx cksum offload for
    MTK_NETSYS_V2 (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix hw hash reporting for
    MTK_NETSYS_V2 (bsc#1012628).
  - rxrpc: Fix locking in rxrpc's sendmsg (bsc#1012628).
  - ionic: clear broken state on generation change (bsc#1012628).
  - ionic: fix up issues with handling EAGAIN on FW cmds
    (bsc#1012628).
  - ionic: VF initial random MAC address if no assigned mac
    (bsc#1012628).
  - net: stmmac: work around sporadic tx issue on link-up
    (bsc#1012628).
  - net: lantiq_xrx200: confirm skb is allocated before using
    (bsc#1012628).
  - net: lantiq_xrx200: fix lock under memory pressure
    (bsc#1012628).
  - net: lantiq_xrx200: restore buffer if memory allocation failed
    (bsc#1012628).
  - btrfs: fix silent failure when deleting root reference
    (bsc#1012628).
  - btrfs: replace: drop assert for suspended replace (bsc#1012628).
  - btrfs: add info when mount fails due to stale replace target
    (bsc#1012628).
  - btrfs: fix space cache corruption and potential double
    allocations (bsc#1012628).
  - btrfs: check if root is readonly while setting security xattr
    (bsc#1012628).
  - btrfs: fix possible memory leak in
    btrfs_get_dev_args_from_path() (bsc#1012628).
  - btrfs: update generation of hole file extent item when merging
    holes (bsc#1012628).
  - x86/boot: Don't propagate uninitialized
    boot_params->cc_blob_address (bsc#1012628).
  - perf/x86/intel: Fix pebs event constraints for ADL
    (bsc#1012628).
  - perf/x86/lbr: Enable the branch type for the Arch LBR by default
    (bsc#1012628).
  - x86/entry: Fix entry_INT80_compat for Xen PV guests
    (bsc#1012628).
  - x86/unwind/orc: Unwind ftrace trampolines with correct ORC entry
    (bsc#1012628).
  - x86/sev: Don't use cc_platform_has() for early SEV-SNP calls
    (bsc#1012628).
  - x86/bugs: Add "unknown" reporting for MMIO Stale Data
    (bsc#1012628).
  - x86/nospec: Unwreck the RSB stuffing (bsc#1012628).
  - x86/PAT: Have pat_enabled() properly reflect state when running
    on Xen (bsc#1012628).
  - loop: Check for overflow while configuring loop (bsc#1012628).
  - writeback: avoid use-after-free after removing device
    (bsc#1012628).
  - audit: move audit_return_fixup before the filters (bsc#1012628).
  - asm-generic: sections: refactor memory_intersects (bsc#1012628).
  - mm/damon/dbgfs: avoid duplicate context directory creation
    (bsc#1012628).
  - s390/mm: do not trigger write fault when vma does not allow
    VM_WRITE (bsc#1012628).
  - bootmem: remove the vmemmap pages from kmemleak in
    put_page_bootmem (bsc#1012628).
  - mm/hugetlb: avoid corrupting page->mapping in
    hugetlb_mcopy_atomic_pte (bsc#1012628).
  - mm/mprotect: only reference swap pfn page if type match
    (bsc#1012628).
  - cifs: skip extra NULL byte in filenames (bsc#1012628).
  - s390: fix double free of GS and RI CBs on fork() failure
    (bsc#1012628).
  - fbdev: fbcon: Properly revert changes when vc_resize() failed
    (bsc#1012628).
  - Revert "memcg: cleanup racy sum avoidance code" (bsc#1012628).
  - shmem: update folio if shmem_replace_page() updates the page
    (bsc#1012628).
  - ACPI: processor: Remove freq Qos request for all CPUs
    (bsc#1012628).
  - nouveau: explicitly wait on the fence in nouveau_bo_move_m2mf
    (bsc#1012628).
  - smb3: missing inode locks in punch hole (bsc#1012628).
  - ocfs2: fix freeing uninitialized resource on ocfs2_dlm_shutdown
    (bsc#1012628).
  - xen/privcmd: fix error exit of privcmd_ioctl_dm_op()
    (bsc#1012628).
  - riscv: signal: fix missing prototype warning (bsc#1012628).
  - riscv: traps: add missing prototype (bsc#1012628).
  - riscv: dts: microchip: correct L2 cache interrupts
    (bsc#1012628).
  - io_uring: fix issue with io_write() not always undoing
    sb_start_write() (bsc#1012628).
  - mm/hugetlb: fix hugetlb not supporting softdirty tracking
    (bsc#1012628).
  - Revert "md-raid: destroy the bitmap after destroying the thread"
    (bsc#1012628).
  - md: call __md_stop_writes in md_stop (bsc#1012628).
  - arm64: Fix match_list for erratum 1286807 on Arm Cortex-A76
    (bsc#1012628).
  - binder_alloc: add missing mmap_lock calls when using the VMA
    (bsc#1012628).
  - x86/nospec: Fix i386 RSB stuffing (bsc#1012628).
  - drm/amdkfd: Fix isa version for the GC 10.3.7 (bsc#1012628).
  - Documentation/ABI: Mention retbleed vulnerability info file
    for sysfs (bsc#1012628).
  - blk-mq: fix io hung due to missing commit_rqs (bsc#1012628).
  - perf python: Fix build when PYTHON_CONFIG is user supplied
    (bsc#1012628).
  - perf/x86/intel/uncore: Fix broken read_counter() for SNB IMC
    PMU (bsc#1012628).
  - perf/x86/intel/ds: Fix precise store latency handling
    (bsc#1012628).
  - perf stat: Clear evsel->reset_group for each stat run
    (bsc#1012628).
  - arm64: fix rodata=full (bsc#1012628).
  - arm64/signal: Flush FPSIMD register state when disabling
    streaming mode (bsc#1012628).
  - arm64/sme: Don't flush SVE register state when allocating SME
    storage (bsc#1012628).
  - arm64/sme: Don't flush SVE register state when handling SME
    traps (bsc#1012628).
  - scsi: ufs: core: Enable link lost interrupt (bsc#1012628).
  - scsi: storvsc: Remove WQ_MEM_RECLAIM from storvsc_error_wq
    (bsc#1012628).
  - scsi: core: Fix passthrough retry counter handling
    (bsc#1012628).
  - riscv: dts: microchip: mpfs: fix incorrect pcie child node name
    (bsc#1012628).
  - riscv: dts: microchip: mpfs: remove ti,fifo-depth property
    (bsc#1012628).
  - riscv: dts: microchip: mpfs: remove bogus card-detect-delay
    (bsc#1012628).
  - riscv: dts: microchip: mpfs: remove pci axi address translation
    property (bsc#1012628).
  - bpf: Don't use tnum_range on array range checking for poke
    descriptors (bsc#1012628).
  - Delete
    patches.suse/mm-mprotect-fix-soft-dirty-check-in-can_change_pte_w.patch.
  - commit 9e364bb
* Wed Aug 31 2022 msuchanek@suse.de
  - mkspec: eliminate @NOSOURCE@ macro
    This should be alsways used with @SOURCES@, just include the content
    there.
  - commit 403d89f
* Wed Aug 31 2022 msuchanek@suse.de
  - kernel-source: include the kernel signature file
    We assume that the upstream tarball is used for released kernels.
    Then we can also include the signature file and keyring in the
    kernel-source src.rpm.
    Because of mkspec code limitation exclude the signature and keyring from
    binary packages always - mkspec does not parse spec conditionals.
  - commit e76c4ca
* Wed Aug 31 2022 msuchanek@suse.de
  - kernel-binary: move @NOSOURCE@ to @SOURCES@ as in other packages
  - commit 4b42fb2
* Wed Aug 31 2022 msuchanek@suse.de
  - dtb: Do not include sources in src.rpm - refer to kernel-source
    Same as other kernel binary packages there is no need to carry duplicate
    sources in dtb packages.
  - commit 1bd288c
* Tue Aug 30 2022 jslaby@suse.cz
  - Refresh
    patches.rpmify/kbuild-dummy-tools-pretend-we-understand-__LONG_DOUB.patch.
  - Refresh
    patches.suse/Revert-zram-remove-double-compression-logic.patch.
  - Refresh
    patches.suse/mm-gup-fix-FOLL_FORCE-COW-security-issue-and-remove-.patch.
  - wifi: mt76: mt7921e: fix crash in chip reset fail (bsc#1201845).
    Update to upstream versions and shuffle in series.
  - commit b7da698
* Tue Aug 30 2022 jslaby@suse.cz
  - Update
    patches.kernel.org/5.19.2-1109-dm-fix-dm-raid-crash-if-md_handle_request-spl.patch
    (bsc#1012628 bsc#1202369).
    Add a bsc#.
  - commit 86a8641
* Mon Aug 29 2022 tiwai@suse.de
  - Revert "block: freeze the queue earlier in del_gendisk"
    (bsc#1202534 bsc#1202589).
  - commit 157e5ea
* Mon Aug 29 2022 jslaby@suse.cz
  - Delete
    patches.suse/Revert-Revert-tcp-change-pingpong-threshold-to-3.patch.
    The test was disabled in python-eventlet. The code is correct, unlike
    the test.
  - commit 22072b3
* Mon Aug 29 2022 jslaby@suse.cz
  - kbuild: dummy-tools: avoid tmpdir leak in dummy gcc
    (bsc#1012628).
  - Linux 5.19.5 (bsc#1012628).
  - Refresh
    patches.kernel.org/5.19.4-144-kbuild-dummy-tools-avoid-tmpdir-leak-in-dummy-.patch.
  - commit 8b6f0a1
* Mon Aug 29 2022 jslaby@suse.cz
  - Refresh
    patches.kernel.org/5.19.4-144-kbuild-dummy-tools-avoid-tmpdir-leak-in-dummy-.patch.
    Reenable the patch after fixing it (missing plugin-version.h in the
    patch).
  - commit 2ea108c
* Mon Aug 29 2022 mkubecek@suse.cz
  - Update to 6.0-rc3
  - eliminate 2 patches
    - patches.suse/0001-scsi-sd-Revert-Rework-asynchronous-resume-support.patch
    - patches.suse/Revert-zram-remove-double-compression-logic.patch
  - commit 824e6f8
* Sat Aug 27 2022 jslaby@suse.cz
  - Disable aac289653fa5adf9e9985e4912c1d24a3e8cbab2.
    It breaks with dummy tools.
  - commit 15b473a
* Sat Aug 27 2022 jslaby@suse.cz
  - Update config files.
    CONFIG_VIRTIO_HARDEN_NOTIFICATION was marked as BROKEN.
  - Linux 5.19.4 (bsc#1012628).
  - Revert "ALSA: hda: Fix page fault in snd_hda_codec_shutdown()"
    (bsc#1012628).
  - scsi: ufs: ufs-mediatek: Fix build error and type mismatch
    (bsc#1012628).
  - f2fs: fix null-ptr-deref in f2fs_get_dnode_of_data
    (bsc#1012628).
  - f2fs: revive F2FS_IOC_ABORT_VOLATILE_WRITE (bsc#1012628).
  - MIPS: tlbex: Explicitly compare _PAGE_NO_EXEC against 0
    (bsc#1012628).
  - video: fbdev: i740fb: Check the argument of i740_calc_vclk()
    (bsc#1012628).
  - venus: pm_helpers: Fix warning in OPP during probe
    (bsc#1012628).
  - powerpc/64: Init jump labels before parse_early_param()
    (bsc#1012628).
  - smb3: check xattr value length earlier (bsc#1012628).
  - f2fs: fix to do sanity check on segment type in
    build_sit_entries() (bsc#1012628).
  - f2fs: fix to avoid use f2fs_bug_on() in f2fs_new_node_page()
    (bsc#1012628).
  - ALSA: control: Use deferred fasync helper (bsc#1012628).
  - ALSA: pcm: Use deferred fasync helper (bsc#1012628).
  - ALSA: timer: Use deferred fasync helper (bsc#1012628).
  - ALSA: core: Add async signal helpers (bsc#1012628).
  - powerpc/ioda/iommu/debugfs: Generate unique debugfs entries
    (bsc#1012628).
  - ovl: warn if trusted xattr creation fails (bsc#1012628).
  - ASoC: codecs: va-macro: use fsgen as clock (bsc#1012628).
  - powerpc/32: Don't always pass -mcpu=powerpc to the compiler
    (bsc#1012628).
  - powerpc/32: Set an IBAT covering up to _einittext during init
    (bsc#1012628).
  - powerpc/pseries/mobility: set NMI watchdog factor during an LPM
    (bsc#1012628).
  - powerpc/watchdog: introduce a NMI watchdog's factor
    (bsc#1012628).
  - watchdog: export lockup_detector_reconfigure (bsc#1012628).
  - ASoC: Intel: sof_nau8825: Move quirk check to the front in
    late probe (bsc#1012628).
  - ASoC: Intel: sof_es8336: ignore GpioInt when looking for
    speaker/headset GPIO lines (bsc#1012628).
  - ASoC: Intel: sof_es8336: Fix GPIO quirks set via module option
    (bsc#1012628).
  - ASoC: SOF: Intel: hda: add sanity check on SSP index reported
    by NHLT (bsc#1012628).
  - ALSA: hda/realtek: Enable speaker and mute LEDs for HP laptops
    (bsc#1012628).
  - RISC-V: Add fast call path of crash_kexec() (bsc#1012628).
  - riscv: mmap with PROT_WRITE but no PROT_READ is invalid
    (bsc#1012628).
  - ASoC: nau8821: Don't unconditionally free interrupt
    (bsc#1012628).
  - riscv: dts: canaan: Add k210 topology information (bsc#1012628).
  - riscv: dts: sifive: Add fu740 topology information
    (bsc#1012628).
  - ASoC: rsnd: care default case on rsnd_ssiu_busif_err_irq_ctrl()
    (bsc#1012628).
  - ASoC: SOF: sof-client-probes: Only load the driver if IPC3 is
    used (bsc#1012628).
  - ASoC: SOF: Intel: hda-ipc: Do not process IPC reply before
    firmware boot (bsc#1012628).
  - ASoC: SOF: Intel: cnl: Do not process IPC reply before firmware
    boot (bsc#1012628).
  - modules: Ensure natural alignment for .altinstructions and
    __bug_table sections (bsc#1012628).
  - ALSA: hda: Fix page fault in snd_hda_codec_shutdown()
    (bsc#1012628).
  - ASoC: Intel: avs: Set max DMA segment size (bsc#1012628).
  - iommu/io-pgtable-arm-v7s: Add a quirk to allow pgtable PA up
    to 35bit (bsc#1012628).
  - mips: cavium-octeon: Fix missing of_node_put() in
    octeon2_usb_clocks_start (bsc#1012628).
  - vfio: Clear the caps->buf to NULL after free (bsc#1012628).
  - KVM: PPC: Book3S HV: Fix "rm_exit" entry in debugfs timings
    (bsc#1012628).
  - tty: serial: Fix refcount leak bug in ucc_uart.c (bsc#1012628).
  - lib/list_debug.c: Detect uninitialized lists (bsc#1012628).
  - ext4: avoid resizing to a partial cluster size (bsc#1012628).
  - ext4: block range must be validated before use in
    ext4_mb_clear_bb() (bsc#1012628).
  - ext4: avoid remove directory when directory is corrupted
    (bsc#1012628).
  - drivers:md:fix a potential use-after-free bug (bsc#1012628).
  - nvmet-tcp: fix lockdep complaint on nvmet_tcp_wq flush during
    queue teardown (bsc#1012628).
  - md/raid5: Make logic blocking check consistent with logic that
    blocks (bsc#1012628).
  - md: Notify sysfs sync_completed in md_reap_sync_thread()
    (bsc#1012628).
  - phy: samsung: phy-exynos-pcie: sanitize init/power_on callbacks
    (bsc#1012628).
  - openrisc: io: Define iounmap argument as volatile (bsc#1012628).
  - Revert "RDMA/rxe: Create duplicate mapping tables for FMRs"
    (bsc#1012628).
  - dmaengine: sprd: Cleanup in .remove() after
    pm_runtime_get_sync() failed (bsc#1012628).
  - dmaengine: tegra: Add terminate() for Tegra234 (bsc#1012628).
  - selftests/kprobe: Do not test for GRP/ without event failures
    (bsc#1012628).
  - csky/kprobe: reclaim insn_slot on kprobe unregistration
    (bsc#1012628).
  - RDMA/rxe: Limit the number of calls to each tasklet
    (bsc#1012628).
  - ACPI: PPTT: Leave the table mapped for the runtime usage
    (bsc#1012628).
  - mmc: renesas_sdhi: newer SoCs don't need manual tap correction
    (bsc#1012628).
  - dmaengine: dw-axi-dmac: ignore interrupt if no descriptor
    (bsc#1012628).
  - dmaengine: dw-axi-dmac: do not print NULL LLI during error
    (bsc#1012628).
  - of: overlay: Move devicetree_corrupt() check up (bsc#1012628).
  - um: add "noreboot" command line option for PANIC_TIMEOUT=-1
    setups (bsc#1012628).
  - PCI/ACPI: Guard ARM64-specific mcfg_quirks (bsc#1012628).
  - cxl: Fix a memory leak in an error handling path (bsc#1012628).
  - pinctrl: intel: Check against matching data instead of ACPI
    companion (bsc#1012628).
  - scsi: ufs: ufs-exynos: Change ufs phy control sequence
    (bsc#1012628).
  - mmc: tmio: avoid glitches when resetting (bsc#1012628).
  - habanalabs/gaudi: mask constant value before cast (bsc#1012628).
  - habanalabs/gaudi: fix shift out of bounds (bsc#1012628).
  - habanalabs/gaudi: invoke device reset from one code block
    (bsc#1012628).
  - habanalabs: add terminating NULL to attrs arrays (bsc#1012628).
  - coresight: etm4x: avoid build failure with unrolled loops
    (bsc#1012628).
  - gadgetfs: ep_io - wait until IRQ finishes (bsc#1012628).
  - scsi: lpfc: Fix possible memory leak when failing to issue
    CMF WQE (bsc#1012628).
  - scsi: lpfc: Prevent buffer overflow crashes in debugfs with
    malformed user input (bsc#1012628).
  - clk: qcom: clk-alpha-pll: fix clk_trion_pll_configure
    description (bsc#1012628).
  - zram: do not lookup algorithm in backends table (bsc#1012628).
  - uacce: Handle parent device removal or parent driver module
    rmmod (bsc#1012628).
  - clk: qcom: ipq8074: dont disable gcc_sleep_clk_src
    (bsc#1012628).
  - vboxguest: Do not use devm for irq (bsc#1012628).
  - usb: dwc2: gadget: remove D+ pull-up while no vbus with
    usb-role-switch (bsc#1012628).
  - scsi: iscsi: Fix HW conn removal use after free (bsc#1012628).
  - usb: renesas: Fix refcount leak bug (bsc#1012628).
  - usb: host: ohci-ppc-of: Fix refcount leak bug (bsc#1012628).
  - usb: typec: mux: Add CONFIG guards for functions (bsc#1012628).
  - scsi: ufs: ufs-mediatek: Fix the timing of configuring device
    regulators (bsc#1012628).
  - clk: ti: Stop using legacy clkctrl names for omap4 and 5
    (bsc#1012628).
  - drm/meson: Fix overflow implicit truncation warnings
    (bsc#1012628).
  - irqchip/tegra: Fix overflow implicit truncation warnings
    (bsc#1012628).
  - scsi: ufs: core: Add UFSHCD_QUIRK_HIBERN_FASTAUTO (bsc#1012628).
  - scsi: ufs: core: Add UFSHCD_QUIRK_BROKEN_64BIT_ADDRESS
    (bsc#1012628).
  - PCI: aardvark: Fix reporting Slot capabilities on emulated
    bridge (bsc#1012628).
  - usb: gadget: uvc: call uvc uvcg_warn on completed status
    instead of uvcg_info (bsc#1012628).
  - usb: gadget: uvc: calculate the number of request depending
    on framesize (bsc#1012628).
  - usb: cdns3 fix use-after-free at workaround 2 (bsc#1012628).
  - staging: r8188eu: add error handling of rtw_read32
    (bsc#1012628).
  - staging: r8188eu: add error handling of rtw_read16
    (bsc#1012628).
  - staging: r8188eu: add error handling of rtw_read8 (bsc#1012628).
  - platform/chrome: cros_ec_proto: don't show MKBP version if
    unsupported (bsc#1012628).
  - PCI: Add ACS quirk for Broadcom BCM5750x NICs (bsc#1012628).
  - HID: multitouch: new device class fix Lenovo X12 trackpad sticky
    (bsc#1012628).
  - thunderbolt: Change downstream router's TMU rate in both TMU
    uni/bidir mode (bsc#1012628).
  - x86/kvm: Fix "missing ENDBR" BUG for fastop functions
    (bsc#1012628).
  - x86/ibt, objtool: Add IBT_NOSEAL() (bsc#1012628).
  - net: mscc: ocelot: report ndo_get_stats64 from the
    wraparound-resistant ocelot->stats (bsc#1012628).
  - net: mscc: ocelot: make struct ocelot_stat_layout array
    indexable (bsc#1012628).
  - net: mscc: ocelot: fix race between ndo_get_stats64 and
    ocelot_check_stats_work (bsc#1012628).
  - net: mscc: ocelot: turn stats_lock into a spinlock
    (bsc#1012628).
  - KVM: arm64: Reject 32bit user PSTATE on asymmetric systems
    (bsc#1012628).
  - KVM: arm64: Treat PMCR_EL1.LC as RES1 on asymmetric systems
    (bsc#1012628).
  - drm/amdgpu: Fix use-after-free on amdgpu_bo_list mutex
    (bsc#1012628).
  - drm/sun4i: dsi: Prevent underflow when computing packet sizes
    (bsc#1012628).
  - drm/bridge: lvds-codec: Fix error checking of
    drm_of_lvds_get_data_mapping() (bsc#1012628).
  - drm/amdgpu: Avoid another list of reset devices (bsc#1012628).
  - drm/i915/ttm: don't leak the ccs state (bsc#1012628).
  - drm/meson: Fix refcount bugs in
    meson_vpu_has_available_connectors() (bsc#1012628).
  - drm/imx/dcss: get rid of HPD warning message (bsc#1012628).
  - can: j1939: j1939_sk_queue_activate_next_locked(): replace
    WARN_ON_ONCE with netdev_warn_once() (bsc#1012628).
  - gcc-plugins: Undefine LATENT_ENTROPY_PLUGIN when plugin disabled
    for a file (bsc#1012628).
  - kbuild: fix the modules order between drivers and libs
    (bsc#1012628).
  - igb: Add lock to avoid data race (bsc#1012628).
  - stmmac: intel: Add a missing clk_disable_unprepare() call in
    intel_eth_pci_remove() (bsc#1012628).
  - dt-bindings: display: sun4i: Add D1 TCONs to conditionals
    (bsc#1012628).
  - fec: Fix timer capture timing in `fec_ptp_enable_pps()`
    (bsc#1012628).
  - tools/rtla: Fix command symlinks (bsc#1012628).
  - blk-mq: run queue no matter whether the request is the last
    request (bsc#1012628).
  - i40e: Fix to stop tx_timeout recovery if GLOBR fails
    (bsc#1012628).
  - regulator: pca9450: Remove restrictions for regulator-name
    (bsc#1012628).
  - i40e: Fix tunnel checksum offload with fragmented traffic
    (bsc#1012628).
  - i2c: imx: Make sure to unregister adapter on remove()
    (bsc#1012628).
  - modpost: fix module versioning when a symbol lacks valid CRC
    (bsc#1012628).
  - ice: Ignore error message when setting same promiscuous mode
    (bsc#1012628).
  - ice: Fix clearing of promisc mode with bridge over bond
    (bsc#1012628).
  - ice: Ignore EEXIST when setting promisc mode (bsc#1012628).
  - ice: Fix double VLAN error when entering promisc mode
    (bsc#1012628).
  - ice: Fix VF not able to send tagged traffic with no VLAN filters
    (bsc#1012628).
  - ice: Fix call trace with null VSI during VF reset (bsc#1012628).
  - ice: Fix VSI rebuild WARN_ON check for VF (bsc#1012628).
  - net: dsa: sja1105: fix buffer overflow in
    sja1105_setup_devlink_regions() (bsc#1012628).
  - net: dsa: don't warn in dsa_port_set_state_now() when driver
    doesn't support it (bsc#1012628).
  - net: genl: fix error path memory leak in policy dumping
    (bsc#1012628).
  - net: mscc: ocelot: fix address of SYS_COUNT_TX_AGING counter
    (bsc#1012628).
  - net: mscc: ocelot: fix incorrect ndo_get_stats64 packet counters
    (bsc#1012628).
  - net: dsa: felix: fix ethtool 256-511 and 512-1023 TX packet
    counters (bsc#1012628).
  - net: dsa: microchip: ksz9477: fix fdb_dump last invalid entry
    (bsc#1012628).
  - net: sched: fix misuse of qcpu->backlog in
    gnet_stats_add_queue_cpu (bsc#1012628).
  - net: rtnetlink: fix module reference count leak issue in
    rtnetlink_rcv_msg (bsc#1012628).
  - net: fix potential refcount leak in ndisc_router_discovery()
    (bsc#1012628).
  - net: moxa: pass pdev instead of ndev to DMA functions
    (bsc#1012628).
  - mlxsw: spectrum: Clear PTP configuration after unregistering
    the netdevice (bsc#1012628).
  - virtio_net: fix endian-ness for RSS (bsc#1012628).
  - net: qrtr: start MHI channel after endpoit creation
    (bsc#1012628).
  - net: dsa: mv88e6060: prevent crash on an unused port
    (bsc#1012628).
  - net/sunrpc: fix potential memory leaks in
    rpc_sysfs_xprt_state_change() (bsc#1012628).
  - spi: meson-spicc: add local pow2 clock ops to preserve rate
    between messages (bsc#1012628).
  - powerpc/pci: Fix get_phb_number() locking (bsc#1012628).
  - netfilter: nf_tables: check NFT_SET_CONCAT flag if field_count
    is specified (bsc#1012628).
  - netfilter: nf_tables: disallow NFT_SET_ELEM_CATCHALL and
    NFT_SET_ELEM_INTERVAL_END (bsc#1012628).
  - netfilter: nf_tables: NFTA_SET_ELEM_KEY_END requires concat
    and interval flags (bsc#1012628).
  - netfilter: nf_tables: validate NFTA_SET_ELEM_OBJREF based on
    NFT_SET_OBJECT flag (bsc#1012628).
  - netfilter: nf_tables: fix scheduling-while-atomic splat
    (bsc#1012628).
  - netfilter: nf_tables: really skip inactive sets when allocating
    name (bsc#1012628).
  - netfilter: nf_tables: possible module reference underflow in
    error path (bsc#1012628).
  - netfilter: nf_ct_irc: cap packet search space to 4k
    (bsc#1012628).
  - netfilter: nf_ct_ftp: prefer skb_linearize (bsc#1012628).
  - netfilter: nf_ct_h323: cap packet size at 64k (bsc#1012628).
  - netfilter: nf_ct_sane: remove pseudo skb linearization
    (bsc#1012628).
  - netfilter: nf_tables: disallow NFTA_SET_ELEM_KEY_END with
    NFT_SET_ELEM_INTERVAL_END flag (bsc#1012628).
  - fs/ntfs3: uninitialized variable in ntfs_set_acl_ex()
    (bsc#1012628).
  - netfilter: nf_tables: use READ_ONCE and WRITE_ONCE for shared
    generation id access (bsc#1012628).
  - netfilter: nfnetlink: re-enable conntrack expectation events
    (bsc#1012628).
  - RDMA/cxgb4: fix accept failure due to increased
    cpl_t5_pass_accept_rpl size (bsc#1012628).
  - RDMA/mlx5: Use the proper number of ports (bsc#1012628).
  - IB/iser: Fix login with authentication (bsc#1012628).
  - ASoC: codec: tlv320aic32x4: fix mono playback via I2S
    (bsc#1012628).
  - ASoC: tas2770: Fix handling of mute/unmute (bsc#1012628).
  - ASoC: tas2770: Drop conflicting set_bias_level power setting
    (bsc#1012628).
  - ASoC: tas2770: Allow mono streams (bsc#1012628).
  - ASoC: tas2770: Set correct FSYNC polarity (bsc#1012628).
  - ASoC: DPCM: Don't pick up BE without substream (bsc#1012628).
  - ASoC: SOF: Intel: hda: Fix potential buffer overflow by
    snprintf() (bsc#1012628).
  - ASoC: SOF: debug: Fix potential buffer overflow by snprintf()
    (bsc#1012628).
  - ASoC: Intel: avs: Fix potential buffer overflow by snprintf()
    (bsc#1012628).
  - iavf: Fix deadlock in initialization (bsc#1012628).
  - iavf: Fix reset error handling (bsc#1012628).
  - iavf: Fix NULL pointer dereference in iavf_get_link_ksettings
    (bsc#1012628).
  - iavf: Fix adminq error handling (bsc#1012628).
  - nios2: add force_successful_syscall_return() (bsc#1012628).
  - nios2: restarts apply only to the first sigframe we
    build.. (bsc#1012628).
  - nios2: fix syscall restart checks (bsc#1012628).
  - nios2: traced syscall does need to check the syscall number
    (bsc#1012628).
  - nios2: don't leave NULLs in sys_call_table[] (bsc#1012628).
  - nios2: page fault et.al. are *not* restartable
    syscalls.. (bsc#1012628).
  - fs/ntfs3: Fix missing i_op in ntfs_read_mft (bsc#1012628).
  - fs/ntfs3: Do not change mode if ntfs_set_ea failed
    (bsc#1012628).
  - fs/ntfs3: Fix double free on remount (bsc#1012628).
  - fs/ntfs3: Don't clear upper bits accidentally in log_replay()
    (bsc#1012628).
  - fs/ntfs3: Fix NULL deref in ntfs_update_mftmirr (bsc#1012628).
  - fs/ntfs3: Fix using uninitialized value n when calling indx_read
    (bsc#1012628).
  - dpaa2-eth: trace the allocated address instead of page struct
    (bsc#1012628).
  - perf tests: Fix Track with sched_switch test for hybrid case
    (bsc#1012628).
  - perf parse-events: Fix segfault when event parser gets an error
    (bsc#1012628).
  - i2c: qcom-geni: Fix GPI DMA buffer sync-back (bsc#1012628).
  - perf probe: Fix an error handling path in
    'parse_perf_probe_command()' (bsc#1012628).
  - nvme-fc: fix the fc_appid_store return value (bsc#1012628).
  - geneve: fix TOS inheriting for ipv4 (bsc#1012628).
  - fscache: don't leak cookie access refs if invalidation is in
    progress or failed (bsc#1012628).
  - atm: idt77252: fix use-after-free bugs caused by tst_timer
    (bsc#1012628).
  - tsnep: Fix tsnep_tx_unmap() error path usage (bsc#1012628).
  - xen/xenbus: fix return type in xenbus_file_read() (bsc#1012628).
  - nfp: ethtool: fix the display error of `ethtool -m DEVNAME`
    (bsc#1012628).
  - NTB: ntb_tool: uninitialized heap data in tool_fn_write()
    (bsc#1012628).
  - tools build: Switch to new openssl API for test-libcrypto
    (bsc#1012628).
  - kbuild: dummy-tools: avoid tmpdir leak in dummy gcc
    (bsc#1012628).
  - tools/testing/cxl: Fix cxl_hdm_decode_init() calling convention
    (bsc#1012628).
  - vdpa_sim_blk: set number of address spaces and virtqueue groups
    (bsc#1012628).
  - vdpa_sim: use max_iotlb_entries as a limit in vhost_iotlb_init
    (bsc#1012628).
  - clk: imx93: Correct the edma1's parent clock (bsc#1012628).
  - ceph: don't leak snap_rwsem in handle_cap_grant (bsc#1012628).
  - tools/vm/slabinfo: use alphabetic order when two values are
    equal (bsc#1012628).
  - tools/testing/cxl: Fix decoder default state (bsc#1012628).
  - ceph: use correct index when encoding client supported features
    (bsc#1012628).
  - spi: dt-bindings: qcom,spi-geni-qcom: allow three interconnects
    (bsc#1012628).
  - dt-bindings: opp: opp-v2-kryo-cpu: Fix example binding checks
    (bsc#1012628).
  - spi: dt-bindings: zynqmp-qspi: add missing 'required'
    (bsc#1012628).
  - spi: dt-bindings: cadence: add missing 'required' (bsc#1012628).
  - dt-bindings: PCI: qcom: Fix reset conditional (bsc#1012628).
  - dt-bindings: clock: qcom,gcc-msm8996: add more GCC clock sources
    (bsc#1012628).
  - dt-bindings: arm: qcom: fix MSM8994 boards compatibles
    (bsc#1012628).
  - dt-bindings: arm: qcom: fix MSM8916 MTP compatibles
    (bsc#1012628).
  - dt-bindings: arm: qcom: fix Longcheer L8150 compatibles
    (bsc#1012628).
  - dt-bindings: gpio: zynq: Add missing compatible strings
    (bsc#1012628).
  - vsock: Set socket state back to SS_UNCONNECTED in
    vsock_connect_timeout() (bsc#1012628).
  - vsock: Fix memory leak in vsock_connect() (bsc#1012628).
  - plip: avoid rcu debug splat (bsc#1012628).
  - ipv6: do not use RT_TOS for IPv6 flowlabel (bsc#1012628).
  - mlx5: do not use RT_TOS for IPv6 flowlabel (bsc#1012628).
  - vxlan: do not use RT_TOS for IPv6 flowlabel (bsc#1012628).
  - geneve: do not use RT_TOS for IPv6 flowlabel (bsc#1012628).
  - ACPI: property: Return type of acpi_add_nondev_subnodes()
    should be bool (bsc#1012628).
  - octeontx2-af: Fix key checking for source mac (bsc#1012628).
  - octeontx2-af: Fix mcam entry resource leak (bsc#1012628).
  - octeontx2-af: suppress external profile loading warning
    (bsc#1012628).
  - octeontx2-af: Apply tx nibble fixup always (bsc#1012628).
  - octeontx2-pf: Fix NIX_AF_TL3_TL2X_LINKX_CFG register
    configuration (bsc#1012628).
  - dt-bindings: input: iqs7222: Extend slider-mapped GPIO to
    IQS7222C (bsc#1012628).
  - dt-bindings: input: iqs7222: Correct bottom speed step size
    (bsc#1012628).
  - dt-bindings: input: iqs7222: Remove support for RF filter
    (bsc#1012628).
  - Input: iqs7222 - remove support for RF filter (bsc#1012628).
  - Input: iqs7222 - handle reset during ATI (bsc#1012628).
  - Input: iqs7222 - acknowledge reset before writing registers
    (bsc#1012628).
  - Input: iqs7222 - protect volatile registers (bsc#1012628).
  - Input: iqs7222 - fortify slider event reporting (bsc#1012628).
  - Input: iqs7222 - correct slider event disable logic
    (bsc#1012628).
  - Input: mt6779-keypad - match hardware matrix organization
    (bsc#1012628).
  - Input: exc3000 - fix return value check of
    wait_for_completion_timeout (bsc#1012628).
  - rtc: spear: set range max (bsc#1012628).
  - pinctrl: qcom: sm8250: Fix PDC map (bsc#1012628).
  - dt-bindings: pinctrl: mt8186: Add and use
    drive-strength-microamp (bsc#1012628).
  - pinctrl: sunxi: Add I/O bias setting for H6 R-PIO (bsc#1012628).
  - dt-bindings: pinctrl: mt8195: Add and use
    drive-strength-microamp (bsc#1012628).
  - dt-bindings: pinctrl: mt8195: Fix name for
    mediatek,rsel-resistance-in-si-unit (bsc#1012628).
  - pinctrl: amd: Don't save/restore interrupt status and wake
    status bits (bsc#1012628).
  - pinctrl: qcom: msm8916: Allow CAMSS GP clocks to be muxed
    (bsc#1012628).
  - pinctrl: nomadik: Fix refcount leak in
    nmk_pinctrl_dt_subnode_to_map (bsc#1012628).
  - dt-bindings: pinctrl: mt8192: Use generic bias instead of
    pull-*-adv (bsc#1012628).
  - dt-bindings: pinctrl: mt8192: Add drive-strength-microamp
    (bsc#1012628).
  - pinctrl: renesas: rzg2l: Return -EINVAL for pins which have
    input disabled (bsc#1012628).
  - dt-bindings: arm: qcom: fix Alcatel OneTouch Idol 3 compatibles
    (bsc#1012628).
  - selftests: forwarding: Fix failing tests with old libnet
    (bsc#1012628).
  - net: atm: bring back zatm uAPI (bsc#1012628).
  - net: bgmac: Fix a BUG triggered by wrong bytes_compl
    (bsc#1012628).
  - net: dsa: felix: suppress non-changes to the tagging protocol
    (bsc#1012628).
  - net: phy: c45 baset1: do not skip aneg configuration if clock
    role is not specified (bsc#1012628).
  - net: bcmgenet: Indicate MAC is in charge of PHY PM
    (bsc#1012628).
  - net: phy: Warn about incorrect mdio_bus_phy_resume() state
    (bsc#1012628).
  - devlink: Fix use-after-free after a failed reload (bsc#1012628).
  - virtio-blk: Avoid use-after-free on suspend/resume
    (bsc#1012628).
  - virtio_net: fix memory leak inside XPD_TX with mergeable
    (bsc#1012628).
  - virtio: VIRTIO_HARDEN_NOTIFICATION is broken (bsc#1012628).
  - ASoC: qdsp6: q6apm-dai: unprepare stream if its already prepared
    (bsc#1012628).
  - SUNRPC: Don't reuse bvec on retransmission of the request
    (bsc#1012628).
  - SUNRPC: Reinitialise the backchannel request buffers before
    reuse (bsc#1012628).
  - SUNRPC: Fix xdr_encode_bool() (bsc#1012628).
  - sunrpc: fix expiry of auth creds (bsc#1012628).
  - m68k: coldfire/device.c: protect FLEXCAN blocks (bsc#1012628).
  - net: atlantic: fix aq_vec index out of range error
    (bsc#1012628).
  - can: j1939: j1939_session_destroy(): fix memory leak of skbs
    (bsc#1012628).
  - can: mcp251x: Fix race condition on receive interrupt
    (bsc#1012628).
  - bpf: Check the validity of max_rdwr_access for sock local
    storage map iterator (bsc#1012628).
  - bpf: Acquire map uref in .init_seq_private for sock{map,hash}
    iterator (bsc#1012628).
  - bpf: Acquire map uref in .init_seq_private for sock local
    storage map iterator (bsc#1012628).
  - bpf: Acquire map uref in .init_seq_private for hash map iterator
    (bsc#1012628).
  - bpf: Acquire map uref in .init_seq_private for array map
    iterator (bsc#1012628).
  - bpf: Don't reinit map value in prealloc_lru_pop (bsc#1012628).
  - bpf: Disallow bpf programs call prog_run command (bsc#1012628).
  - BPF: Fix potential bad pointer dereference in bpf_sys_bpf()
    (bsc#1012628).
  - selftests: mptcp: make sendfile selftest work (bsc#1012628).
  - mptcp: do not queue data on closed subflows (bsc#1012628).
  - mptcp: move subflow cleanup in mptcp_destroy_common()
    (bsc#1012628).
  - mptcp, btf: Add struct mptcp_sock definition when CONFIG_MPTCP
    is disabled (bsc#1012628).
  - NFSv4/pnfs: Fix a use-after-free bug in open (bsc#1012628).
  - NFSv4.1: RECLAIM_COMPLETE must handle EACCES (bsc#1012628).
  - NFSv4: Fix races in the legacy idmapper upcall (bsc#1012628).
  - NFSv4.1: Handle NFS4ERR_DELAY replies to OP_SEQUENCE correctly
    (bsc#1012628).
  - NFSv4.1: Don't decrease the value of seq_nr_highest_sent
    (bsc#1012628).
  - net: tap: NULL pointer derefence in dev_parse_header_protocol
    when skb->dev is null (bsc#1012628).
  - netfilter: nf_tables: fix crash when nf_trace is enabled
    (bsc#1012628).
  - Documentation: ACPI: EINJ: Fix obsolete example (bsc#1012628).
  - apparmor: Fix memleak in aa_simple_write_to_buffer()
    (bsc#1012628).
  - apparmor: fix reference count leak in aa_pivotroot()
    (bsc#1012628).
  - apparmor: fix overlapping attachment computation (bsc#1012628).
  - apparmor: fix setting unconfined mode on a loaded profile
    (bsc#1012628).
  - apparmor: fix aa_label_asxprint return check (bsc#1012628).
  - apparmor: Fix failed mount permission check error message
    (bsc#1012628).
  - apparmor: fix absroot causing audited secids to begin with =
    (bsc#1012628).
  - apparmor: fix quiet_denied for file rules (bsc#1012628).
  - can: ems_usb: fix clang's -Wunaligned-access warning
    (bsc#1012628).
  - dt-bindings: usb: mtk-xhci: Allow wakeup interrupt-names to
    be optional (bsc#1012628).
  - ALSA: hda: Fix crash due to jack poll in suspend (bsc#1012628).
  - ALSA: usb-audio: More comprehensive mixer map for ASUS ROG
    Zenith II (bsc#1012628).
  - tracing: Have filter accept "common_cpu" to be consistent
    (bsc#1012628).
  - tracing/probes: Have kprobes and uprobes use $COMM too
    (bsc#1012628).
  - tracing/eprobes: Have event probes be consistent with kprobes
    and uprobes (bsc#1012628).
  - tracing/eprobes: Fix reading of string fields (bsc#1012628).
  - tracing/eprobes: Do not hardcode $comm as a string
    (bsc#1012628).
  - tracing/eprobes: Do not allow eprobes to use $stack, or %
    for regs (bsc#1012628).
  - tracing/perf: Fix double put of trace event when init fails
    (bsc#1012628).
  - x86/kprobes: Fix JNG/JNLE emulation (bsc#1012628).
  - cifs: Fix memory leak on the deferred close (bsc#1012628).
  - drm/i915: pass a pointer for tlb seqno at vma_invalidate_tlb()
    (bsc#1012628).
  - drm/i915/gt: Batch TLB invalidations (bsc#1012628).
  - drm/i915/gt: Skip TLB invalidations once wedged (bsc#1012628).
  - drm/i915/gt: Invalidate TLB of the OA unit at TLB invalidations
    (bsc#1012628).
  - drm/i915/gt: Ignore TLB invalidations on idle engines
    (bsc#1012628).
  - drm/amdgpu: change vram width algorithm for vram_info v3_0
    (bsc#1012628).
  - btrfs: fix warning during log replay when bumping inode link
    count (bsc#1012628).
  - btrfs: fix lost error handling when looking up extended ref
    on log replay (bsc#1012628).
  - btrfs: reset RO counter on block group if we fail to relocate
    (bsc#1012628).
  - btrfs: unset reloc control if transaction commit fails in
    prepare_to_relocate() (bsc#1012628).
  - mmc: meson-gx: Fix an error handling path in meson_mmc_probe()
    (bsc#1012628).
  - mmc: pxamci: Fix an error handling path in pxamci_probe()
    (bsc#1012628).
  - mmc: pxamci: Fix another error handling path in pxamci_probe()
    (bsc#1012628).
  - ata: libata-eh: Add missing command name (bsc#1012628).
  - s390/ap: fix crash on older machines based on QCI info missing
    (bsc#1012628).
  - drm/amd/display: Check correct bounds for stream encoder
    instances for DCN303 (bsc#1012628).
  - drm/amdgpu: Only disable prefer_shadow on hawaii (bsc#1012628).
  - drm/ttm: Fix dummy res NULL ptr deref bug (bsc#1012628).
  - drm/nouveau: recognise GA103 (bsc#1012628).
  - locking/atomic: Make test_and_*_bit() ordered on failure
    (bsc#1012628).
  - drm/i915/gem: Remove shared locking on freeing objects
    (bsc#1012628).
  - rds: add missing barrier to release_refill (bsc#1012628).
  - x86/mm: Use proper mask when setting PUD mapping (bsc#1012628).
  - KVM: Unconditionally get a ref to /dev/kvm module when creating
    a VM (bsc#1012628).
  - RDMA: Handle the return code from dma_resv_wait_timeout()
    properly (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Clevo NS50PU, NS70PU
    (bsc#1012628).
  - ALSA: info: Fix llseek return value when using callback
    (bsc#1012628).
  - commit 631b6cd
* Thu Aug 25 2022 mkubecek@suse.cz
  - series.conf: cleanup
  - move recently added patches to "almost mainline" section
    - patches.suse/Revert-zram-remove-double-compression-logic.patch
    - patches.suse/ASoC-nau8821-Implement-hw-constraint-for-rates.patch
    - patches.suse/ASoC-nau8824-Fix-semaphore-unbalance-at-error-paths.patch
    - patches.suse/ASoC-nau8824-Implement-hw-constraint-for-rates.patch
    - patches.suse/ASoC-nau8825-Implement-hw-constraint-for-rates.patch
    - patches.suse/ASoC-nau8540-Implement-hw-constraint-for-rates.patch
  - commit 18ca0fb
* Thu Aug 25 2022 tiwai@suse.de
  - Refresh USB type-C workaround patch (bsc#1202386)
    It landed in the upstream subsystem repo; also correct the bug reference
  - commit bf02544
* Thu Aug 25 2022 tiwai@suse.de
  - ASoC: nau8540: Implement hw constraint for rates (bsc#1201418).
  - ASoC: nau8825: Implement hw constraint for rates (bsc#1201418).
  - ASoC: nau8824: Implement hw constraint for rates (bsc#1201418).
  - ASoC: nau8824: Fix semaphore unbalance at error paths
    (bsc#1201418).
  - ASoC: nau8821: Implement hw constraint for rates (bsc#1201418).
  - commit ef72ecc
* Wed Aug 24 2022 mkubecek@suse.cz
  - Update
    patches.kernel.org/5.19.2-1136-net_sched-cls_route-remove-from-list-when-han.patch
    references (add CVE-2022-2588 bsc#1202096).
  - Update
    patches.kernel.org/5.19.3-003-net_sched-cls_route-disallow-handle-of-0.patch
    references (add bsc#1202393).
  - commit cc8e6d6
* Mon Aug 22 2022 vbabka@suse.cz
  - scsi: sd: Revert "Rework asynchronous resume support"
    (rc1 testing).
  - commit 4aad010
* Mon Aug 22 2022 mkubecek@suse.cz
  - Update to 6.0-rc2
  - drop upstreamed patch
    - patches.rpmify/kbuild-dummy-tools-pretend-we-understand-__LONG_DOUB.patch
  - refresh configs
  - commit 712f762
* Sun Aug 21 2022 jslaby@suse.cz
  - Linux 5.19.3 (bsc#1012628).
  - arm64: kexec_file: use more system keyrings to verify kernel
    image signature (bsc#1012628).
  - kexec, KEYS: make the code in bzImage64_verify_sig generic
    (bsc#1012628).
  - btrfs: raid56: don't trust any cached sector in
    __raid56_parity_recover() (bsc#1012628).
  - btrfs: only write the sectors in the vertical stripe which
    has data stripes (bsc#1012628).
  - net_sched: cls_route: disallow handle of 0 (bsc#1012628).
  - tee: add overflow check in register_shm_helper() (bsc#1012628).
  - Revert "mm: kfence: apply kmemleak_ignore_phys on early
    allocated pool" (bsc#1012628).
  - commit 0140109
* Fri Aug 19 2022 tiwai@suse.de
  - Revert "usb: typec: ucsi: add a common function
    ucsi_unregister_connectors()" (bsc#120238).
  - commit 46d0607
* Thu Aug 18 2022 msuchanek@suse.de
  - Update config files (bsc#1201361 bsc#1192968 https://github.com/rear/rear/issues/2554).
    ppc64: NVRAM=y
  - commit e3d4124
* Thu Aug 18 2022 tiwai@suse.de
  - Update config files: CONFIG_SPI_AMD=m on x86 (bsc#1201418)
  - commit 017ef8a
* Thu Aug 18 2022 tiwai@suse.de
  - Workaround for missing HD-audio on AMD platforms (bsc#1202492).
  - commit 60e6173
* Thu Aug 18 2022 jslaby@suse.cz
  - Linux 5.19.2 (bsc#1012628).
  - Revert "pNFS: nfs3_set_ds_client should set NFS_CS_NOPING"
    (bsc#1012628).
  - scsi: Revert "scsi: qla2xxx: Fix disk failure to rediscover"
    (bsc#1012628).
  - pNFS/flexfiles: Report RDMA connection errors to the server
    (bsc#1012628).
  - nfsd: eliminate the NFSD_FILE_BREAK_* flags (bsc#1012628).
  - ALSA: usb-audio: Add quirk for Behringer UMC202HD (bsc#1012628).
  - ALSA: bcd2000: Fix a UAF bug on the error path of probing
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Clevo NV45PZ (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for HP Spectre x360 15-eb0xxx
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Lenovo Yoga9 14IAP7
    (bsc#1012628).
  - ASoC: amd: yc: Update DMI table entries (bsc#1012628).
  - hwmon: (nct6775) Fix platform driver suspend regression
    (bsc#1012628).
  - wifi: mac80211_hwsim: fix race condition in pending packet
    (bsc#1012628).
  - wifi: mac80211_hwsim: add back erroneously removed cast
    (bsc#1012628).
  - wifi: mac80211_hwsim: use 32-bit skb cookie (bsc#1012628).
  - add barriers to buffer_uptodate and set_buffer_uptodate
    (bsc#1012628).
  - lockd: detect and reject lock arguments that overflow
    (bsc#1012628).
  - HID: hid-input: add Surface Go battery quirk (bsc#1012628).
  - HID: nintendo: Add missing array termination (bsc#1012628).
  - HID: wacom: Only report rotation for art pen (bsc#1012628).
  - HID: wacom: Don't register pad_input for touch switch
    (bsc#1012628).
  - KVM: nVMX: Snapshot pre-VM-Enter BNDCFGS for !nested_run_pending
    case (bsc#1012628).
  - KVM: nVMX: Snapshot pre-VM-Enter DEBUGCTL for
    !nested_run_pending case (bsc#1012628).
  - KVM: SVM: Don't BUG if userspace injects an interrupt with GIF=0
    (bsc#1012628).
  - KVM: s390: pv: don't present the ecall interrupt twice
    (bsc#1012628).
  - KVM: Drop unused @gpa param from gfn=>pfn cache's
    __release_gpc() helper (bsc#1012628).
  - KVM: Put the extra pfn reference when reusing a pfn in the
    gpc cache (bsc#1012628).
  - KVM: Fully serialize gfn=>pfn cache refresh via mutex
    (bsc#1012628).
  - KVM: Fix multiple races in gfn=>pfn cache refresh (bsc#1012628).
  - KVM: Do not incorporate page offset into gfn=>pfn cache user
    address (bsc#1012628).
  - KVM: x86: Split kvm_is_valid_cr4() and export only the
    non-vendor bits (bsc#1012628).
  - KVM: nVMX: Let userspace set nVMX MSR to any _host_ supported
    value (bsc#1012628).
  - KVM: nVMX: Account for KVM reserved CR4 bits in consistency
    checks (bsc#1012628).
  - KVM: nVMX: Inject #UD if VMXON is attempted with incompatible
    CR0/CR4 (bsc#1012628).
  - KVM: x86: Mark TSS busy during LTR emulation _after_ all fault
    checks (bsc#1012628).
  - KVM: x86: Set error code to segment selector on LLDT/LTR
    non-canonical #GP (bsc#1012628).
  - KVM: x86/mmu: Treat NX as a valid SPTE bit for NPT
    (bsc#1012628).
  - KVM: SVM: Disable SEV-ES support if MMIO caching is disable
    (bsc#1012628).
  - KVM: x86: Tag kvm_mmu_x86_module_init() with __init
    (bsc#1012628).
  - KVM: x86/mmu: Fully re-evaluate MMIO caching when SPTE masks
    change (bsc#1012628).
  - KVM: x86: do not report preemption if the steal time cache is
    stale (bsc#1012628).
  - KVM: x86: revalidate steal time cache if MSR value changes
    (bsc#1012628).
  - KVM: x86/xen: Initialize Xen timer only once (bsc#1012628).
  - KVM: x86/xen: Stop Xen timer before changing IRQ (bsc#1012628).
  - ALSA: hda/conexant: Add quirk for LENOVO 20149 Notebook model
    (bsc#1012628).
  - ALSA: hda/cirrus - support for iMac 12,1 model (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for another Asus K42JZ model
    (bsc#1012628).
  - ALSA: hda/realtek: Add a quirk for HP OMEN 15 (8786) mute LED
    (bsc#1012628).
  - LoongArch: cpuinfo: Fix a warning for CONFIG_CPUMASK_OFFSTACK
    (bsc#1012628).
  - tty: 8250: Add support for Brainboxes PX cards (bsc#1012628).
  - tty: vt: initialize unicode screen buffer (bsc#1012628).
  - vfs: Check the truncate maximum size in inode_newsize_ok()
    (bsc#1012628).
  - fs: Add missing umask strip in vfs_tmpfile (bsc#1012628).
  - thermal: sysfs: Fix cooling_device_stats_setup() error code path
    (bsc#1012628).
  - fbcon: Fix boundary checks for fbcon=vc:n1-n2 parameters
    (bsc#1012628).
  - fbcon: Fix accelerated fbdev scrolling while logo is still shown
    (bsc#1012628).
  - usbnet: Fix linkwatch use-after-free on disconnect
    (bsc#1012628).
  - usbnet: smsc95xx: Fix deadlock on runtime resume (bsc#1012628).
  - fix short copy handling in copy_mc_pipe_to_iter() (bsc#1012628).
  - crypto: ccp - Use kzalloc for sev ioctl interfaces to prevent
    kernel memory leak (bsc#1012628).
  - ovl: drop WARN_ON() dentry is NULL in ovl_encode_fh()
    (bsc#1012628).
  - parisc: Fix device names in /proc/iomem (bsc#1012628).
  - parisc: Drop pa_swapper_pg_lock spinlock (bsc#1012628).
  - parisc: Check the return value of ioremap() in
    lba_driver_probe() (bsc#1012628).
  - parisc: io_pgetevents_time64() needs compat syscall in 32-bit
    compat mode (bsc#1012628).
  - riscv:uprobe fix SR_SPIE set/clear handling (bsc#1012628).
  - riscv: lib: uaccess: fix CSR_STATUS SR_SUM bit (bsc#1012628).
  - dt-bindings: riscv: fix SiFive l2-cache's cache-sets
    (bsc#1012628).
  - riscv: dts: starfive: correct number of external interrupts
    (bsc#1012628).
  - RISC-V: cpu_ops_spinwait.c should include head.h (bsc#1012628).
  - RISC-V: Declare cpu_ops_spinwait in <asm/cpu_ops.h>
    (bsc#1012628).
  - RISC-V: kexec: Fixup use of smp_processor_id() in preemptible
    context (bsc#1012628).
  - RISC-V: Fixup get incorrect user mode PC for kernel mode regs
    (bsc#1012628).
  - RISC-V: Fixup schedule out issue in machine_crash_shutdown()
    (bsc#1012628).
  - RISC-V: Add modules to virtual kernel memory layout dump
    (bsc#1012628).
  - RISC-V: Fix counter restart during overflow for RV32
    (bsc#1012628).
  - RISC-V: Fix SBI PMU calls for RV32 (bsc#1012628).
  - RISC-V: Update user page mapping only once during start
    (bsc#1012628).
  - wireguard: selftests: set CONFIG_NONPORTABLE on riscv32
    (bsc#1012628).
  - rtc: rx8025: fix 12/24 hour mode detection on RX-8035
    (bsc#1012628).
  - drm/gem: Properly annotate WW context on
    drm_gem_lock_reservations() error (bsc#1012628).
  - drm/shmem-helper: Add missing vunmap on error (bsc#1012628).
  - drm/vc4: hdmi: Disable audio if dmas property is present but
    empty (bsc#1012628).
  - drm/ingenic: Use the highest possible DMA burst size
    (bsc#1012628).
  - drm/fb-helper: Fix out-of-bounds access (bsc#1012628).
  - drm/hyperv-drm: Include framebuffer and EDID headers
    (bsc#1012628).
  - drm/dp/mst: Read the extended DPCD capabilities during system
    resume (bsc#1012628).
  - drm/nouveau: fix another off-by-one in nvbios_addr
    (bsc#1012628).
  - drm/nouveau: Don't pm_runtime_put_sync(), only
    pm_runtime_put_autosuspend() (bsc#1012628).
  - drm/nouveau/acpi: Don't print error when we get -EINPROGRESS
    from pm_runtime (bsc#1012628).
  - drm/nouveau/kms: Fix failure path for creating DP connectors
    (bsc#1012628).
  - drm/tegra: Fix vmapping of prime buffers (bsc#1012628).
  - drm/amdgpu: Check BO's requested pinning domains against its
    preferred_domains (bsc#1012628).
  - bpf: Fix KASAN use-after-free Read in compute_effective_progs
    (bsc#1012628).
  - btrfs: reject log replay if there is unsupported RO compat flag
    (bsc#1012628).
  - mtd: rawnand: arasan: Fix clock rate in NV-DDR (bsc#1012628).
  - mtd: rawnand: arasan: Update NAND bus clock instead of system
    clock (bsc#1012628).
  - um: Remove straying parenthesis (bsc#1012628).
  - um: seed rng using host OS rng (bsc#1012628).
  - iio: fix iio_format_avail_range() printing for none IIO_VAL_INT
    (bsc#1012628).
  - iio: light: isl29028: Fix the warning in isl29028_remove()
    (bsc#1012628).
  - scsi: lpfc: Remove extra atomic_inc on cmd_pending in
    queuecommand after VMID (bsc#1012628).
  - scsi: sg: Allow waiting for commands to complete on removed
    device (bsc#1012628).
  - scsi: qla2xxx: Fix incorrect display of max frame size
    (bsc#1012628).
  - scsi: qla2xxx: Zero undefined mailbox IN registers
    (bsc#1012628).
  - soundwire: qcom: Check device status before reading devid
    (bsc#1012628).
  - ksmbd: fix memory leak in smb2_handle_negotiate (bsc#1012628).
  - ksmbd: prevent out of bound read for SMB2_TREE_CONNNECT
    (bsc#1012628).
  - ksmbd: prevent out of bound read for SMB2_WRITE (bsc#1012628).
  - ksmbd: fix use-after-free bug in smb2_tree_disconect
    (bsc#1012628).
  - ksmbd: fix heap-based overflow in set_ntacl_dacl()
    (bsc#1012628).
  - fuse: limit nsec (bsc#1012628).
  - fuse: ioctl: translate ENOSYS (bsc#1012628).
  - fuse: write inode in fuse_release() (bsc#1012628).
  - fuse: fix deadlock between atomic O_TRUNC and page invalidation
    (bsc#1012628).
  - serial: mvebu-uart: uart2 error bits clearing (bsc#1012628).
  - md-raid: destroy the bitmap after destroying the thread
    (bsc#1012628).
  - md-raid10: fix KASAN warning (bsc#1012628).
  - mbcache: don't reclaim used entries (bsc#1012628).
  - mbcache: add functions to delete entry if unused (bsc#1012628).
  - media: isl7998x: select V4L2_FWNODE to fix build error
    (bsc#1012628).
  - media: [PATCH] pci: atomisp_cmd: fix three missing checks on
    list iterator (bsc#1012628).
  - ia64, processor: fix -Wincompatible-pointer-types in
    ia64_get_irr() (bsc#1012628).
  - powerpc: Restore CONFIG_DEBUG_INFO in defconfigs (bsc#1012628).
  - powerpc/64e: Fix early TLB miss with KUAP (bsc#1012628).
  - powerpc/fsl-pci: Fix Class Code of PCIe Root Port (bsc#1012628).
  - powerpc/ptdump: Fix display of RW pages on FSL_BOOK3E
    (bsc#1012628).
  - powerpc/powernv: Avoid crashing if rng is NULL (bsc#1012628).
  - MIPS: cpuinfo: Fix a warning for CONFIG_CPUMASK_OFFSTACK
    (bsc#1012628).
  - coresight: Clear the connection field properly (bsc#1012628).
  - usb: typec: ucsi: Acknowledge the GET_ERROR_STATUS command
    completion (bsc#1012628).
  - USB: HCD: Fix URB giveback issue in tasklet function
    (bsc#1012628).
  - Revert "net: usb: ax88179_178a needs FLAG_SEND_ZLP"
    (bsc#1012628).
  - ARM: dts: uniphier: Fix USB interrupts for PXs2 SoC
    (bsc#1012628).
  - arm64: dts: uniphier: Fix USB interrupts for PXs3 SoC
    (bsc#1012628).
  - usb: dwc3: gadget: refactor dwc3_repare_one_trb (bsc#1012628).
  - usb: dwc3: gadget: fix high speed multiplier setting
    (bsc#1012628).
  - netfilter: nf_tables: do not allow SET_ID to refer to another
    table (bsc#1012628).
  - netfilter: nf_tables: do not allow CHAIN_ID to refer to another
    table (bsc#1012628).
  - netfilter: nf_tables: do not allow RULE_ID to refer to another
    chain (bsc#1012628).
  - netfilter: nf_tables: upfront validation of data via
    nft_data_init() (bsc#1012628).
  - netfilter: nf_tables: disallow jump to implicit chain from
    set element (bsc#1012628).
  - netfilter: nf_tables: fix null deref due to zeroed list head
    (bsc#1012628).
  - epoll: autoremove wakers even more aggressively (bsc#1012628).
  - x86: Handle idle=nomwait cmdline properly for x86_idle
    (bsc#1012628).
  - arch: make TRACE_IRQFLAGS_NMI_SUPPORT generic (bsc#1012628).
  - arm64: kasan: do not instrument stacktrace.c (bsc#1012628).
  - arm64: stacktrace: use non-atomic __set_bit (bsc#1012628).
  - arm64: Do not forget syscall when starting a new thread
    (bsc#1012628).
  - arm64: fix oops in concurrently setting insn_emulation sysctls
    (bsc#1012628).
  - arm64: kasan: Revert "arm64: mte: reset the page tag in
    page->flags" (bsc#1012628).
  - arm64: errata: Remove AES hwcap for COMPAT tasks (bsc#1012628).
  - ext2: Add more validity checks for inode counts (bsc#1012628).
  - sched/fair: Introduce SIS_UTIL to search idle CPU based on
    sum of util_avg (bsc#1012628).
  - genirq: Don't return error on missing optional
    irq_request_resources() (bsc#1012628).
  - irqchip/mips-gic: Only register IPI domain when SMP is enabled
    (bsc#1012628).
  - genirq: GENERIC_IRQ_IPI depends on SMP (bsc#1012628).
  - sched/fair: fix case with reduced capacity CPU (bsc#1012628).
  - sched/core: Always flush pending blk_plug (bsc#1012628).
  - irqchip/mips-gic: Check the return value of ioremap() in
    gic_of_init() (bsc#1012628).
  - ARM: dts: imx6ul: add missing properties for sram (bsc#1012628).
  - ARM: dts: imx6ul: change operating-points to uint32-matrix
    (bsc#1012628).
  - ARM: dts: imx6ul: fix keypad compatible (bsc#1012628).
  - ARM: dts: imx6ul: fix csi node compatible (bsc#1012628).
  - ARM: dts: imx6ul: fix lcdif node compatible (bsc#1012628).
  - ARM: dts: imx6ul: fix qspi node compatible (bsc#1012628).
  - ARM: dts: BCM5301X: Add DT for Meraki MR26 (bsc#1012628).
  - ARM: dts: ux500: Fix Janice accelerometer mounting matrix
    (bsc#1012628).
  - ARM: dts: ux500: Fix Codina accelerometer mounting matrix
    (bsc#1012628).
  - ARM: dts: ux500: Fix Gavini accelerometer mounting matrix
    (bsc#1012628).
  - arm64: dts: qcom: timer should use only 32-bit size
    (bsc#1012628).
  - spi: synquacer: Add missing clk_disable_unprepare()
    (bsc#1012628).
  - ARM: OMAP2+: display: Fix refcount leak bug (bsc#1012628).
  - ARM: OMAP2+: pdata-quirks: Fix refcount leak bug (bsc#1012628).
  - ACPI: EC: Remove duplicate ThinkPad X1 Carbon 6th entry from
    DMI quirks (bsc#1012628).
  - ACPI: EC: Drop the EC_FLAGS_IGNORE_DSDT_GPE quirk (bsc#1012628).
  - ACPI: PM: save NVS memory for Lenovo G40-45 (bsc#1012628).
  - ACPI: LPSS: Fix missing check in register_device_clock()
    (bsc#1012628).
  - ARM: dts: qcom: sdx55: Fix the IRQ trigger type for UART
    (bsc#1012628).
  - arm64: dts: qcom: sc7280: Rename sar sensor labels
    (bsc#1012628).
  - arm64: dts: qcom: add missing AOSS QMP compatible fallback
    (bsc#1012628).
  - arm64: dts: qcom: ipq8074: fix NAND node name (bsc#1012628).
  - arm64: dts: allwinner: a64: orangepi-win: Fix LED node name
    (bsc#1012628).
  - ARM: shmobile: rcar-gen2: Increase refcount for new reference
    (bsc#1012628).
  - firmware: tegra: Fix error check return value of
    debugfs_create_file() (bsc#1012628).
  - hwmon: (dell-smm) Add Dell XPS 13 7390 to fan control whitelist
    (bsc#1012628).
  - PM: EM: convert power field to micro-Watts precision and align
    drivers (bsc#1012628).
  - ACPI: video: Use native backlight on Dell Inspiron N4010
    (bsc#1012628).
  - hwmon: (sht15) Fix wrong assumptions in device remove callback
    (bsc#1012628).
  - PM: hibernate: defer device probing when resuming from
    hibernation (bsc#1012628).
  - selinux: fix memleak in security_read_state_kernel()
    (bsc#1012628).
  - selinux: Add boundary check in put_entry() (bsc#1012628).
  - io_uring: fix io_uring_cqe_overflow trace format (bsc#1012628).
  - kasan: test: Silence GCC 12 warnings (bsc#1012628).
  - wait: Fix __wait_event_hrtimeout for RT/DL tasks (bsc#1012628).
  - meson-mx-socinfo: Fix refcount leak in meson_mx_socinfo_init
    (bsc#1012628).
  - arm64: dts: renesas: beacon: Fix regulator node names
    (bsc#1012628).
  - spi: spi-altera-dfl: Fix an error handling path (bsc#1012628).
  - ARM: bcm: Fix refcount leak in bcm_kona_smc_init (bsc#1012628).
  - ACPI: processor/idle: Annotate more functions to live in
    cpuidle section (bsc#1012628).
  - ARM: dts: imx7d-colibri-emmc: add cpu1 supply (bsc#1012628).
  - ARM: dts: imx7-colibri: overhaul display/touch functionality
    (bsc#1012628).
  - ARM: dts: imx7-colibri: add usb dual-role switching using extcon
    (bsc#1012628).
  - ARM: dts: imx7-colibri: improve wake-up with gpio key
    (bsc#1012628).
  - ARM: dts: imx7-colibri: move aliases, chosen, extcon and
    gpio-keys (bsc#1012628).
  - ARM: dts: imx7-colibri-eval-v3: correct can controller comment
    (bsc#1012628).
  - soc: renesas: r8a779a0-sysc: Fix A2DP1 and A2CV[2357] PDR values
    (bsc#1012628).
  - soc: amlogic: Fix refcount leak in meson-secure-pwrc.c
    (bsc#1012628).
  - arm64: dts: renesas: Fix thermal-sensors on single-zone sensors
    (bsc#1012628).
  - Revert "ARM: dts: imx6qdl-apalis: Avoid underscore in node name"
    (bsc#1012628).
  - x86/pmem: Fix platform-device leak in error path (bsc#1012628).
  - ARM: dts: ast2500-evb: fix board compatible (bsc#1012628).
  - ARM: dts: ast2600-evb: fix board compatible (bsc#1012628).
  - ARM: dts: ast2600-evb-a1: fix board compatible (bsc#1012628).
  - arm64: dts: mt8192: Fix idle-states nodes naming scheme
    (bsc#1012628).
  - arm64: dts: mt8192: Fix idle-states entry-method (bsc#1012628).
  - arm64: select TRACE_IRQFLAGS_NMI_SUPPORT (bsc#1012628).
  - arm64: cpufeature: Allow different PMU versions in ID_DFR0_EL1
    (bsc#1012628).
  - locking/lockdep: Fix lockdep_init_map_*() confusion
    (bsc#1012628).
  - arm64: dts: qcom: sc7180: Remove ipa_fw_mem node on trogdor
    (bsc#1012628).
  - soc: fsl: guts: machine variable might be unset (bsc#1012628).
  - spi: s3c64xx: constify fsd_spi_port_config (bsc#1012628).
  - block: fix infinite loop for invalid zone append (bsc#1012628).
  - arm64: dts: qcom: sdm845-akatsuki: Round down l22a regulator
    voltage (bsc#1012628).
  - ARM: dts: qcom: mdm9615: add missing PMIC GPIO reg
    (bsc#1012628).
  - ARM: OMAP2+: Fix refcount leak in omapdss_init_of (bsc#1012628).
  - ARM: OMAP2+: Fix refcount leak in omap3xxx_prm_late_init
    (bsc#1012628).
  - arm64: dts: qcom: sdm630: disable GPU by default (bsc#1012628).
  - arm64: dts: qcom: sdm630: fix the qusb2phy ref clock
    (bsc#1012628).
  - arm64: dts: qcom: sdm630: fix gpu's interconnect path
    (bsc#1012628).
  - arm64: dts: qcom: sdm636-sony-xperia-ganges-mermaid: correct
    sdc2 pinconf (bsc#1012628).
  - cpufreq: zynq: Fix refcount leak in zynq_get_revision
    (bsc#1012628).
  - arm64: dts: renesas: r8a779m8: Drop operating points above
    1.5 GHz (bsc#1012628).
  - arm64: dts: renesas: r9a07g054l2-smarc: Correct SoC name in
    comment (bsc#1012628).
  - regulator: qcom_smd: Fix pm8916_pldo range (bsc#1012628).
  - ACPI: APEI: Fix _EINJ vs EFI_MEMORY_SP (bsc#1012628).
  - ARM: dts: qcom: replace gcc PXO with pxo_board fixed clock
    (bsc#1012628).
  - ARM: dts: qcom-msm8974: fix irq type on blsp2_uart1
    (bsc#1012628).
  - soc: qcom: ocmem: Fix refcount leak in of_get_ocmem
    (bsc#1012628).
  - soc: qcom: aoss: Fix refcount leak in
    qmp_cooling_devices_register (bsc#1012628).
  - ARM: dts: qcom: msm8974: add required ranges to OCMEM
    (bsc#1012628).
  - ARM: dts: qcom: pm8841: add required thermal-sensor-cells
    (bsc#1012628).
  - bus: hisi_lpc: fix missing platform_device_put() in
    hisi_lpc_acpi_probe() (bsc#1012628).
  - lib: overflow: Do not define 64-bit tests on 32-bit
    (bsc#1012628).
  - stack: Declare {randomize_,}kstack_offset to fix Sparse warnings
    (bsc#1012628).
  - arm64: dts: qcom: msm8916: Fix typo in pronto remoteproc node
    (bsc#1012628).
  - arm64: dts: qcom: msm8994: add required ranges to OCMEM
    (bsc#1012628).
  - perf/x86/intel: Fix PEBS memory access info encoding for ADL
    (bsc#1012628).
  - perf/x86/intel: Fix PEBS data source encoding for ADL
    (bsc#1012628).
  - arm64: dts: exynosautov9: correct spi11 pin names (bsc#1012628).
  - ACPI: VIOT: Fix ACS setup (bsc#1012628).
  - m68k: virt: Fix missing platform_device_unregister() on error
    in virt_platform_init() (bsc#1012628).
  - arm64: dts: qcom: sm6125: Move sdc2 pinctrl from seine-pdx201
    to sm6125 (bsc#1012628).
  - arm64: dts: qcom: sm6125: Append -state suffix to pinctrl nodes
    (bsc#1012628).
  - arm64: dts: qcom: msm8996: correct #clock-cells for QMP PHY
    nodes (bsc#1012628).
  - arm64: dts: qcom: sc7280: drop PCIe PHY clock index
    (bsc#1012628).
  - arm64: dts: qcom: sm8250: add missing PCIe PHY clock-cells
    (bsc#1012628).
  - arm64: dts: mt7622: fix BPI-R64 WPS button (bsc#1012628).
  - arm64: tegra: Mark BPMP channels as no-memory-wc (bsc#1012628).
  - arm64: tegra: Fix SDMMC1 CD on P2888 (bsc#1012628).
  - arm64: dts: qcom: sc7280: fix PCIe clock reference
    (bsc#1012628).
  - erofs: wake up all waiters after z_erofs_lzma_head ready
    (bsc#1012628).
  - erofs: avoid consecutive detection for Highmem memory
    (bsc#1012628).
  - spi: Return deferred probe error when controller isn't yet
    available (bsc#1012628).
  - blk-mq: don't create hctx debugfs dir until q->debugfs_dir is
    created (bsc#1012628).
  - spi: dw: Fix IP-core versions macro (bsc#1012628).
  - spi: Fix simplification of devm_spi_register_controller
    (bsc#1012628).
  - spi: tegra20-slink: fix UAF in tegra_slink_remove()
    (bsc#1012628).
  - hwmon: (sch56xx-common) Add DMI override table (bsc#1012628).
  - hwmon: (drivetemp) Add module alias (bsc#1012628).
  - blktrace: Trace remapped requests correctly (bsc#1012628).
  - PM: domains: Ensure genpd_debugfs_dir exists before remove
    (bsc#1012628).
  - dm writecache: return void from functions (bsc#1012628).
  - dm writecache: count number of blocks read, not number of read
    bios (bsc#1012628).
  - dm writecache: count number of blocks written, not number of
    write bios (bsc#1012628).
  - dm writecache: count number of blocks discarded, not number
    of discard bios (bsc#1012628).
  - regulator: of: Fix refcount leak bug in
    of_get_regulation_constraints() (bsc#1012628).
  - soc: qcom: Make QCOM_RPMPD depend on PM (bsc#1012628).
  - soc: qcom: socinfo: Fix the id of SA8540P SoC (bsc#1012628).
  - arm64: dts: qcom: msm8998: Make regulator voltages multiple
    of step-size (bsc#1012628).
  - arm64: dts: qcom: qcs404: Fix incorrect USB2 PHYs assignment
    (bsc#1012628).
  - ARM: dts: qcom: msm8974: Disable remoteprocs by default
    (bsc#1012628).
  - irqdomain: Report irq number for NOMAP domains (bsc#1012628).
  - perf: RISC-V: Add of_node_put() when breaking out of
    for_each_of_cpu_node() (bsc#1012628).
  - drivers/perf: arm_spe: Fix consistency of SYS_PMSCR_EL1.CX
    (bsc#1012628).
  - nohz/full, sched/rt: Fix missed tick-reenabling bug in
    dequeue_task_rt() (bsc#1012628).
  - sched: only perform capability check on privileged operation
    (bsc#1012628).
  - sched/numa: Initialise numa_migrate_retry (bsc#1012628).
  - x86/extable: Fix ex_handler_msr() print condition (bsc#1012628).
  - io_uring: move to separate directory (bsc#1012628).
  - io_uring: define a 'prep' and 'issue' handler for each opcode
    (bsc#1012628).
  - io_uring: Don't require reinitable percpu_ref (bsc#1012628).
  - selftests/seccomp: Fix compile warning when CC=clang
    (bsc#1012628).
  - thermal/tools/tmon: Include pthread and time headers in tmon.h
    (bsc#1012628).
  - tools/power turbostat: Fix file pointer leak (bsc#1012628).
  - dm: return early from dm_pr_call() if DM device is suspended
    (bsc#1012628).
  - pwm: sifive: Simplify offset calculation for PWMCMP registers
    (bsc#1012628).
  - pwm: sifive: Ensure the clk is enabled exactly once per running
    PWM (bsc#1012628).
  - pwm: sifive: Shut down hardware only after pwmchip_remove()
    completed (bsc#1012628).
  - pwm: lpc18xx: Fix period handling (bsc#1012628).
  - erofs: update ctx->pos for every emitted dirent (bsc#1012628).
  - dt-bindings: display: bridge: ldb: Fill in reg property
    (bsc#1012628).
  - drm/i915: remove unused GEM_DEBUG_DECL() and GEM_DEBUG_BUG_ON()
    (bsc#1012628).
  - drm/rockchip: vop2: unlock on error path in
    vop2_crtc_atomic_enable() (bsc#1012628).
  - drm: bridge: DRM_FSL_LDB should depend on ARCH_MXC
    (bsc#1012628).
  - drm/bridge: anx7625: Use DPI bus type (bsc#1012628).
  - drm/mgag200: Acquire I/O lock while reading EDID (bsc#1012628).
  - drm/meson: Fix refcount leak in meson_encoder_hdmi_init
    (bsc#1012628).
  - drm/dp: Export symbol / kerneldoc fixes for DP AUX bus
    (bsc#1012628).
  - drm/bridge: tc358767: Handle dsi_lanes == 0 as invalid
    (bsc#1012628).
  - drm/bridge: tc358767: Make sure Refclk clock are enabled
    (bsc#1012628).
  - ath10k: do not enforce interrupt trigger type (bsc#1012628).
  - ath11k: Fix warning on variable 'sar' dereference before check
    (bsc#1012628).
  - ath11k: Init hw_params before setting up AHB resources
    (bsc#1012628).
  - drm/edid: reset display info in drm_add_edid_modes() for NULL
    edid (bsc#1012628).
  - drm/bridge: lt9611: Use both bits for HDMI sensing
    (bsc#1012628).
  - drm/st7735r: Fix module autoloading for Okaya RH128128T
    (bsc#1012628).
  - drm/panel: Fix build error when
    CONFIG_DRM_PANEL_SAMSUNG_ATNA33XC20=y &&
    CONFIG_DRM_DISPLAY_HELPER=m (bsc#1012628).
  - drm: bridge: adv7511: Move CEC definitions to adv7511_cec.c
    (bsc#1012628).
  - wifi: rtlwifi: fix error codes in rtl_debugfs_set_write_h2c()
    (bsc#1012628).
  - wifi: wilc1000: use correct sequence of RESET for chip
    Power-UP/Down (bsc#1012628).
  - ath11k: fix netdev open race (bsc#1012628).
  - ath11k: fix IRQ affinity warning on shutdown (bsc#1012628).
  - drm/mipi-dbi: align max_chunk to 2 in spi_transfer
    (bsc#1012628).
  - drm/ssd130x: Only define a SPI device ID table when built as
    a module (bsc#1012628).
  - selftests/bpf: Fix test_run logic in fexit_stress.c
    (bsc#1012628).
  - sample: bpf: xdp_router_ipv4: Allow the kernel to send arp
    requests (bsc#1012628).
  - selftests/bpf: Fix tc_redirect_dtime (bsc#1012628).
  - libbpf: Fix is_pow_of_2 (bsc#1012628).
  - ath11k: fix missing skb drop on htc_tx_completion error
    (bsc#1012628).
  - ath11k: Fix incorrect debug_mask mappings (bsc#1012628).
  - ath11k: Avoid REO CMD failed prints during firmware recovery
    (bsc#1012628).
  - drm/radeon: fix potential buffer overflow in
    ni_set_mc_special_registers() (bsc#1012628).
  - drm/mediatek: Modify dsi funcs to atomic operations
    (bsc#1012628).
  - drm/mediatek: Separate poweron/poweroff from enable/disable
    and define new funcs (bsc#1012628).
  - drm/mediatek: Add pull-down MIPI operation in mtk_dsi_poweroff
    function (bsc#1012628).
  - drm/meson: encoder_cvbs: Fix refcount leak in
    meson_encoder_cvbs_init (bsc#1012628).
  - drm/meson: encoder_hdmi: Fix refcount leak in
    meson_encoder_hdmi_init (bsc#1012628).
  - drm/bridge: lt9611uxc: Cancel only driver's work (bsc#1012628).
  - drm/amdgpu: fix scratch register access method in SRIOV
    (bsc#1012628).
  - drm/amdgpu/display: Prepare for new interfaces (bsc#1012628).
  - i2c: npcm: Remove own slave addresses 2:10 (bsc#1012628).
  - i2c: npcm: Correct slave role behavior (bsc#1012628).
  - i2c: mxs: Silence a clang warning (bsc#1012628).
  - virtio-gpu: fix a missing check to avoid NULL dereference
    (bsc#1012628).
  - drm/virtio: Fix NULL vs IS_ERR checking in
    virtio_gpu_object_shmem_init (bsc#1012628).
  - libbpf: Fix uprobe symbol file offset calculation logic
    (bsc#1012628).
  - drm: adv7511: override i2c address of cec before accessing it
    (bsc#1012628).
  - crypto: sun8i-ss - fix error codes in allocate_flows()
    (bsc#1012628).
  - crypto: sun8i-ss - Fix error codes for dma_mapping_error()
    (bsc#1012628).
  - crypto: sun8i-ss - fix a NULL vs IS_ERR() check in
    sun8i_ss_hashkey (bsc#1012628).
  - net: fix sk_wmem_schedule() and sk_rmem_schedule() errors
    (bsc#1012628).
  - can: netlink: allow configuring of fixed bit rates without
    need for do_set_bittiming callback (bsc#1012628).
  - drm/vkms: check plane_composer->map[0] before using it
    (bsc#1012628).
  - can: netlink: allow configuring of fixed data bit rates without
    need for do_set_data_bittiming callback (bsc#1012628).
  - drm/bridge: anx7625: Zero error variable when panel bridge
    not present (bsc#1012628).
  - drm/bridge: it6505: Add missing CRYPTO_HASH dependency
    (bsc#1012628).
  - i2c: Fix a potential use after free (bsc#1012628).
  - libbpf: Fix internal USDT address translation logic for shared
    libraries (bsc#1012628).
  - selftests/bpf: Don't force lld on non-x86 architectures
    (bsc#1012628).
  - tcp: fix possible freeze in tx path under memory pressure
    (bsc#1012628).
  - crypto: sun8i-ss - fix infinite loop in sun8i_ss_setup_ivs()
    (bsc#1012628).
  - net: ag71xx: fix discards 'const' qualifier warning
    (bsc#1012628).
  - ping: convert to RCU lookups, get rid of rwlock (bsc#1012628).
  - raw: use more conventional iterators (bsc#1012628).
  - raw: convert raw sockets to RCU (bsc#1012628).
  - raw: Fix mixed declarations error in raw_icmp_error()
    (bsc#1012628).
  - media: atmel: atmel-sama7g5-isc: fix warning in configs without
    OF (bsc#1012628).
  - media: camss: csid: fix wrong size passed to
    devm_kmalloc_array() (bsc#1012628).
  - media: tw686x: Register the irq at the end of probe
    (bsc#1012628).
  - media: amphion: return error if format is unsupported by vpu
    (bsc#1012628).
  - media: Hantro: Correct G2 init qp field (bsc#1012628).
  - media: imx-jpeg: Correct some definition according specification
    (bsc#1012628).
  - media: imx-jpeg: Leave a blank space before the configuration
    data (bsc#1012628).
  - media: imx-jpeg: Align upwards buffer size (bsc#1012628).
  - media: imx-jpeg: Implement drain using v4l2-mem2mem helpers
    (bsc#1012628).
  - media: rcar-vin: Fix channel routing for Ebisu (bsc#1012628).
  - wifi: mac80211: set STA deflink addresses (bsc#1012628).
  - wifi: iwlegacy: 4965: fix potential off-by-one overflow in
    il4965_rs_fill_link_cmd() (bsc#1012628).
  - wifi: rtw89: 8852a: rfk: fix div 0 exception (bsc#1012628).
  - drm/radeon: fix incorrrect SPDX-License-Identifiers
    (bsc#1012628).
  - drm/amd: Don't show warning on reading vbios values for SMU13
    3.1 (bsc#1012628).
  - drm/amdkfd: correct sdma queue number of sdma 6.0.1
    (bsc#1012628).
  - torture: Adjust to again produce debugging information
    (bsc#1012628).
  - rcutorture: Fix ksoftirqd boosting timing and iteration
    (bsc#1012628).
  - test_bpf: fix incorrect netdev features (bsc#1012628).
  - drm/display: Fix build error without CONFIG_OF (bsc#1012628).
  - selftests/bpf: Fix rare segfault in sock_fields prog test
    (bsc#1012628).
  - crypto: ccp - During shutdown, check SEV data pointer before
    using (bsc#1012628).
  - drm: bridge: adv7511: Add check for mipi_dsi_driver_register
    (bsc#1012628).
  - media: imx-jpeg: Disable slot interrupt when frame done
    (bsc#1012628).
  - media: amphion: output firmware error message (bsc#1012628).
  - drm/mcde: Fix refcount leak in mcde_dsi_bind (bsc#1012628).
  - media: hdpvr: fix error value returns in hdpvr_read
    (bsc#1012628).
  - media: v4l2-mem2mem: prevent pollerr when last_buffer_dequeued
    is set (bsc#1012628).
  - media: sta2x11: remove VIRT_TO_BUS dependency (bsc#1012628).
  - media: mediatek: vcodec: Initialize decoder parameters after
    getting dec_capability (bsc#1012628).
  - media: mediatek: vcodec: Skip SOURCE_CHANGE & EOS events for
    stateless (bsc#1012628).
  - media: driver/nxp/imx-jpeg: fix a unexpected return value
    problem (bsc#1012628).
  - media: tw686x: Fix memory leak in tw686x_video_init
    (bsc#1012628).
  - media: mediatek: vcodec: Fix non subdev architecture open
    power fail (bsc#1012628).
  - drm/vc4: kms: Use maximum FIFO load for the HVS clock rate
    (bsc#1012628).
  - drm/vc4: plane: Remove subpixel positioning check (bsc#1012628).
  - drm/vc4: plane: Fix margin calculations for the right/bottom
    edges (bsc#1012628).
  - drm/vc4: dsi: Release workaround buffer and DMA (bsc#1012628).
  - drm/vc4: dsi: Correct DSI divider calculations (bsc#1012628).
  - drm/vc4: dsi: Correct pixel order for DSI0 (bsc#1012628).
  - drm/vc4: dsi: Register dsi0 as the correct vc4 encoder type
    (bsc#1012628).
  - drm/vc4: dsi: Fix dsi0 interrupt support (bsc#1012628).
  - drm/vc4: dsi: Add correct stop condition to
    vc4_dsi_encoder_disable iteration (bsc#1012628).
  - drm/vc4: hdmi: Add all the vc5 HDMI registers into the debugfs
    dumps (bsc#1012628).
  - drm/vc4: hdmi: Clear unused infoframe packet RAM registers
    (bsc#1012628).
  - drm/vc4: hdmi: Avoid full hdmi audio fifo writes (bsc#1012628).
  - drm/vc4: hdmi: Reset HDMI MISC_CONTROL register (bsc#1012628).
  - drm/vc4: hdmi: Switch to pm_runtime_status_suspended
    (bsc#1012628).
  - drm/vc4: hdmi: Move HDMI reset to pm_resume (bsc#1012628).
  - drm/vc4: hdmi: Fix timings for interlaced modes (bsc#1012628).
  - drm/vc4: hdmi: Force modeset when bpc or format changes
    (bsc#1012628).
  - drm/vc4: hdmi: Correct HDMI timing registers for interlaced
    modes (bsc#1012628).
  - drm/vc4: hdmi: Move pixel doubling from Pixelvalve to HDMI block
    (bsc#1012628).
  - mm: Account dirty folios properly during splits (bsc#1012628).
  - crypto: arm64/gcm - Select AEAD for GHASH_ARM64_CE
    (bsc#1012628).
  - selftests/xsk: Destroy BPF resources only when ctx refcount
    drops to 0 (bsc#1012628).
  - net: dsa: felix: update base time of time-aware shaper when
    adjusting PTP time (bsc#1012628).
  - net: dsa: felix: keep reference on entire tc-taprio config
    (bsc#1012628).
  - net: dsa: felix: drop oversized frames with tc-taprio instead
    of hanging the port (bsc#1012628).
  - selftests: net: fib_rule_tests: fix support for running
    individual tests (bsc#1012628).
  - drm/rockchip: vop: Don't crash for invalid duplicate_state()
    (bsc#1012628).
  - drm/rockchip: Fix an error handling path rockchip_dp_probe()
    (bsc#1012628).
  - drm/mediatek: dpi: Remove output format of YUV (bsc#1012628).
  - drm/mediatek: dpi: Only enable dpi after the bridge is enabled
    (bsc#1012628).
  - drm/msm/dpu: move intf and wb assignment to
    dpu_encoder_setup_display() (bsc#1012628).
  - drm/msm/dpu: fix maxlinewidth for writeback block (bsc#1012628).
  - drm/msm/dpu: remove hard-coded linewidth limit for writeback
    (bsc#1012628).
  - drm/msm/hdmi: fill the pwr_regs bulk regulators (bsc#1012628).
  - drm: bridge: sii8620: fix possible off-by-one (bsc#1012628).
  - drm/msm: Fix fence rollover issue (bsc#1012628).
  - net: sched: provide shim definitions for
    taprio_offload_{get,free} (bsc#1012628).
  - net: dsa: felix: build as module when tc-taprio is module
    (bsc#1012628).
  - hinic: Use the bitmap API when applicable (bsc#1012628).
  - net: hinic: fix bug that ethtool get wrong stats (bsc#1012628).
  - net: hinic: avoid kernel hung in hinic_get_stats64()
    (bsc#1012628).
  - drm/bridge: anx7625: Fix NULL pointer crash when using edp-panel
    (bsc#1012628).
  - drm/msm: Avoid unclocked GMU register access in 6xx gpu_busy
    (bsc#1012628).
  - libbpf, riscv: Use a0 for RC register (bsc#1012628).
  - drm/msm/mdp5: Fix global state lock backoff (bsc#1012628).
  - drm/radeon: avoid bogus "vram limit (0) must be a power of 2"
    warning (bsc#1012628).
  - crypto: hisilicon/sec - don't sleep when in softirq
    (bsc#1012628).
  - crypto: hisilicon - Kunpeng916 crypto driver don't sleep when
    in softirq (bsc#1012628).
  - media: platform: mtk-mdp: Fix mdp_ipi_comm structure alignment
    (bsc#1012628).
  - media: amphion: release core lock before reset vpu core
    (bsc#1012628).
  - drm/msm/dpu: Fix for non-visible planes (bsc#1012628).
  - media: atomisp: revert "don't pass a pointer to a local
    variable" (bsc#1012628).
  - media: mediatek: vcodec: decoder: Fix 4K frame size enumeration
    (bsc#1012628).
  - media: mediatek: vcodec: decoder: Fix resolution clamping in
    TRY_FMT (bsc#1012628).
  - media: mediatek: vcodec: decoder: Skip alignment for default
    resolution (bsc#1012628).
  - media: mediatek: vcodec: decoder: Drop max_{width,height}
    from mtk_vcodec_ctx (bsc#1012628).
  - media: mediatek: vcodec: Initialize decoder parameters for
    each instance (bsc#1012628).
  - media: amphion: defer setting last_buffer_dequeued until
    resolution changes are processed (bsc#1012628).
  - media: hantro: Be more accurate on pixel formats step_width
    constraints (bsc#1012628).
  - media: hantro: Fix RK3399 H.264 format advertising
    (bsc#1012628).
  - media: amphion: sync buffer status with firmware during abort
    (bsc#1012628).
  - media: amphion: only insert the first sequence startcode for
    vc1l format (bsc#1012628).
  - mt76: mt7915: fix endianness in mt7915_rf_regval_get
    (bsc#1012628).
  - mt76: mt76x02u: fix possible memory leak in
    __mt76x02u_mcu_send_msg (bsc#1012628).
  - mt76: mt7915: fix endian bug in mt7915_rf_regval_set()
    (bsc#1012628).
  - mt76: mt7921s: fix firmware download random fail (bsc#1012628).
  - mt76: mt7921: not support beacon offload disable command
    (bsc#1012628).
  - wifi: mac80211: reject WEP or pairwise keys with key ID > 3
    (bsc#1012628).
  - wifi: cfg80211: do some rework towards MLO link APIs
    (bsc#1012628).
  - wifi: mac80211: move some future per-link data to bss_conf
    (bsc#1012628).
  - mt76: mt7615: do not update pm stats in case of error
    (bsc#1012628).
  - mt76: mt7921: do not update pm states in case of error
    (bsc#1012628).
  - mt76: mt7921s: fix possible sdio deadlock in command fail
    (bsc#1012628).
  - mt76: mt7921: fix aggregation subframes setting to HE max
    (bsc#1012628).
  - mt76: mt7921: enlarge maximum VHT MPDU length to 11454
    (bsc#1012628).
  - mt76: mt7921: rely on mt76_dev in mt7921_mac_write_txwi
    signature (bsc#1012628).
  - mt76: mt7915: rely on mt76_dev in mt7915_mac_write_txwi
    signature (bsc#1012628).
  - mt76: connac: move mac connac2 defs in mt76_connac2_mac.h
    (bsc#1012628).
  - mt76: connac: move connac2_mac_write_txwi in mt76_connac module
    (bsc#1012628).
  - mt76: mt7915: fix incorrect testmode ipg on band 1 caused by
    wmm_idx (bsc#1012628).
  - mt76: mt7615: fix throughput regression on DFS channels
    (bsc#1012628).
  - mediatek: mt76: mac80211: Fix missing of_node_put() in
    mt76_led_init() (bsc#1012628).
  - mediatek: mt76: eeprom: fix missing of_node_put() in
    mt76_find_power_limits_node() (bsc#1012628).
  - skmsg: Fix invalid last sg check in sk_msg_recvmsg()
    (bsc#1012628).
  - drm/exynos/exynos7_drm_decon: free resources when
    clk_set_parent() failed (bsc#1012628).
  - bpf, x64: Add predicate for bpf2bpf with tailcalls support in
    JIT (bsc#1012628).
  - bpf, x86: fix freeing of not-finalized bpf_prog_pack
    (bsc#1012628).
  - tcp: make retransmitted SKB fit into the send window
    (bsc#1012628).
  - libbpf: Fix the name of a reused map (bsc#1012628).
  - kunit: executor: Fix a memory leak on failure in
    kunit_filter_tests (bsc#1012628).
  - selftests: timers: valid-adjtimex: build fix for newer
    toolchains (bsc#1012628).
  - selftests: timers: clocksource-switch: fix passing errors from
    child (bsc#1012628).
  - bpf: Fix subprog names in stack traces (bsc#1012628).
  - wifi: nl80211: acquire wdev mutex for dump_survey (bsc#1012628).
  - media: v4l: async: Also match secondary fwnode endpoints
    (bsc#1012628).
  - media: ov7251: add missing disable functions on error in
    ov7251_set_power_on() (bsc#1012628).
  - fs: check FMODE_LSEEK to control internal pipe splicing
    (bsc#1012628).
  - media: cedrus: h265: Fix flag name (bsc#1012628).
  - media: uapi: HEVC: Change pic_order_cnt definition in
    v4l2_hevc_dpb_entry (bsc#1012628).
  - media: cedrus: h265: Fix logic for not low delay flag
    (bsc#1012628).
  - wifi: wil6210: debugfs: fix info leak in wil_write_file_wmi()
    (bsc#1012628).
  - wifi: p54: Fix an error handling path in p54spi_probe()
    (bsc#1012628).
  - wifi: p54: add missing parentheses in p54_flush() (bsc#1012628).
  - drm/amdgpu: use the same HDP flush registers for all nbio 7.4.x
    (bsc#1012628).
  - drm/amdgpu: use the same HDP flush registers for all nbio 2.3.x
    (bsc#1012628).
  - drm/amdgpu: restore original stable pstate on ctx fini
    (bsc#1012628).
  - bpf: fix potential 32-bit overflow when accessing ARRAY map
    element (bsc#1012628).
  - libbpf: make RINGBUF map size adjustments more eagerly
    (bsc#1012628).
  - selftests/bpf: fix a test for snprintf() overflow (bsc#1012628).
  - libbpf: fix an snprintf() overflow check (bsc#1012628).
  - can: pch_can: do not report txerr and rxerr during bus-off
    (bsc#1012628).
  - can: rcar_can: do not report txerr and rxerr during bus-off
    (bsc#1012628).
  - can: sja1000: do not report txerr and rxerr during bus-off
    (bsc#1012628).
  - can: hi311x: do not report txerr and rxerr during bus-off
    (bsc#1012628).
  - can: sun4i_can: do not report txerr and rxerr during bus-off
    (bsc#1012628).
  - can: kvaser_usb_hydra: do not report txerr and rxerr during
    bus-off (bsc#1012628).
  - can: kvaser_usb_leaf: do not report txerr and rxerr during
    bus-off (bsc#1012628).
  - can: usb_8dev: do not report txerr and rxerr during bus-off
    (bsc#1012628).
  - can: error: specify the values of data[5..7] of CAN error frames
    (bsc#1012628).
  - libbpf: Fix str_has_sfx()'s return value (bsc#1012628).
  - can: pch_can: pch_can_error(): initialize errc before using it
    (bsc#1012628).
  - Bluetooth: hci_intel: Add check for platform_driver_register
    (bsc#1012628).
  - Bluetooth: When HCI work queue is drained, only queue chained
    work (bsc#1012628).
  - Bluetooth: mgmt: Fix refresh cached connection info
    (bsc#1012628).
  - Bluetooth: hci_sync: Fix resuming scan after suspend resume
    (bsc#1012628).
  - Bluetooth: hci_sync: Fix not updating privacy_mode
    (bsc#1012628).
  - Bluetooth: Add default wakeup callback for HCI UART driver
    (bsc#1012628).
  - i2c: cadence: Support PEC for SMBus block read (bsc#1012628).
  - i2c: qcom-geni: Use the correct return value (bsc#1012628).
  - btrfs: update stripe_sectors::uptodate in steal_rbio
    (bsc#1012628).
  - ip_tunnels: Add new flow flags field to ip_tunnel_key
    (bsc#1012628).
  - bpf: Set flow flag to allow any source IP in bpf_tunnel_key
    (bsc#1012628).
  - bpf: Fix bpf_xdp_pointer return pointer (bsc#1012628).
  - i2c: mux-gpmux: Add of_node_put() when breaking out of loop
    (bsc#1012628).
  - wifi: ath11k: Fix register write failure on QCN9074
    (bsc#1012628).
  - wifi: wil6210: debugfs: fix uninitialized variable use in
    `wil_write_file_wmi()` (bsc#1012628).
  - wifi: iwlwifi: mvm: fix double list_add at
    iwl_mvm_mac_wake_tx_queue (bsc#1012628).
  - wifi: libertas: Fix possible refcount leak in if_usb_probe()
    (bsc#1012628).
  - media: cedrus: hevc: Add check for invalid timestamp
    (bsc#1012628).
  - hantro: Remove incorrect HEVC SPS validation (bsc#1012628).
  - drm/amd/display: fix signedness bug in
    execute_synaptics_rc_command() (bsc#1012628).
  - net/mlx5e: Remove WARN_ON when trying to offload an unsupported
    TLS cipher/version (bsc#1012628).
  - net/mlx5e: TC, Fix post_act to not match on in_port metadata
    (bsc#1012628).
  - net/mlx5e: Fix the value of MLX5E_MAX_RQ_NUM_MTTS (bsc#1012628).
  - net/mlx5e: xsk: Account for XSK RQ UMRs when calculating ICOSQ
    size (bsc#1012628).
  - net/mlx5e: Fix calculations related to max MPWQE size
    (bsc#1012628).
  - net/mlx5e: Modify slow path rules to go to slow fdb
    (bsc#1012628).
  - net/mlx5: Adjust log_max_qp to be 18 at most (bsc#1012628).
  - net/mlx5: DR, Fix SMFS steering info dump format (bsc#1012628).
  - net/mlx5: Fix driver use of uninitialized timeout (bsc#1012628).
  - ax25: fix incorrect dev_tracker usage (bsc#1012628).
  - crypto: hisilicon/hpre - don't use GFP_KERNEL to alloc mem
    during softirq (bsc#1012628).
  - crypto: inside-secure - Add missing MODULE_DEVICE_TABLE for of
    (bsc#1012628).
  - crypto: hisilicon/sec - fix auth key size error (bsc#1012628).
  - net: allow unbound socket for packets in VRF when
    tcp_l3mdev_accept set (bsc#1012628).
  - netdevsim: fib: Fix reference count leak on route deletion
    failure (bsc#1012628).
  - wifi: rtw88: check the return value of alloc_workqueue()
    (bsc#1012628).
  - iavf: Fix max_rate limiting (bsc#1012628).
  - iavf: Fix 'tc qdisc show' listing too many queues (bsc#1012628).
  - netdevsim: Avoid allocation warnings triggered from user space
    (bsc#1012628).
  - net: rose: fix netdev reference changes (bsc#1012628).
  - net: ice: fix error NETIF_F_HW_VLAN_CTAG_FILTER check in
    ice_vsi_sync_fltr() (bsc#1012628).
  - net: ionic: fix error check for vlan flags in
    ionic_set_nic_features() (bsc#1012628).
  - dccp: put dccp_qpolicy_full() and dccp_qpolicy_push() in the
    same lock (bsc#1012628).
  - net: usb: make USB_RTL8153_ECM non user configurable
    (bsc#1012628).
  - net/mlx5e: xsk: Discard unaligned XSK frames on striding RQ
    (bsc#1012628).
  - wireguard: ratelimiter: use hrtimer in selftest (bsc#1012628).
  - wireguard: allowedips: don't corrupt stack when detecting
    overflow (bsc#1012628).
  - HID: amd_sfh: Don't show client init failed as error when
    discovery fails (bsc#1012628).
  - clk: renesas: r9a06g032: Fix UART clkgrp bitsel (bsc#1012628).
  - mtd: maps: Fix refcount leak in of_flash_probe_versatile
    (bsc#1012628).
  - mtd: maps: Fix refcount leak in ap_flash_init (bsc#1012628).
  - mtd: rawnand: meson: Fix a potential double free issue
    (bsc#1012628).
  - clk: renesas: rzg2l: Fix reset status function (bsc#1012628).
  - of: check previous kernel's ima-kexec-buffer against memory
    bounds (bsc#1012628).
  - scsi: qla2xxx: edif: Reduce Initiator-Initiator thrashing
    (bsc#1012628).
  - scsi: qla2xxx: edif: bsg refactor (bsc#1012628).
  - scsi: qla2xxx: edif: Wait for app to ack on sess down
    (bsc#1012628).
  - scsi: qla2xxx: edif: Add bsg interface to read doorbell events
    (bsc#1012628).
  - scsi: qla2xxx: edif: Fix potential stuck session in sa update
    (bsc#1012628).
  - scsi: qla2xxx: edif: Synchronize NPIV deletion with
    authentication application (bsc#1012628).
  - scsi: qla2xxx: edif: Add retry for ELS passthrough
    (bsc#1012628).
  - scsi: qla2xxx: edif: Fix n2n discovery issue with secure target
    (bsc#1012628).
  - scsi: qla2xxx: edif: Fix n2n login retry for secure device
    (bsc#1012628).
  - KVM: SVM: Unwind "speculative" RIP advancement if INTn injection
    "fails" (bsc#1012628).
  - KVM: SVM: Stuff next_rip on emulated INT3 injection if NRIPS
    is supported (bsc#1012628).
  - KVM: x86/mmu: Drop RWX=0 SPTEs during ept_sync_page()
    (bsc#1012628).
  - phy: samsung: exynosautov9-ufs: correct TSRV register
    configurations (bsc#1012628).
  - PCI: microchip: Fix refcount leak in mc_pcie_init_irq_domains()
    (bsc#1012628).
  - PCI: tegra194: Fix PM error handling in tegra_pcie_config_ep()
    (bsc#1012628).
  - HID: cp2112: prevent a buffer overflow in cp2112_xfer()
    (bsc#1012628).
  - mtd: sm_ftl: Fix deadlock caused by cancel_work_sync in
    sm_release (bsc#1012628).
  - mtd: partitions: Fix refcount leak in parse_redboot_of
    (bsc#1012628).
  - mtd: parsers: ofpart: Fix refcount leak in
    bcm4908_partitions_fw_offset (bsc#1012628).
  - mtd: spear_smi: Don't skip cleanup after mtd_device_unregister()
    failed (bsc#1012628).
  - mtd: st_spi_fsm: Add a clk_disable_unprepare() in .probe()'s
    error path (bsc#1012628).
  - mtd: spear_smi: Drop if with an always false condition
    (bsc#1012628).
  - mtd: st_spi_fsm: Warn about failure to unregister mtd device
    (bsc#1012628).
  - mtd: st_spi_fsm: Disable clock only after device was
    unregistered (bsc#1012628).
  - PCI: mediatek-gen3: Fix refcount leak in
    mtk_pcie_init_irq_domains() (bsc#1012628).
  - fpga: altera-pr-ip: fix unsigned comparison with less than zero
    (bsc#1012628).
  - usb: host: Fix refcount leak in ehci_hcd_ppc_of_probe
    (bsc#1012628).
  - usb: cdns3: fix random warning message when driver load
    (bsc#1012628).
  - usb: gadget: uvc: Fix comment blocks style (bsc#1012628).
  - usb: ohci-nxp: Fix refcount leak in ohci_hcd_nxp_probe
    (bsc#1012628).
  - usb: gadget: tegra-xudc: Fix error check in
    tegra_xudc_powerdomain_init() (bsc#1012628).
  - usbip: vudc: Don't enable IRQs prematurely (bsc#1012628).
  - usb: host: ohci-at91: add support to enter suspend using SMC
    (bsc#1012628).
  - usb: xhci: tegra: Fix error check (bsc#1012628).
  - dmaengine: dw: dmamux: Export the module device table
    (bsc#1012628).
  - dmaengine: dw: dmamux: Fix build without CONFIG_OF
    (bsc#1012628).
  - netfilter: xtables: Bring SPDX identifier back (bsc#1012628).
  - scsi: qla2xxx: edif: Send LOGO for unexpected IKE message
    (bsc#1012628).
  - scsi: qla2xxx: edif: Reduce disruption due to multiple app start
    (bsc#1012628).
  - scsi: qla2xxx: edif: Fix no login after app start (bsc#1012628).
  - scsi: qla2xxx: edif: Tear down session if keys have been removed
    (bsc#1012628).
  - scsi: qla2xxx: edif: Fix session thrash (bsc#1012628).
  - scsi: qla2xxx: edif: Fix no logout on delete for N2N
    (bsc#1012628).
  - scsi: qla2xxx: edif: Reduce N2N thrashing at app_start time
    (bsc#1012628).
  - iio: accel: bma400: Fix the scale min and max macro values
    (bsc#1012628).
  - platform/chrome: cros_ec: Always expose last resume result
    (bsc#1012628).
  - iio: sx9324: Fix register field spelling (bsc#1012628).
  - iio: accel: bma400: Reordering of header files (bsc#1012628).
  - iio: accel: bma400: conversion to device-managed function
    (bsc#1012628).
  - iio: accel: bma400: Add triggered buffer support (bsc#1012628).
  - iio: core: Fix IIO_ALIGN and rename as it was not sufficiently
    large (bsc#1012628).
  - iio: accel: adxl313: Fix alignment for DMA safety (bsc#1012628).
  - iio: accel: adxl355: Fix alignment for DMA safety (bsc#1012628).
  - iio: accel: adxl367: Fix alignment for DMA safety (bsc#1012628).
  - iio: accel: bma220: Fix alignment for DMA safety (bsc#1012628).
  - iio: accel: sca3000: Fix alignment for DMA safety (bsc#1012628).
  - iio: accel: sca3300: Fix alignment for DMA safety (bsc#1012628).
  - iio: adc: ad7266: Fix alignment for DMA safety (bsc#1012628).
  - iio: adc: ad7280a: Fix alignment for DMA safety (bsc#1012628).
  - iio: adc: ad7292: Fix alignment for DMA safety (bsc#1012628).
  - iio: adc: ad7298: Fix alignment for DMA safety (bsc#1012628).
  - iio: adc: ad7476: Fix alignment for DMA safety (bsc#1012628).
  - iio: adc: ad7606: Fix alignment for DMA safety (bsc#1012628).
  - iio: adc: ad7766: Fix alignment for DMA safety (bsc#1012628).
  - iio: adc: ad7768-1: Fix alignment for DMA safety (bsc#1012628).
  - iio: adc: ad7887: Fix alignment for DMA safety (bsc#1012628).
  - iio: adc: ad7923: Fix alignment for DMA safety (bsc#1012628).
  - iio: adc: ad7949: Fix alignment for DMA safety (bsc#1012628).
  - iio: adc: hi8435: Fix alignment for DMA safety (bsc#1012628).
  - iio: adc: ltc2496: Fix alignment for DMA safety (bsc#1012628).
  - iio: adc: ltc2497: Fix alignment for DMA safety (bsc#1012628).
  - iio: adc: max1027: Fix alignment for DMA safety (bsc#1012628).
  - iio: adc: max11100: Fix alignment for DMA safety (bsc#1012628).
  - iio: adc: max1118: Fix alignment for DMA safety (bsc#1012628).
  - iio: adc: max1241: Fix alignment for DMA safety (bsc#1012628).
  - iio: adc: mcp320x: Fix alignment for DMA safety (bsc#1012628).
  - iio: adc: ti-adc0832: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: adc: ti-adc084s021: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: adc: ti-adc108s102: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: adc: ti-adc12138: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: adc: ti-adc128s052: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: adc: ti-adc161s626: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: adc: ti-ads124s08: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: adc: ti-ads131e08: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: adc: ti-ads7950: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: adc: ti-ads8344: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: adc: ti-ads8688: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: adc: ti-tlc4541: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: addac: ad74413r: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: amplifiers: ad8366: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: common: ssp: Fix alignment for DMA safety (bsc#1012628).
  - iio: dac: ad5064: Fix alignment for DMA safety (bsc#1012628).
  - iio: dac: ad5360: Fix alignment for DMA safety (bsc#1012628).
  - iio: dac: ad5421: Fix alignment for DMA safety (bsc#1012628).
  - iio: dac: ad5449: Fix alignment for DMA safety (bsc#1012628).
  - iio: dac: ad5504: Fix alignment for DMA safety (bsc#1012628).
  - iio: dac: ad5592r: Fix alignment for DMA safety (bsc#1012628).
  - iio: dac: ad5686: Fix alignment for DMA safety (bsc#1012628).
  - iio: dac: ad5755: Fix alignment for DMA safety (bsc#1012628).
  - iio: dac: ad5761: Fix alignment for DMA safety (bsc#1012628).
  - iio: dac: ad5764: Fix alignment for DMA safety (bsc#1012628).
  - iio: dac: ad5766: Fix alignment for DMA safety (bsc#1012628).
  - iio: dac: ad5770r: Fix alignment for DMA safety (bsc#1012628).
  - iio: dac: ad5791: Fix alignment for DMA saftey (bsc#1012628).
  - iio: dac: ad7293: Fix alignment for DMA safety (bsc#1012628).
  - iio: dac: ad7303: Fix alignment for DMA safety (bsc#1012628).
  - iio: dac: ad8801: Fix alignment for DMA safety (bsc#1012628).
  - iio: dac: ltc2688: Fix alignment for DMA safety (bsc#1012628).
  - iio: dac: mcp4922: Fix alignment for DMA safety (bsc#1012628).
  - iio: dac: ti-dac082s085: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: dac: ti-dac5571: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: dac: ti-dac7311: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: dac: ti-dac7612: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: frequency: ad9523: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: frequency: adf4350: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: frequency: adf4371: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: frequency: admv1013: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: frequency: admv1014: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: frequency: admv4420: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: frequency: adrf6780: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: gyro: adis16080: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: gyro: adis16130: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: gyro: adxrs450: Fix alignment for DMA safety (bsc#1012628).
  - iio: gyro: fxas210002c: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: imu: fxos8700: Fix alignment for DMA safety (bsc#1012628).
  - iio: imu: inv_icm42600: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: imu: inv_icm42600: Fix alignment for DMA safety in buffer
    code (bsc#1012628).
  - iio: imu: mpu6050: Fix alignment for DMA safety (bsc#1012628).
  - iio: potentiometer: ad5110: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: potentiometer: ad5272: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: potentiometer: max5481: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: potentiometer: mcp41010: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: potentiometer: mcp4131: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: proximity: as3935: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: resolver: ad2s1200: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: resolver: ad2s90: Fix alignment for DMA safety
    (bsc#1012628).
  - iio: temp: ltc2983: Fix alignment for DMA safety (bsc#1012628).
  - iio: temp: max31865: Fix alignment for DMA safety (bsc#1012628).
  - iio: temp: maxim_thermocouple: Fix alignment for DMA safety
    (bsc#1012628).
  - clk: mediatek: reset: Fix written reset bit offset
    (bsc#1012628).
  - clk: imx93: use adc_root as the parent clock of adc1
    (bsc#1012628).
  - clk: imx93: correct nic_media parent (bsc#1012628).
  - clk: imx: clk-fracn-gppll: fix mfd value (bsc#1012628).
  - clk: imx: clk-fracn-gppll: Return rate in rate table properly
    in ->recalc_rate() (bsc#1012628).
  - clk: imx: clk-fracn-gppll: correct rdiv (bsc#1012628).
  - RDMA/rxe: fix xa_alloc_cycle() error return value check again
    (bsc#1012628).
  - lib/test_hmm: avoid accessing uninitialized pages (bsc#1012628).
  - mm/memremap: fix memunmap_pages() race with get_dev_pagemap()
    (bsc#1012628).
  - KVM: Don't set Accessed/Dirty bits for ZERO_PAGE (bsc#1012628).
  - KVM: selftests: Convert s390x/diag318_test_handler away from
    VCPU_ID (bsc#1012628).
  - KVM: selftests: Use vm_create_with_vcpus() in
    max_guest_memory_test (bsc#1012628).
  - devcoredump: remove the useless gfp_t parameter in dev_coredumpv
    and dev_coredumpm (bsc#1012628).
  - mwifiex: fix sleep in atomic context bugs caused by
    dev_coredumpv (bsc#1012628).
  - scsi: iscsi: Allow iscsi_if_stop_conn() to be called from kernel
    (bsc#1012628).
  - scsi: iscsi: Add helper to remove a session from the kernel
    (bsc#1012628).
  - scsi: iscsi: Fix session removal on shutdown (bsc#1012628).
  - dmaengine: dw-edma: Fix eDMA Rd/Wr-channels and DMA-direction
    semantics (bsc#1012628).
  - KVM: x86: Fix errant brace in KVM capability handling
    (bsc#1012628).
  - mtd: hyperbus: rpc-if: Fix RPM imbalance in probe error path
    (bsc#1012628).
  - mtd: dataflash: Add SPI ID table (bsc#1012628).
  - clk: qcom: camcc-sm8250: Fix halt on boot by reducing driver's
    init level (bsc#1012628).
  - misc: rtsx: Fix an error handling path in rtsx_pci_probe()
    (bsc#1012628).
  - driver core: fix potential deadlock in __driver_attach
    (bsc#1012628).
  - clk: qcom: clk-krait: unlock spin after mux completion
    (bsc#1012628).
  - coresight: configfs: Fix unload of configurations on module exit
    (bsc#1012628).
  - coresight: syscfg: Update load and unload operations
    (bsc#1012628).
  - usb: gadget: f_mass_storage: Make CD-ROM emulation works with
    Windows OS (bsc#1012628).
  - clk: qcom: gcc-msm8939: Add missing SYSTEM_MM_NOC_BFDCD_CLK_SRC
    (bsc#1012628).
  - clk: qcom: gcc-msm8939: Fix bimc_ddr_clk_src rcgr base address
    (bsc#1012628).
  - clk: qcom: gcc-msm8939: Add missing system_mm_noc_bfdcd_clk_src
    (bsc#1012628).
  - clk: qcom: gcc-msm8939: Point MM peripherals to system_mm_noc
    clock (bsc#1012628).
  - usb: host: xhci: use snprintf() in xhci_decode_trb()
    (bsc#1012628).
  - RDMA/rxe: Add a responder state for atomic reply (bsc#1012628).
  - RDMA/rxe: Fix deadlock in rxe_do_local_ops() (bsc#1012628).
  - clk: qcom: ipq8074: fix NSS core PLL-s (bsc#1012628).
  - clk: qcom: ipq8074: SW workaround for UBI32 PLL lock
    (bsc#1012628).
  - clk: qcom: ipq8074: fix NSS port frequency tables (bsc#1012628).
  - clk: qcom: ipq8074: set BRANCH_HALT_DELAY flag for UBI clocks
    (bsc#1012628).
  - clk: qcom: camcc-sdm845: Fix topology around titan_top power
    domain (bsc#1012628).
  - clk: qcom: camcc-sm8250: Fix topology around titan_top power
    domain (bsc#1012628).
  - clk: qcom: clk-rcg2: Fail Duty-Cycle configuration if MND
    divider is not enabled (bsc#1012628).
  - clk: qcom: clk-rcg2: Make sure to not write d=0 to the NMD
    register (bsc#1012628).
  - kernfs: fix potential NULL dereference in __kernfs_remove
    (bsc#1012628).
  - mm: rmap: use the correct parameter name for
    DEFINE_PAGE_VMA_WALK (bsc#1012628).
  - mm/migration: return errno when isolate_huge_page failed
    (bsc#1012628).
  - mm/migration: fix potential pte_unmap on an not mapped pte
    (bsc#1012628).
  - mm: introduce clear_highpage_kasan_tagged (bsc#1012628).
  - kasan: fix zeroing vmalloc memory with HW_TAGS (bsc#1012628).
  - mm/mempolicy: fix get_nodes out of bound access (bsc#1012628).
  - phy: ti: tusb1210: Don't check for write errors when powering on
    (bsc#1012628).
  - phy: rockchip-inno-usb2: Sync initial otg state (bsc#1012628).
  - PCI: dwc: Stop link on host_init errors and de-initialization
    (bsc#1012628).
  - PCI: dwc: Add unroll iATU space support to dw_pcie_disable_atu()
    (bsc#1012628).
  - PCI: dwc: Disable outbound windows only for controllers using
    iATU (bsc#1012628).
  - PCI: dwc: Set INCREASE_REGION_SIZE flag based on limit address
    (bsc#1012628).
  - PCI: dwc: Deallocate EPC memory on dw_pcie_ep_init() errors
    (bsc#1012628).
  - PCI: dwc: Always enable CDM check if "snps,enable-cdm-check"
    exists (bsc#1012628).
  - soundwire: bus_type: fix remove and shutdown support
    (bsc#1012628).
  - soundwire: revisit driver bind/unbind and callbacks
    (bsc#1012628).
  - KVM: arm64: Don't return from void function (bsc#1012628).
  - dmaengine: sf-pdma: Add multithread support for a DMA channel
    (bsc#1012628).
  - PCI: endpoint: Don't stop controller when unbinding endpoint
    function (bsc#1012628).
  - phy: qcom-qmp: fix the QSERDES_V5_COM_CMN_MODE register
    (bsc#1012628).
  - scsi: qla2xxx: Check correct variable in qla24xx_async_gffid()
    (bsc#1012628).
  - scsi: lpfc: Revert RSCN_MEMENTO workaround for misbehaved
    configuration (bsc#1012628).
  - intel_th: Fix a resource leak in an error handling path
    (bsc#1012628).
  - intel_th: msu-sink: Potential dereference of null pointer
    (bsc#1012628).
  - intel_th: msu: Fix vmalloced buffers (bsc#1012628).
  - binder: fix redefinition of seq_file attributes (bsc#1012628).
  - staging: rtl8192u: Fix sleep in atomic context bug in
    dm_fsync_timer_callback (bsc#1012628).
  - rtla/utils: Use calloc and check the potential memory allocation
    failure (bsc#1012628).
  - habanalabs: fix double unlock on error in map_device_va()
    (bsc#1012628).
  - dt-bindings: mmc: sdhci-msm: Fix issues in yaml bindings
    (bsc#1012628).
  - mmc: sdhci-of-esdhc: Fix refcount leak in
    esdhc_signal_voltage_switch (bsc#1012628).
  - mmc: mxcmmc: Silence a clang warning (bsc#1012628).
  - mmc: renesas_sdhi: Get the reset handle early in the probe
    (bsc#1012628).
  - memstick/ms_block: Fix some incorrect memory allocation
    (bsc#1012628).
  - memstick/ms_block: Fix a memory leak (bsc#1012628).
  - mmc: sdhci-of-at91: fix set_uhs_signaling rewriting of MC1R
    (bsc#1012628).
  - of: device: Fix missing of_node_put() in
    of_dma_set_restricted_buffer (bsc#1012628).
  - mmc: block: Add single read for 4k sector cards (bsc#1012628).
  - KVM: s390: pv: leak the topmost page table when destroy fails
    (bsc#1012628).
  - PCI/portdrv: Don't disable AER reporting in
    get_port_device_capability() (bsc#1012628).
  - PCI: qcom: Set up rev 2.1.0 PARF_PHY before enabling clocks
    (bsc#1012628).
  - scsi: smartpqi: Fix DMA direction for RAID requests
    (bsc#1012628).
  - xtensa: iss/network: provide release() callback (bsc#1012628).
  - xtensa: iss: fix handling error cases in iss_net_configure()
    (bsc#1012628).
  - usb: gadget: udc: amd5536 depends on HAS_DMA (bsc#1012628).
  - usb: aspeed-vhub: Fix refcount leak bug in ast_vhub_init_desc()
    (bsc#1012628).
  - usb: dwc3: core: Deprecate GCTL.CORESOFTRESET (bsc#1012628).
  - usb: dwc3: core: Do not perform GCTL_CORE_SOFTRESET during
    bootup (bsc#1012628).
  - usb: dwc3: qcom: fix missing optional irq warnings
    (bsc#1012628).
  - eeprom: idt_89hpesx: uninitialized data in idt_dbgfs_csr_write()
    (bsc#1012628).
  - phy: stm32: fix error return in stm32_usbphyc_phy_init
    (bsc#1012628).
  - phy: rockchip-inno-usb2: Ignore OTG IRQs in host mode
    (bsc#1012628).
  - interconnect: imx: fix max_node_id (bsc#1012628).
  - KVM: arm64: Fix hypervisor address symbolization (bsc#1012628).
  - um: random: Don't initialise hwrng struct with zero
    (bsc#1012628).
  - mm: percpu: use kmemleak_ignore_phys() instead of
    kmemleak_free() (bsc#1012628).
  - RDMA/irdma: Fix a window for use-after-free (bsc#1012628).
  - RDMA/irdma: Fix VLAN connection with wildcard address
    (bsc#1012628).
  - RDMA/irdma: Fix setting of QP context err_rq_idx_valid field
    (bsc#1012628).
  - RDMA/rtrs-srv: Fix modinfo output for stringify (bsc#1012628).
  - RDMA/rtrs-clt: Replace list_next_or_null_rr_rcu with an inline
    function (bsc#1012628).
  - RDMA/qedr: Fix potential memory leak in __qedr_alloc_mr()
    (bsc#1012628).
  - RDMA/hns: Fix incorrect clearing of interrupt status register
    (bsc#1012628).
  - RDMA/siw: Fix duplicated reported IW_CM_EVENT_CONNECT_REPLY
    event (bsc#1012628).
  - RDMA/rxe: Fix BUG: KASAN: null-ptr-deref in rxe_qp_do_cleanup
    (bsc#1012628).
  - iio: cros: Register FIFO callback after sensor is registered
    (bsc#1012628).
  - clk: qcom: Drop mmcx gdsc supply for dispcc and videocc
    (bsc#1012628).
  - clk: qcom: gdsc: Bump parent usage count when GDSC is found
    enabled (bsc#1012628).
  - clk: qcom: gcc-msm8939: Fix weird field spacing in
    ftbl_gcc_camss_cci_clk (bsc#1012628).
  - RDMA/hfi1: fix potential memory leak in setup_base_ctxt()
    (bsc#1012628).
  - gpio: gpiolib-of: Fix refcount bugs in of_mm_gpiochip_add_data()
    (bsc#1012628).
  - iio: adc: max1027: unlock on error path in
    max1027_read_single_value() (bsc#1012628).
  - HID: mcp2221: prevent a buffer overflow in mcp_smbus_write()
    (bsc#1012628).
  - HID: amd_sfh: Add NULL check for hid device (bsc#1012628).
  - dmaengine: imx-dma: Cast of_device_get_match_data() with
    (uintptr_t) (bsc#1012628).
  - scripts/gdb: fix 'lx-dmesg' on 32 bits arch (bsc#1012628).
  - RDMA/rxe: Fix mw bind to allow any consumer key portion
    (bsc#1012628).
  - mmc: core: quirks: Add of_node_put() when breaking out of loop
    (bsc#1012628).
  - mmc: cavium-octeon: Add of_node_put() when breaking out of loop
    (bsc#1012628).
  - mmc: cavium-thunderx: Add of_node_put() when breaking out of
    loop (bsc#1012628).
  - HID: alps: Declare U1_UNICORN_LEGACY support (bsc#1012628).
  - RDMA/rxe: For invalidate compare according to set keys in mr
    (bsc#1012628).
  - RDMA/rxe: Fix rnr retry behavior (bsc#1012628).
  - PCI: tegra194: Fix Root Port interrupt handling (bsc#1012628).
  - PCI: tegra194: Fix link up retry sequence (bsc#1012628).
  - HID: amd_sfh: Handle condition of "no sensors" (bsc#1012628).
  - USB: serial: fix tty-port initialized comments (bsc#1012628).
  - usb: xhci_plat_remove: avoid NULL dereference (bsc#1012628).
  - usb: cdns3: change place of 'priv_ep' assignment in
    cdns3_gadget_ep_dequeue(), cdns3_gadget_ep_enable()
    (bsc#1012628).
  - mtd: spi-nor: fix spi_nor_spimem_setup_op() call in
    spi_nor_erase_{sector,chip}() (bsc#1012628).
  - staging: fbtft: core: set smem_len before fb_deferred_io_init
    call (bsc#1012628).
  - KVM: nVMX: Set UMIP bit CR4_FIXED1 MSR when emulating UMIP
    (bsc#1012628).
  - tools/power/x86/intel-speed-select: Fix off by one check
    (bsc#1012628).
  - platform/x86: pmc_atom: Match all Lex BayTrail boards with
    critclk_systems DMI table (bsc#1012628).
  - platform/mellanox: mlxreg-lc: Fix error flow and extend
    verbosity (bsc#1012628).
  - platform/olpc: Fix uninitialized data in debugfs write
    (bsc#1012628).
  - RDMA/srpt: Duplicate port name members (bsc#1012628).
  - RDMA/srpt: Introduce a reference count in struct srpt_device
    (bsc#1012628).
  - RDMA/srpt: Fix a use-after-free (bsc#1012628).
  - android: binder: stop saving a pointer to the VMA (bsc#1012628).
  - mm/mmap.c: fix missing call to vm_unacct_memory in mmap_region
    (bsc#1012628).
  - selftests/vm: fix errno handling in mrelease_test (bsc#1012628).
  - tools/testing/selftests/vm/hugetlb-madvise.c: silence
    uninitialized variable warning (bsc#1012628).
  - selftest/vm: uninitialized variable in main() (bsc#1012628).
  - rtla: Fix Makefile when called from -C tools/ (bsc#1012628).
  - rtla: Fix double free (bsc#1012628).
  - virtio: replace restricted mem access flag with callback
    (bsc#1012628).
  - xen: don't require virtio with grants for non-PV guests
    (bsc#1012628).
  - selftests: kvm: set rax before vmcall (bsc#1012628).
  - of/fdt: declared return type does not match actual return type
    (bsc#1012628).
  - RDMA/mlx5: Add missing check for return value in get namespace
    flow (bsc#1012628).
  - RDMA/rxe: Fix error unwind in rxe_create_qp() (bsc#1012628).
  - block/rnbd-srv: Set keep_id to true after mutex_trylock
    (bsc#1012628).
  - null_blk: fix ida error handling in null_add_dev()
    (bsc#1012628).
  - nbd: add missing definition of pr_fmt (bsc#1012628).
  - mtip32xx: fix device removal (bsc#1012628).
  - nvme: use command_id instead of req->tag in
    trace_nvme_complete_rq() (bsc#1012628).
  - nvme: define compat_ioctl again to unbreak 32-bit userspace
    (bsc#1012628).
  - nvme: catch -ENODEV from nvme_revalidate_zones again
    (bsc#1012628).
  - block/bio: remove duplicate append pages code (bsc#1012628).
  - block: ensure iov_iter advances for added pages (bsc#1012628).
  - jbd2: fix outstanding credits assert in
    jbd2_journal_commit_transaction() (bsc#1012628).
  - ext4: recover csum seed of tmp_inode after migrating to extents
    (bsc#1012628).
  - jbd2: fix assertion 'jh->b_frozen_data == NULL' failure when
    journal aborted (bsc#1012628).
  - usb: cdns3: Don't use priv_dev uninitialized in
    cdns3_gadget_ep_enable() (bsc#1012628).
  - opp: Fix error check in dev_pm_opp_attach_genpd() (bsc#1012628).
  - ASoC: cros_ec_codec: Fix refcount leak in
    cros_ec_codec_platform_probe (bsc#1012628).
  - ASoC: samsung: Fix error handling in aries_audio_probe
    (bsc#1012628).
  - ASoC: imx-audmux: Silence a clang warning (bsc#1012628).
  - ASoC: mediatek: mt8173: Fix refcount leak in
    mt8173_rt5650_rt5676_dev_probe (bsc#1012628).
  - ASoC: max98390: use linux/gpio/consumer.h to fix build
    (bsc#1012628).
  - ASoC: mt6797-mt6351: Fix refcount leak in
    mt6797_mt6351_dev_probe (bsc#1012628).
  - ASoC: codecs: da7210: add check for i2c_add_driver
    (bsc#1012628).
  - ASoC: mediatek: mt8173-rt5650: Fix refcount leak in
    mt8173_rt5650_dev_probe (bsc#1012628).
  - serial: pic32: fix missing clk_disable_unprepare() on error
    in pic32_uart_startup() (bsc#1012628).
  - serial: 8250: Create serial_lsr_in() (bsc#1012628).
  - serial: 8250: Get preserved flags using serial_lsr_in()
    (bsc#1012628).
  - serial: 8250_dw: Use serial_lsr_in() in dw8250_handle_irq()
    (bsc#1012628).
  - serial: 8250_dw: Store LSR into lsr_saved_flags in
    dw8250_tx_wait_empty() (bsc#1012628).
  - ASoC: SOF: make ctx_store and ctx_restore as optional
    (bsc#1012628).
  - ASoC: codecs: msm8916-wcd-digital: move gains from SX_TLV to
    S8_TLV (bsc#1012628).
  - ASoC: codecs: wcd9335: move gains from SX_TLV to S8_TLV
    (bsc#1012628).
  - ASoC: cs35l45: Add endianness flag in snd_soc_component_driver
    (bsc#1012628).
  - rpmsg: char: Add mutex protection for rpmsg_eptdev_open()
    (bsc#1012628).
  - rpmsg: mtk_rpmsg: Fix circular locking dependency (bsc#1012628).
  - remoteproc: k3-r5: Fix refcount leak in k3_r5_cluster_of_init
    (bsc#1012628).
  - selftests/livepatch: better synchronize test_klp_callbacks_busy
    (bsc#1012628).
  - profiling: fix shift too large makes kernel panic (bsc#1012628).
  - remoteproc: imx_rproc: Fix refcount leak in imx_rproc_addr_init
    (bsc#1012628).
  - KVM: PPC: Book3s: Fix warning about xics_rm_h_xirr_x
    (bsc#1012628).
  - rpmsg: Fix possible refcount leak in
    rpmsg_register_device_override() (bsc#1012628).
  - selftests/powerpc: Skip energy_scale_info test on older firmware
    (bsc#1012628).
  - ASoC: samsung: h1940_uda1380: include proepr GPIO consumer
    header (bsc#1012628).
  - powerpc/perf: Optimize clearing the pending PMI and remove
    WARN_ON for PMI check in power_pmu_disable (bsc#1012628).
  - ASoC: soc-core.c: fixup snd_soc_of_get_dai_link_cpus()
    (bsc#1012628).
  - ASoC: samsung: change gpiod_speaker_power and rx1950_audio
    from global to static variables (bsc#1012628).
  - serial: 8250_dw: Take port lock while accessing LSR
    (bsc#1012628).
  - ASoC: codecs: wsa881x: handle timeouts in resume path
    (bsc#1012628).
  - vfio/mlx5: Protect mlx5vf_disable_fds() upon close device
    (bsc#1012628).
  - vfio: Split migration ops from main device ops (bsc#1012628).
  - net/ice: fix initializing the bitmap in the switch code
    (bsc#1012628).
  - tty: n_gsm: fix user open not possible at responder until
    initiator open (bsc#1012628).
  - tty: n_gsm: fix tty registration before control channel open
    (bsc#1012628).
  - tty: n_gsm: fix wrong queuing behavior in gsm_dlci_data_output()
    (bsc#1012628).
  - tty: n_gsm: fix missing timer to handle stalled links
    (bsc#1012628).
  - tty: n_gsm: fix non flow control frames during mux flow off
    (bsc#1012628).
  - tty: n_gsm: fix packet re-transmission without open control
    channel (bsc#1012628).
  - tty: n_gsm: fix race condition in gsmld_write() (bsc#1012628).
  - tty: n_gsm: fix deadlock and link starvation in outgoing data
    path (bsc#1012628).
  - tty: n_gsm: fix resource allocation order in gsm_activate_mux()
    (bsc#1012628).
  - ASoC: qcom: Fix missing of_node_put() in
    asoc_qcom_lpass_cpu_platform_probe() (bsc#1012628).
  - MIPS: Loongson64: Fix section mismatch warning (bsc#1012628).
  - ASoC: imx-card: Fix DSD/PDM mclk frequency (bsc#1012628).
  - remoteproc: qcom: wcnss: Fix handling of IRQs (bsc#1012628).
  - vfio/ccw: Remove UUID from s390 debug log (bsc#1012628).
  - vfio/ccw: Fix FSM state if mdev probe fails (bsc#1012628).
  - vfio/ccw: Do not change FSM state in subchannel event
    (bsc#1012628).
  - ASoC: audio-graph-card2.c: use of_property_read_u32() for rate
    (bsc#1012628).
  - serial: 8250_fsl: Don't report FE, PE and OE twice
    (bsc#1012628).
  - tty: n_gsm: fix wrong T1 retry count handling (bsc#1012628).
  - tty: n_gsm: fix DM command (bsc#1012628).
  - tty: n_gsm: fix flow control handling in tx path (bsc#1012628).
  - tty: n_gsm: fix missing corner cases in gsmld_poll()
    (bsc#1012628).
  - MIPS: vdso: Utilize __pa() for gic_pfn (bsc#1012628).
  - ASoC: SOF: mediatek: fix mt8195 StatvectorSel wrong setting
    (bsc#1012628).
  - swiotlb: fail map correctly with failed io_tlb_default_mem
    (bsc#1012628).
  - lib/bitmap: fix off-by-one in bitmap_to_arr64() (bsc#1012628).
  - ASoC: SOF: ipc3-topology: Prevent double freeing of
    ipc_control_data via load_bytes (bsc#1012628).
  - cpufreq: mediatek: fix error return code in
    mtk_cpu_dvfs_info_init() (bsc#1012628).
  - ASoc: audio-graph-card2: Fix refcount leak bug in
    __graph_get_type() (bsc#1012628).
  - ASoC: mt6359: Fix refcount leak bug (bsc#1012628).
  - ASoC: SOF: ipc-msg-injector: fix copy in
    sof_msg_inject_ipc4_dfs_write() (bsc#1012628).
  - serial: 8250_bcm7271: Save/restore RTS in suspend/resume
    (bsc#1012628).
  - iommu/exynos: Handle failed IOMMU device registration properly
    (bsc#1012628).
  - 9p: Drop kref usage (bsc#1012628).
  - 9p: Add client parameter to p9_req_put() (bsc#1012628).
  - net: 9p: fix refcount leak in p9_read_work() error handling
    (bsc#1012628).
  - MIPS: Fixed __debug_virt_addr_valid() (bsc#1012628).
  - rpmsg: qcom_smd: Fix refcount leak in qcom_smd_parse_edge
    (bsc#1012628).
  - leds: pwm-multicolor: Don't show -EPROBE_DEFER as errors
    (bsc#1012628).
  - kfifo: fix kfifo_to_user() return type (bsc#1012628).
  - lib/smp_processor_id: fix imbalanced instrumentation_end()
    call (bsc#1012628).
  - proc: fix a dentry lock race between release_task and lookup
    (bsc#1012628).
  - remoteproc: qcom: pas: Check if coredump is enabled
    (bsc#1012628).
  - remoteproc: sysmon: Wait for SSCTL service to come up
    (bsc#1012628).
  - mfd: t7l66xb: Drop platform disable callback (bsc#1012628).
  - mfd: max77620: Fix refcount leak in max77620_initialise_fps
    (bsc#1012628).
  - ASoC: amd: yc: Decrease level of error message (bsc#1012628).
  - iommu/arm-smmu: qcom_iommu: Add of_node_put() when breaking
    out of loop (bsc#1012628).
  - perf tools: Fix dso_id inode generation comparison
    (bsc#1012628).
  - riscv: spinwait: Fix hartid variable type (bsc#1012628).
  - s390/crash: fix incorrect number of bytes to copy to user space
    (bsc#1012628).
  - s390/zcore: fix race when reading from hardware system area
    (bsc#1012628).
  - perf test: Fix test case 83 ('perf stat CSV output linter')
    on s390 (bsc#1012628).
  - ASoC: fsl_asrc: force cast the asrc_format type (bsc#1012628).
  - ASoC: fsl-asoc-card: force cast the asrc_format type
    (bsc#1012628).
  - ASoC: fsl_easrc: use snd_pcm_format_t type for sample_format
    (bsc#1012628).
  - ASoC: imx-card: use snd_pcm_format_t type for asrc_format
    (bsc#1012628).
  - ASoC: qcom: q6dsp: Fix an off-by-one in q6adm_alloc_copp()
    (bsc#1012628).
  - fuse: Remove the control interface for virtio-fs (bsc#1012628).
  - ASoC: audio-graph-card: Add of_node_put() in fail path
    (bsc#1012628).
  - ASoC: audio-graph-card2: Add of_node_put() in fail path
    (bsc#1012628).
  - watchdog: f71808e_wdt: Add check for platform_driver_register
    (bsc#1012628).
  - watchdog: sp5100_tco: Fix a memory leak of EFCH MMIO resource
    (bsc#1012628).
  - watchdog: armada_37xx_wdt: check the return value of
    devm_ioremap() in armada_37xx_wdt_probe() (bsc#1012628).
  - ASoC: Intel: sof_rt5682: Perform quirk check first in card
    late probe (bsc#1012628).
  - video: fbdev: amba-clcd: Fix refcount leak bugs (bsc#1012628).
  - video: fbdev: sis: fix typos in SiS_GetModeID() (bsc#1012628).
  - ASoC: mchp-spdifrx: disable end of block interrupt on failures
    (bsc#1012628).
  - powerpc/32: Call mmu_mark_initmem_nx() regardless of data
    block mapping (bsc#1012628).
  - powerpc/32s: Fix boot failure with KASAN + SMP +
    JUMP_LABEL_FEATURE_CHECK_DEBUG (bsc#1012628).
  - powerpc/32: Do not allow selection of e5500 or e6500 CPUs on
    PPC32 (bsc#1012628).
  - video: fbdev: offb: Include missing linux/platform_device.h
    (bsc#1012628).
  - pseries/iommu/ddw: Fix kdump to work in absence of
    ibm,dma-window (bsc#1012628).
  - powerpc/iommu: Fix iommu_table_in_use for a small default DMA
    window case (bsc#1012628).
  - powerpc/pci: Prefer PCI domain assignment via DT
    'linux,pci-domain' and alias (bsc#1012628).
  - selftests/powerpc: Fix matrix multiply assist test
    (bsc#1012628).
  - serial: 8250_bcm2835aux: Add missing clk_disable_unprepare()
    (bsc#1012628).
  - tty: serial: qcom-geni-serial: Fix get_clk_div_rate() which
    otherwise could return a sub-optimal clock rate (bsc#1012628).
  - tty: serial: fsl_lpuart: correct the count of break characters
    (bsc#1012628).
  - s390/smp: enforce lowcore protection on CPU restart
    (bsc#1012628).
  - perf stat: Revert "perf stat: Add default hybrid events"
    (bsc#1012628).
  - f2fs: fix to invalidate META_MAPPING before DIO write
    (bsc#1012628).
  - f2fs: fix to check inline_data during compressed inode
    conversion (bsc#1012628).
  - f2fs: fix to remove F2FS_COMPR_FL and tag F2FS_NOCOMP_FL at
    the same time (bsc#1012628).
  - cifs: Fix memory leak when using fscache (bsc#1012628).
  - powerpc/spufs: Fix refcount leak in spufs_init_isolated_loader
    (bsc#1012628).
  - powerpc/xive: Fix refcount leak in xive_get_max_prio
    (bsc#1012628).
  - powerpc/cell/axon_msi: Fix refcount leak in
    setup_msi_msg_address (bsc#1012628).
  - perf symbol: Fail to read phdr workaround (bsc#1012628).
  - kprobes: Forbid probing on trampoline and BPF code areas
    (bsc#1012628).
  - x86/bus_lock: Don't assume the init value of
    DEBUGCTLMSR.BUS_LOCK_DETECT to be zero (bsc#1012628).
  - powerpc/pci: Fix PHB numbering when using opal-phbid
    (bsc#1012628).
  - genelf: Use HAVE_LIBCRYPTO_SUPPORT, not the never defined
    HAVE_LIBCRYPTO (bsc#1012628).
  - scripts/faddr2line: Fix vmlinux detection on arm64
    (bsc#1012628).
  - tty: serial: qcom-geni-serial: Fix %lu -> %u in print statements
    (bsc#1012628).
  - powerpc/64e: Fix kexec build error (bsc#1012628).
  - sched, cpuset: Fix dl_cpu_busy() panic due to empty
    cs->cpus_allowed (bsc#1012628).
  - x86/numa: Use cpumask_available instead of hardcoded NULL check
    (bsc#1012628).
  - video: fbdev: arkfb: Fix a divide-by-zero bug in
    ark_set_pixclock() (bsc#1012628).
  - tools/thermal: Fix possible path truncations (bsc#1012628).
  - sched: Fix the check of nr_running at queue wakelist
    (bsc#1012628).
  - sched: Remove the limitation of WF_ON_CPU on wakelist if wakee
    cpu is idle (bsc#1012628).
  - sched/core: Do not requeue task on CPU excluded from cpus_mask
    (bsc#1012628).
  - x86/entry: Build thunk_$(BITS) only if CONFIG_PREEMPTION=y
    (bsc#1012628).
  - f2fs: do not allow to decompress files have FI_COMPRESS_RELEASED
    (bsc#1012628).
  - video: fbdev: vt8623fb: Check the size of screen before
    memset_io() (bsc#1012628).
  - video: fbdev: arkfb: Check the size of screen before memset_io()
    (bsc#1012628).
  - video: fbdev: s3fb: Check the size of screen before memset_io()
    (bsc#1012628).
  - scsi: ufs: core: Correct ufshcd_shutdown() flow (bsc#1012628).
  - scsi: zfcp: Fix missing auto port scan and thus missing target
    ports (bsc#1012628).
  - scsi: qla2xxx: Fix imbalance vha->vref_count (bsc#1012628).
  - scsi: qla2xxx: Fix discovery issues in FC-AL topology
    (bsc#1012628).
  - scsi: qla2xxx: Turn off multi-queue for 8G adapters
    (bsc#1012628).
  - scsi: qla2xxx: Fix crash due to stale SRB access around I/O
    timeouts (bsc#1012628).
  - scsi: qla2xxx: Fix excessive I/O error messages by default
    (bsc#1012628).
  - scsi: qla2xxx: Fix erroneous mailbox timeout after PCI error
    injection (bsc#1012628).
  - scsi: qla2xxx: Wind down adapter after PCIe error (bsc#1012628).
  - scsi: qla2xxx: Fix losing FCP-2 targets on long port disable
    with I/Os (bsc#1012628).
  - scsi: qla2xxx: Fix losing target when it reappears during delete
    (bsc#1012628).
  - scsi: qla2xxx: Fix losing FCP-2 targets during port perturbation
    tests (bsc#1012628).
  - cifs: fix lock length calculation (bsc#1012628).
  - x86/bugs: Enable STIBP for IBPB mitigated RETBleed
    (bsc#1012628).
  - ftrace/x86: Add back ftrace_expected assignment (bsc#1012628).
  - x86/kprobes: Update kcb status flag after singlestepping
    (bsc#1012628).
  - x86/olpc: fix 'logical not is only applied to the left hand
    side' (bsc#1012628).
  - SMB3: fix lease break timeout when multiple deferred close
    handles for the same file (bsc#1012628).
  - posix-cpu-timers: Cleanup CPU timers before freeing them during
    exec (bsc#1012628).
  - Input: gscps2 - check return value of ioremap() in
    gscps2_probe() (bsc#1012628).
  - __follow_mount_rcu(): verify that mount_lock remains unchanged
    (bsc#1012628).
  - spmi: trace: fix stack-out-of-bound access in SPMI tracing
    functions (bsc#1012628).
  - csky: abiv1: Fixup compile error (bsc#1012628).
  - drivers/base: fix userspace break from using bin_attributes
    for cpumap and cpulist (bsc#1012628).
  - drm/mediatek: Keep dsi as LP00 before dcs cmds transfer
    (bsc#1012628).
  - crypto: blake2s - remove shash module (bsc#1012628).
  - firmware: arm_scpi: Ensure scpi_info is not assigned if the
    probe fails (bsc#1012628).
  - intel_th: pci: Add Meteor Lake-P support (bsc#1012628).
  - intel_th: pci: Add Raptor Lake-S PCH support (bsc#1012628).
  - intel_th: pci: Add Raptor Lake-S CPU support (bsc#1012628).
  - KVM: set_msr_mce: Permit guests to ignore single-bit ECC errors
    (bsc#1012628).
  - KVM: x86: Signal #GP, not -EPERM, on bad WRMSR(MCi_CTL/STATUS)
    (bsc#1012628).
  - iommu/vt-d: avoid invalid memory access via
    node_online(NUMA_NO_NODE) (bsc#1012628).
  - PCI/AER: Iterate over error counters instead of error strings
    (bsc#1012628).
  - PCI: qcom: Power on PHY before IPQ8074 DBI register accesses
    (bsc#1012628).
  - dm writecache: set a default MAX_WRITEBACK_JOBS (bsc#1012628).
  - kexec_file: drop weak attribute from functions (bsc#1012628).
  - kexec: clean up arch_kexec_kernel_verify_sig (bsc#1012628).
  - kexec, KEYS, s390: Make use of built-in and secondary keyring
    for signature verification (bsc#1012628).
  - tracing/events: Add __vstring() and __assign_vstr() helper
    macros (bsc#1012628).
  - dm thin: fix use-after-free crash in
    dm_sm_register_threshold_callback (bsc#1012628).
  - net/9p: Initialize the iounit field during fid creation
    (bsc#1012628).
  - ARM: Marvell: Update PCIe fixup (bsc#1012628).
  - timekeeping: contribute wall clock to rng on time change
    (bsc#1012628).
  - locking/csd_lock: Change csdlock_debug from early_param to
    __setup (bsc#1012628).
  - block: don't allow the same type rq_qos add more than once
    (bsc#1012628).
  - btrfs: tree-log: make the return value for log syncing
    consistent (bsc#1012628).
  - btrfs: ensure pages are unlocked on cow_file_range() failure
    (bsc#1012628).
  - btrfs: fix error handling of fallback uncompress write
    (bsc#1012628).
  - btrfs: reset block group chunk force if we have to wait
    (bsc#1012628).
  - btrfs: properly flag filesystem with
    BTRFS_FEATURE_INCOMPAT_BIG_METADATA (bsc#1012628).
  - block: add bdev_max_segments() helper (bsc#1012628).
  - btrfs: zoned: revive max_zone_append_bytes (bsc#1012628).
  - btrfs: replace BTRFS_MAX_EXTENT_SIZE with
    fs_info->max_extent_size (bsc#1012628).
  - btrfs: convert count_max_extents() to use
    fs_info->max_extent_size (bsc#1012628).
  - btrfs: let can_allocate_chunk return error (bsc#1012628).
  - btrfs: zoned: finish least available block group on data bg
    allocation (bsc#1012628).
  - btrfs: zoned: disable metadata overcommit for zoned
    (bsc#1012628).
  - btrfs: store chunk size in space-info struct (bsc#1012628).
  - btrfs: zoned: introduce space_info->active_total_bytes
    (bsc#1012628).
  - btrfs: zoned: activate metadata block group on flush_space
    (bsc#1012628).
  - btrfs: zoned: activate necessary block group (bsc#1012628).
  - btrfs: zoned: write out partially allocated region
    (bsc#1012628).
  - btrfs: zoned: wait until zone is finished when allocation
    didn't progress (bsc#1012628).
  - btrfs: join running log transaction when logging new name
    (bsc#1012628).
  - intel_idle: make SPR C1 and C1E be independent (bsc#1012628).
  - ACPI: CPPC: Do not prevent CPPC from working in the future
    (bsc#1012628).
  - powerpc/powernv/kvm: Use darn for H_RANDOM on Power9
    (bsc#1012628).
  - s390/unwind: fix fgraph return address recovery (bsc#1012628).
  - KVM: x86/pmu: Introduce the ctrl_mask value for fixed counter
    (bsc#1012628).
  - KVM: VMX: Mark all PERF_GLOBAL_(OVF)_CTRL bits reserved if
    there's no vPMU (bsc#1012628).
  - KVM: x86/pmu: Ignore pmu->global_ctrl check if vPMU doesn't
    support global_ctrl (bsc#1012628).
  - KVM: x86/pmu: Accept 0 for absent PMU MSRs when host-initiated
    if !enable_pmu (bsc#1012628).
  - Revert "KVM: x86/pmu: Accept 0 for absent PMU MSRs when
    host-initiated if !enable_pmu" (bsc#1012628).
  - KVM: VMX: Add helper to check if the guest PMU has
    PERF_GLOBAL_CTRL (bsc#1012628).
  - KVM: nVMX: Attempt to load PERF_GLOBAL_CTRL on nVMX xfer iff
    it exists (bsc#1012628).
  - dm raid: fix address sanitizer warning in raid_status
    (bsc#1012628).
  - dm raid: fix address sanitizer warning in raid_resume
    (bsc#1012628).
  - dm: fix dm-raid crash if md_handle_request() splits bio
    (bsc#1012628).
  - mm/damon/reclaim: fix potential memory leak in
    damon_reclaim_init() (bsc#1012628).
  - hugetlb_cgroup: fix wrong hugetlb cgroup numa stat
    (bsc#1012628).
  - batman-adv: tracing: Use the new __vstring() helper
    (bsc#1012628).
  - tracing: Use a struct alignof to determine trace event field
    alignment (bsc#1012628).
  - ext4: fix reading leftover inlined symlinks (bsc#1012628).
  - ext4: update s_overhead_clusters in the superblock during an
    on-line resize (bsc#1012628).
  - ext4: fix extent status tree race in writeback error recovery
    path (bsc#1012628).
  - ext4: add EXT4_INODE_HAS_XATTR_SPACE macro in xattr.h
    (bsc#1012628).
  - ext4: fix use-after-free in ext4_xattr_set_entry (bsc#1012628).
  - ext4: correct max_inline_xattr_value_size computing
    (bsc#1012628).
  - ext4: correct the misjudgment in ext4_iget_extra_inode
    (bsc#1012628).
  - ext4: fix warning in ext4_iomap_begin as race between bmap
    and write (bsc#1012628).
  - Documentation: ext4: fix cell spacing of table heading on
    blockmap table (bsc#1012628).
  - ext4: check if directory block is within i_size (bsc#1012628).
  - ext4: make sure ext4_append() always allocates new block
    (bsc#1012628).
  - ext4: remove EA inode entry from mbcache on inode eviction
    (bsc#1012628).
  - ext4: unindent codeblock in ext4_xattr_block_set()
    (bsc#1012628).
  - ext4: fix race when reusing xattr blocks (bsc#1012628).
  - KEYS: asymmetric: enforce SM2 signature use pkey algo
    (bsc#1012628).
  - tpm: eventlog: Fix section mismatch for DEBUG_SECTION_MISMATCH
    (bsc#1012628).
  - tpm: Add check for Failure mode for TPM2 modules (bsc#1012628).
  - xen-blkback: fix persistent grants negotiation (bsc#1012628).
  - xen-blkback: Apply 'feature_persistent' parameter when connect
    (bsc#1012628).
  - xen-blkfront: Apply 'feature_persistent' parameter when connect
    (bsc#1012628).
  - powerpc: Fix eh field when calling lwarx on PPC32 (bsc#1012628).
  - powerpc64/ftrace: Fix ftrace for clang builds (bsc#1012628).
  - net_sched: cls_route: remove from list when handle is 0
    (bsc#1012628).
  - Revert "drm/bridge: anx7625: Use DPI bus type" (bsc#1012628).
  - tcp: fix over estimation in sk_forced_mem_schedule()
    (bsc#1012628).
  - crypto: lib/blake2s - reduce stack frame usage in self test
    (bsc#1012628).
  - raw: remove unused variables from raw6_icmp_error()
    (bsc#1012628).
  - raw: fix a typo in raw_icmp_error() (bsc#1012628).
  - Revert "mwifiex: fix sleep in atomic context bugs caused by
    dev_coredumpv" (bsc#1012628).
  - Revert "devcoredump: remove the useless gfp_t parameter in
    dev_coredumpv and dev_coredumpm" (bsc#1012628).
  - mptcp: refine memory scheduling (bsc#1012628).
  - wifi: cfg80211: handle IBSS in channel switch (bsc#1012628).
  - wifi: nl80211: hold wdev mutex for tid config (bsc#1012628).
  - wifi: nl80211: relax wdev mutex check in wdev_chandef()
    (bsc#1012628).
  - wifi: nl80211: acquire wdev mutex earlier in start_ap
    (bsc#1012628).
  - wifi: cfg80211: remove chandef check in cfg80211_cac_event()
    (bsc#1012628).
  - tracing: Use a copy of the va_list for __assign_vstr()
    (bsc#1012628).
  - net: dsa: felix: fix min gate len calculation for tc when its
    first gate is closed (bsc#1012628).
  - Revert "s390/smp: enforce lowcore protection on CPU restart"
    (bsc#1012628).
  - powerpc/kexec: Fix build failure from uninitialised variable
    (bsc#1012628).
  - io_uring: mem-account pbuf buckets (bsc#1012628).
  - Bluetooth: L2CAP: Fix l2cap_global_chan_by_psm regression
    (bsc#1012628).
  - ASoC: Intel: avs: Use lookup table to create modules
    (bsc#1012628).
  - geneve: Use ip_tunnel_key flow flags in route lookups
    (bsc#1012628).
  - vxlan: Use ip_tunnel_key flow flags in route lookups
    (bsc#1012628).
  - Update config files.
  - commit 6c252ef
* Tue Aug 16 2022 jslaby@suse.cz
  - rpm/kernel-binary.spec.in: move vdso to a separate package (bsc#1202385)
    We do the move only on 15.5+.
  - commit 9c7ade3
* Tue Aug 16 2022 jslaby@suse.cz
  - rpm/kernel-binary.spec.in: simplify find for usrmerged
    The type test and print line are the same for both cases. The usrmerged
    case only ignores more, so refactor it to make it more obvious.
  - commit 583c9be
* Tue Aug 16 2022 jslaby@suse.cz
  - x86: link vdso and boot with -z noexecstack
    - -no-warn-rwx-segments (binutils 2.39).
  - commit 4fdb301
* Tue Aug 16 2022 jslaby@suse.cz
  - Makefile: link with -z noexecstack --no-warn-rwx-segments
    (binutils-2.39).
  - commit 7c9d0cf
* Mon Aug 15 2022 dmueller@suse.com
  - config.conf: reenable armv7hl configs
  - Update config files for armv7hl (following x86_64 settings,
    compiling as module unless DEBUG or DEPRECATED)
  - commit 0329b6a
* Mon Aug 15 2022 jslaby@suse.cz
  - Refresh
    patches.rpmify/kbuild-dummy-tools-pretend-we-understand-__LONG_DOUB.patch.
    Update upstream status.
  - commit 8711731
* Mon Aug 15 2022 dmueller@suse.com
  - armv7hl: rebuilt as an overlay over default config
    generated automatically with scripts/config-diff
  - commit 1d75725
* Mon Aug 15 2022 dmueller@suse.com
  - armv6/v7: enable BT_VIRTIO
  - commit ba8dcca
* Mon Aug 15 2022 tiwai@suse.de
  - Refresh and re-apply i8042 quirk patch for ASUS ZenBook (bsc#1190256)
  - commit aeed1e4
* Mon Aug 15 2022 mkubecek@suse.cz
  - Update to 6.0-rc1
  - eliminate 4 patches (all mainline)
    - patches.suse/0001-drm-Always-warn-if-user-defined-modes-are-not-suppor.patch
    - patches.suse/0001-drm-client-Don-t-add-new-command-line-mode.patch
    - patches.suse/0001-drm-client-Look-for-command-line-modes-first.patch
    - patches.suse/ath9k-fix-use-after-free-in-ath9k_hif_usb_rx_cb.patch
  - disable
    - patches.suse/Input-i8042-Apply-probe-defer-to-more-ASUS-ZenBook-m.patch
  - refresh
    - patches.suse/add-suse-supported-flag.patch
    - patches.suse/add-product-identifying-information-to-vmcoreinfo.patch
    - patches.suse/vfs-add-super_operations-get_inode_dev
    - patches.suse/Revert-zram-remove-double-compression-logic.patch
  - disable ARM architectures (need config update)
  - new config options
    - General setup
    - CONTEXT_TRACKING_USER_FORCE=n
    - RCU_NOCB_CPU_DEFAULT_ALL=n
    - CGROUP_FAVOR_DYNMODS=n
    - Power management and ACPI options
    - PM_USERSPACE_AUTOSLEEP=n
    - Networking support
    - NF_FLOW_TABLE_PROCFS=y
    - NET_DSA_TAG_RZN1_A5PSW=m
    - File systems
    - DLM_DEPRECATED_API=n
    - Security options
    - SECURITY_APPARMOR_INTROSPECT_POLICY=y
    - SECURITY_APPARMOR_EXPORT_BINARY=y
    - SECURITY_APPARMOR_PARANOID_LOAD=y
    - IMA_KEXEC=n
    - Cryptographic API
    - CRYPTO_FIPS_NAME="Linux Kernel Cryptographic API"
    - CRYPTO_FIPS_CUSTOM_VERSION=n
    - CRYPTO_HCTR2=m
    - CRYPTO_POLYVAL_CLMUL_NI=m
    - CRYPTO_ARIA=m
    - Kernel hacking
    - SHRINKER_DEBUG=n
    - RV=n
    - PCI support
    - PCI_EPF_VNTB=m
    - Block devices
    - BLK_DEV_UBLK=m
    - NVME Support
    - NVME_AUTH=n
    - NVME_TARGET_AUTH=n
    - Network device support
    - NET_DSA_MICROCHIP_KSZ_SPI=m
    - NET_VENDOR_WANGXUN=y
    - TXGBE=m
    - CAN_NETLINK=y
    - CAN_CAN327=m
    - CAN_ESD_USB=m
    - Sound card support
    - SND_CTL_FAST_LOOKUP=y
    - SND_CTL_INPUT_VALIDATION=n
    - SND_CTL_DEBUG=n
    - SND_SOC_AMD_ST_ES8336_MACH=m
    - SND_AMD_ASOC_REMBRANDT=m
    - SND_SOC_AMD_RPL_ACP6x=m
    - SND_SOC_INTEL_AVS_MACH_DA7219=m
    - SND_SOC_INTEL_AVS_MACH_DMIC=m
    - SND_SOC_INTEL_AVS_MACH_HDAUDIO=m
    - SND_SOC_INTEL_AVS_MACH_I2S_TEST=m
    - SND_SOC_INTEL_AVS_MACH_MAX98357A=m
    - SND_SOC_INTEL_AVS_MACH_MAX98373=m
    - SND_SOC_INTEL_AVS_MACH_NAU8825=m
    - SND_SOC_INTEL_AVS_MACH_RT274=m
    - SND_SOC_INTEL_AVS_MACH_RT286=m
    - SND_SOC_INTEL_AVS_MACH_RT298=m
    - SND_SOC_INTEL_AVS_MACH_RT5682=m
    - SND_SOC_INTEL_AVS_MACH_SSM4567=m
    - SND_SOC_SOF_METEORLAKE=m
    - SND_SOC_TAS2780=n
    - SND_SOC_WSA883X=n
    - USB support
    - UCSI_STM32G0=m
    - TYPEC_ANX7411=m
    - Microsoft Surface Platform-Specific Device Drivers
    - SURFACE_AGGREGATOR_HUB=m
    - SURFACE_AGGREGATOR_TABLET_SWITCH=m
    - Industrial I/O support
    - ENVELOPE_DETECTOR=n
    - SD_ADC_MODULATOR=n
    - VF610_ADC=n
    - Misc devices
    - TCG_TIS_I2C=m
    - SPI_MICROCHIP_CORE=m
    - PINCTRL_METEORLAKE=m
    - SENSORS_LT7182S=m
    - VIDEO_AR0521=m
    - LEDS_IS31FL319X=m
    - INFINIBAND_ERDMA=m
    - XEN_VIRTIO_FORCE_GRANT=n
    - VIDEO_STKWEBCAM=n
    - PWM_CLK=m
    - RESET_TI_TPS380X=n
    - ANDROID_BINDER_IPC=n
    - FPGA_MGR_MICROCHIP_SPI=m
    - OF dependent (i386, ppc64/ppc64le, riscv64)
    - VCPU_STALL_DETECTOR=m
    - DRM_PANEL_EBBG_FT8719=n
    - DRM_TI_DLPC3433=n
    - DRM_LOGICVC=n
    - DRM_IMX_LCDIF=n
    - I2C_HID_OF_ELAN=m
    - USB_ONBOARD_HUB=m
    - RTC_DRV_NCT3018Y=m
    - ppc64(le), s390x and riscv64
    - SCSI_BUSLOGIC=m
    - SCSI_FLASHPOINT=n
    - ppc64le and riscv64
    - CRYPTO_DEV_QAT_DH895xCC=m
    - CRYPTO_DEV_QAT_C3XXX=m
    - CRYPTO_DEV_QAT_C62X=m
    - CRYPTO_DEV_QAT_4XXX=m
    - CRYPTO_DEV_QAT_DH895xCCVF=m
    - CRYPTO_DEV_QAT_C3XXXVF=m
    - CRYPTO_DEV_QAT_C62XVF=m
    - ppc64 / ppc64le
    - PSERIES_PLPKS=y
    - KVM_BOOK3S_HV_P9_TIMING=n
    - KVM_BOOK3S_HV_P8_TIMING=n
    - RANDOMIZE_KSTACK_OFFSET=y
    - RANDOMIZE_KSTACK_OFFSET_DEFAULT=y
    - PSERIES_WDT=m
    - s390x
    - VFIO_PCI_ZDEV_KVM=y
    - riscv64
    - ERRATA_THEAD_CMO=y
    - NONPORTABLE=n
    - RISCV_ISA_ZICBOM=y
    - RANDOM_TRUST_CPU=y
    - I2C_MICROCHIP_CORE=m
    - SND_SOC_HDA=m
    - USB_MUSB_POLARFIRE_SOC=m
    - RTC_DRV_POLARFIRE_SOC=m
  - commit c35dc38
* Fri Aug 12 2022 tiwai@suse.de
  - drm/amd/display: Removing assert statements for Linux
    (bsc#1202366).
  - drm/amd/display: Add SMU logging code (bsc#1202366).
  - commit 9b717b4
* Fri Aug 12 2022 tiwai@suse.de
  - Refresh patches.suse/iwlwifi-module-firmware-ucode-fix.patch.
    Now iwlwifi queries *-72.ucode, but again, this is non-existing version.
    Correct to the existing *-71.ucode
  - commit 58a95c5
* Thu Aug 11 2022 jslaby@suse.cz
  - Linux 5.19.1 (bsc#1012628).
  - x86/speculation: Add LFENCE to RSB fill sequence (bsc#1012628).
  - x86/speculation: Add RSB VM Exit protections (bsc#1012628).
  - macintosh/adb: fix oob read in do_adb_query() function
    (bsc#1012628).
  - Bluetooth: btusb: Add Realtek RTL8852C support ID 0x13D3:0x3586
    (bsc#1012628).
  - Bluetooth: btusb: Add Realtek RTL8852C support ID 0x13D3:0x3587
    (bsc#1012628).
  - Bluetooth: btusb: Add Realtek RTL8852C support ID 0x0CB8:0xC558
    (bsc#1012628).
  - Bluetooth: btusb: Add Realtek RTL8852C support ID 0x04C5:0x1675
    (bsc#1012628).
  - Bluetooth: btusb: Add Realtek RTL8852C support ID 0x04CA:0x4007
    (bsc#1012628).
  - Bluetooth: btusb: Add support of IMC Networks PID 0x3568
    (bsc#1012628).
  - dt-bindings: bluetooth: broadcom: Add BCM4349B1 DT binding
    (bsc#1012628).
  - Bluetooth: hci_bcm: Add DT compatible for CYW55572
    (bsc#1012628).
  - Bluetooth: hci_bcm: Add BCM4349B1 variant (bsc#1012628).
  - Bluetooth: hci_qca: Return wakeup for qca_wakeup (bsc#1012628).
  - arm64: set UXN on swapper page tables (bsc#1012628).
  - ata: sata_mv: Fixes expected number of resources now IRQs are
    gone (bsc#1012628).
  - crypto: arm64/poly1305 - fix a read out-of-bound (bsc#1012628).
  - ACPI: APEI: Better fix to avoid spamming the console with old
    error logs (bsc#1012628).
  - ACPI: video: Shortening quirk list by identifying Clevo by
    board_name only (bsc#1012628).
  - ACPI: video: Force backlight native for some TongFang devices
    (bsc#1012628).
  - tools/vm/slabinfo: Handle files in debugfs (bsc#1012628).
  - block: fix default IO priority handling again (bsc#1012628).
  - commit a5bf6c0
* Thu Aug 11 2022 jslaby@suse.cz
  - mm/mprotect: fix soft-dirty check in can_change_pte_writable()
    (bsc#1202013 CVE-2022-2590).
  - commit 46cb433
* Thu Aug 11 2022 jslaby@suse.cz
  - Refresh
    patches.suse/Revert-zram-remove-double-compression-logic.patch.
    Update upstream status.
  - commit e707d80
* Thu Aug 11 2022 jslaby@suse.cz
  - mm/gup: fix FOLL_FORCE COW security issue and remove FOLL_COW
    (bsc#1202013 CVE-2022-2590).
  - commit cbcf3e8
* Wed Aug 10 2022 jeffm@suse.com
  - config: Disable reiserfs kernel module (bsc#1202309).
    Future access of reiserfs file systems can be done by using the FUSE
    implementation of reiserfs that ships with GRUB.
    $ grub2-mount <dev> /path/to/mountpoint
  - commit db8891f
* Wed Aug 10 2022 jslaby@suse.cz
  - kbuild: dummy-tools: pretend we understand __LONG_DOUBLE_128__
    (ppc config fix).
  - Update config files.
    This sets PPC_LONG_DOUBLE_128 automatically and allows us to set
    DRM_AMD_SECURE_DISPLAY too. I set it to y to copy other architectures.
  - commit 48dfdff
* Tue Aug 09 2022 jslaby@suse.cz
  - Update config files -- set SECURITY_SELINUX_CHECKREQPROT_VALUE=0 (bsc#1202280)
  - commit 6a791bc
* Tue Aug 09 2022 jslaby@suse.cz
  - Revert "zram: remove double compression logic" (bsc#1202203).
  - commit 9739fe2
* Tue Aug 09 2022 tiwai@suse.de
  - mt76: mt7921e: fix crash in chip reset fail (bsc#1201845).
  - commit 6263241
* Tue Aug 09 2022 jslaby@suse.cz
  - tools bpftool: Don't display disassembler-four-args feature test
    (bsc#1202195).
  - tools bpftool: Fix compilation error with new binutils
    (bsc#1202195).
  - tools bpf_jit_disasm: Don't display disassembler-four-args
    feature test (bsc#1202195).
  - tools bpf_jit_disasm: Fix compilation error with new binutils
    (bsc#1202195).
  - tools perf: Fix compilation error with new binutils
    (bsc#1202195).
  - tools include: add dis-asm-compat.h to handle version
    differences (bsc#1202195).
  - tools build: Don't display disassembler-four-args feature test
    (bsc#1202195).
  - tools build: Add feature test for init_disassemble_info API
    changes (bsc#1202195).
  - commit fa8853d
* Tue Aug 09 2022 jslaby@suse.cz
  - series.conf: remove blank line from sorted section
    It causes troubles when adding multiple patches -- the current ones are
    duplicated then.
  - commit 309e362
* Sat Aug 06 2022 jslaby@suse.cz
  - Update
    patches.suse/Revert-Revert-tcp-change-pingpong-threshold-to-3.patch
    (bsc#1202188).
    Add a reference and refresh.
  - commit 5ea3c65
* Sat Aug 06 2022 jslaby@suse.cz
  - Revert "Revert "tcp: change pingpong threshold to 3"" (eventlet
    tests fix).
  - commit 8268096
* Fri Aug 05 2022 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream references and resort:
    - patches.suse/0001-drm-Always-warn-if-user-defined-modes-are-not-suppor.patch
    - patches.suse/0001-drm-client-Don-t-add-new-command-line-mode.patch
    - patches.suse/0001-drm-client-Look-for-command-line-modes-first.patch
  - update upstream references and move into sorted section:
    - patches.suse/ath9k-fix-use-after-free-in-ath9k_hif_usb_rx_cb.patch
  - commit 35466a9
* Wed Aug 03 2022 msuchanek@suse.de
  - Update config files (bsc#1184924).
    +RANDOM_TRUST_BOOTLOADER on arm
    This is set on all other platforms in Tumbleweed, and only on ARM in
    Leap. The ARM platform is unique in that it can have random source
    defined in EFI firmware as well as device tree, and we don't test this
    configuration in Factory because of the inverted config situation
    betwween Tumbleweed and Leap.
  - commit 1275841
* Tue Aug 02 2022 msuchanek@suse.de
  - Fix parsing of rpm/macros.kernel-source on SLE12 (bsc#1201019).
  - commit 9816878
* Sun Jul 31 2022 mkubecek@suse.cz
  - Update to 5.19 final
  - refresh configs
  - commit e9f89c9
* Sat Jul 30 2022 jslaby@suse.cz
  - Linux 5.18.15 (bsc#1012628).
  - watch-queue: remove spurious double semicolon (bsc#1012628).
  - ASoC: SOF: Intel: disable IMR boot when resuming from ACPI S4
    and S5 states (bsc#1012628).
  - ASoC: SOF: pm: add definitions for S4 and S5 states
    (bsc#1012628).
  - ASoC: SOF: pm: add explicit behavior for ACPI S1 and S2
    (bsc#1012628).
  - watchqueue: make sure to serialize 'wqueue->defunct' properly
    (bsc#1012628).
  - x86/alternative: Report missing return thunk details
    (bsc#1012628).
  - x86/amd: Use IBPB for firmware calls (bsc#1012628).
  - exfat: use updated exfat_chain directly during renaming
    (bsc#1012628).
  - exfat: fix referencing wrong parent directory information
    after renaming (bsc#1012628).
  - crypto: qat - re-enable registration of algorithms
    (bsc#1012628).
  - crypto: qat - add param check for DH (bsc#1012628).
  - crypto: qat - add param check for RSA (bsc#1012628).
  - crypto: qat - remove dma_free_coherent() for DH (bsc#1012628).
  - crypto: qat - remove dma_free_coherent() for RSA (bsc#1012628).
  - crypto: qat - fix memory leak in RSA (bsc#1012628).
  - crypto: qat - add backlog mechanism (bsc#1012628).
  - crypto: qat - refactor submission logic (bsc#1012628).
  - crypto: qat - use pre-allocated buffers in datapath
    (bsc#1012628).
  - crypto: qat - set to zero DH parameters before free
    (bsc#1012628).
  - dlm: fix pending remove if msg allocation fails (bsc#1012628).
  - clk: lan966x: Fix the lan966x clock gate register address
    (bsc#1012628).
  - x86/bugs: Warn when "ibrs" mitigation is selected on Enhanced
    IBRS parts (bsc#1012628).
  - perf/x86/intel/lbr: Fix unchecked MSR access error on HSW
    (bsc#1012628).
  - sched/deadline: Fix BUG_ON condition for deboosted tasks
    (bsc#1012628).
  - bpf: Make sure mac_header was set before using it (bsc#1012628).
  - mm/mempolicy: fix uninit-value in mpol_rebind_policy()
    (bsc#1012628).
  - KVM: Don't null dereference ops->destroy (bsc#1012628).
  - spi: bcm2835: bcm2835_spi_handle_err(): fix NULL pointer deref
    for non DMA transfers (bsc#1012628).
  - KVM: selftests: Fix target thread to be migrated in rseq_test
    (bsc#1012628).
  - gpio: gpio-xilinx: Fix integer overflow (bsc#1012628).
  - selftests: gpio: fix include path to kernel headers for out
    of tree builds (bsc#1012628).
  - net/sched: cls_api: Fix flow action initialization
    (bsc#1012628).
  - tcp: Fix data-races around sysctl_tcp_max_reordering
    (bsc#1012628).
  - tcp: Fix a data-race around sysctl_tcp_abort_on_overflow
    (bsc#1012628).
  - tcp: Fix a data-race around sysctl_tcp_rfc1337 (bsc#1012628).
  - tcp: Fix a data-race around sysctl_tcp_stdurg (bsc#1012628).
  - tcp: Fix a data-race around sysctl_tcp_retrans_collapse
    (bsc#1012628).
  - tcp: Fix data-races around sysctl_tcp_slow_start_after_idle
    (bsc#1012628).
  - tcp: Fix a data-race around sysctl_tcp_thin_linear_timeouts
    (bsc#1012628).
  - tcp: Fix data-races around sysctl_tcp_recovery (bsc#1012628).
  - tcp: Fix a data-race around sysctl_tcp_early_retrans
    (bsc#1012628).
  - tcp: Fix data-races around sysctl knobs related to SYN option
    (bsc#1012628).
  - udp: Fix a data-race around sysctl_udp_l3mdev_accept
    (bsc#1012628).
  - ip: Fix data-races around sysctl_ip_prot_sock (bsc#1012628).
  - ipv4: Fix data-races around sysctl_fib_multipath_hash_fields
    (bsc#1012628).
  - ipv4: Fix data-races around sysctl_fib_multipath_hash_policy
    (bsc#1012628).
  - ipv4: Fix a data-race around sysctl_fib_multipath_use_neigh
    (bsc#1012628).
  - can: rcar_canfd: Add missing of_node_put() in rcar_canfd_probe()
    (bsc#1012628).
  - drm/imx/dcss: Add missing of_node_put() in fail path
    (bsc#1012628).
  - drm/panel-edp: Fix variable typo when saving hpd absent delay
    from DT (bsc#1012628).
  - amt: do not use amt->nr_tunnels outside of lock (bsc#1012628).
  - amt: drop unexpected multicast data (bsc#1012628).
  - amt: drop unexpected query message (bsc#1012628).
  - amt: drop unexpected advertisement message (bsc#1012628).
  - amt: add missing regeneration nonce logic in request logic
    (bsc#1012628).
  - amt: use READ_ONCE() in amt module (bsc#1012628).
  - amt: remove unnecessary locks (bsc#1012628).
  - amt: use workqueue for gateway side message handling
    (bsc#1012628).
  - net: dsa: vitesse-vsc73xx: silent spi_device_id warnings
    (bsc#1012628).
  - net: dsa: sja1105: silent spi_device_id warnings (bsc#1012628).
  - be2net: Fix buffer overflow in be_get_module_eeprom
    (bsc#1012628).
  - gpio: pca953x: use the correct register address when regcache
    sync during init (bsc#1012628).
  - gpio: pca953x: use the correct range when do regmap sync
    (bsc#1012628).
  - gpio: pca953x: only use single read/write for No AI mode
    (bsc#1012628).
  - net: stmmac: remove redunctant disable xPCS EEE call
    (bsc#1012628).
  - net: dsa: fix NULL pointer dereference in
    dsa_port_reset_vlan_filtering (bsc#1012628).
  - net: dsa: move reset of VLAN filtering to
    dsa_port_switchdev_unsync_attrs (bsc#1012628).
  - net: dsa: fix dsa_port_vlan_filtering when global (bsc#1012628).
  - ixgbe: Add locking to prevent panic when setting sriov_numvfs
    to zero (bsc#1012628).
  - i40e: Fix erroneous adapter reinitialization during recovery
    process (bsc#1012628).
  - net: lan966x: Fix usage of lan966x->mac_lock when used by FDB
    (bsc#1012628).
  - net: lan966x: Fix usage of lan966x->mac_lock inside
    lan966x_mac_irq_handler (bsc#1012628).
  - net: lan966x: Fix usage of lan966x->mac_lock when entry is
    removed (bsc#1012628).
  - net: lan966x: Fix usage of lan966x->mac_lock when entry is added
    (bsc#1012628).
  - net: lan966x: Fix taking rtnl_lock while holding spin_lock
    (bsc#1012628).
  - pinctrl: armada-37xx: make irq_lock a raw spinlock to avoid
    invalid wait context (bsc#1012628).
  - pinctrl: armada-37xx: Reuse GPIO fwnode in
    armada_37xx_irqchip_register() (bsc#1012628).
  - ACPI: CPPC: Don't require flexible address space if
    X86_FEATURE_CPPC is supported (bsc#1012628).
  - iavf: Fix missing state logs (bsc#1012628).
  - iavf: Fix handling of dummy receive descriptors (bsc#1012628).
  - iavf: Disallow changing rx/tx-frames and rx/tx-frames-irq
    (bsc#1012628).
  - iavf: Fix VLAN_V2 addition/rejection (bsc#1012628).
  - tcp: Fix data-races around sysctl_tcp_fastopen_blackhole_timeout
    (bsc#1012628).
  - tcp: Fix data-races around sysctl_tcp_fastopen (bsc#1012628).
  - tcp: Fix data-races around sysctl_max_syn_backlog (bsc#1012628).
  - tcp: Fix a data-race around sysctl_tcp_tw_reuse (bsc#1012628).
  - tcp: Fix a data-race around sysctl_tcp_notsent_lowat
    (bsc#1012628).
  - tcp: Fix data-races around some timeout sysctl knobs
    (bsc#1012628).
  - tcp: Fix data-races around sysctl_tcp_reordering (bsc#1012628).
  - tcp: Fix data-races around sysctl_tcp_migrate_req (bsc#1012628).
  - tcp: Fix data-races around sysctl_tcp_syncookies (bsc#1012628).
  - tcp: Fix data-races around sysctl_tcp_syn(ack)?_retries
    (bsc#1012628).
  - tcp: Fix data-races around keepalive sysctl knobs (bsc#1012628).
  - igmp: Fix data-races around sysctl_igmp_qrv (bsc#1012628).
  - igmp: Fix data-races around sysctl_igmp_max_msf (bsc#1012628).
  - igmp: Fix a data-race around sysctl_igmp_max_memberships
    (bsc#1012628).
  - igmp: Fix data-races around sysctl_igmp_llm_reports
    (bsc#1012628).
  - net: prestera: acl: use proper mask for port selector
    (bsc#1012628).
  - net/tls: Fix race in TLS device down flow (bsc#1012628).
  - net: stmmac: fix dma queue left shift overflow issue
    (bsc#1012628).
  - pinctrl: ocelot: Fix pincfg (bsc#1012628).
  - pinctrl: ocelot: Fix pincfg for lan966x (bsc#1012628).
  - perf tests: Fix Convert perf time to TSC test for hybrid
    (bsc#1012628).
  - perf tests: Stop Convert perf time to TSC test opening events
    twice (bsc#1012628).
  - i2c: cadence: Change large transfer count reset logic to be
    unconditional (bsc#1012628).
  - i2c: mlxcpld: Fix register setting for 400KHz frequency
    (bsc#1012628).
  - tcp/udp: Make early_demux back namespacified (bsc#1012628).
  - net: dsa: microchip: ksz_common: Fix refcount leak bug
    (bsc#1012628).
  - net: stmmac: fix unbalanced ptp clock issue in suspend/resume
    flow (bsc#1012628).
  - net: stmmac: fix pm runtime issue in stmmac_dvr_remove()
    (bsc#1012628).
  - stmmac: dwmac-mediatek: fix clock issue (bsc#1012628).
  - tcp: Fix a data-race around sysctl_tcp_probe_interval
    (bsc#1012628).
  - tcp: Fix a data-race around sysctl_tcp_probe_threshold
    (bsc#1012628).
  - tcp: Fix a data-race around sysctl_tcp_mtu_probe_floor
    (bsc#1012628).
  - tcp: Fix data-races around sysctl_tcp_min_snd_mss (bsc#1012628).
  - tcp: Fix data-races around sysctl_tcp_base_mss (bsc#1012628).
  - tcp: Fix data-races around sysctl_tcp_mtu_probing (bsc#1012628).
  - tcp: Fix data-races around sysctl_tcp_l3mdev_accept
    (bsc#1012628).
  - tcp: sk->sk_bound_dev_if once in inet_request_bound_dev_if()
    (bsc#1012628).
  - tcp/dccp: Fix a data-race around sysctl_tcp_fwmark_accept
    (bsc#1012628).
  - ip: Fix a data-race around sysctl_fwmark_reflect (bsc#1012628).
  - ip: Fix a data-race around sysctl_ip_autobind_reuse
    (bsc#1012628).
  - ip: Fix data-races around sysctl_ip_nonlocal_bind (bsc#1012628).
  - ip: Fix data-races around sysctl_ip_fwd_update_priority
    (bsc#1012628).
  - ip: Fix data-races around sysctl_ip_fwd_use_pmtu (bsc#1012628).
  - ip: Fix data-races around sysctl_ip_no_pmtu_disc (bsc#1012628).
  - igc: Reinstate IGC_REMOVED logic and implement it properly
    (bsc#1012628).
  - Revert "e1000e: Fix possible HW unit hang after an s0ix exit"
    (bsc#1012628).
  - e1000e: Enable GPT clock before sending message to CSME
    (bsc#1012628).
  - perf/core: Fix data race between perf_event_set_output()
    and perf_mmap_close() (bsc#1012628).
  - pinctrl: sunplus: Add check for kcalloc (bsc#1012628).
  - pinctrl: ralink: Check for null return of devm_kcalloc
    (bsc#1012628).
  - pinctrl: ralink: rename pinctrl-rt2880 to pinctrl-ralink
    (bsc#1012628).
  - pinctrl: ralink: rename MT7628(an) functions to MT76X8
    (bsc#1012628).
  - RDMA/irdma: Fix sleep from invalid context BUG (bsc#1012628).
  - RDMA/irdma: Do not advertise 1GB page size for x722
    (bsc#1012628).
  - power/reset: arm-versatile: Fix refcount leak in
    versatile_reboot_probe (bsc#1012628).
  - power: supply: ab8500_fg: add missing destroy_workqueue in
    ab8500_fg_probe (bsc#1012628).
  - xfrm: xfrm_policy: fix a possible double xfrm_pols_put()
    in xfrm_bundle_lookup() (bsc#1012628).
  - ip: Fix data-races around sysctl_ip_default_ttl (bsc#1012628).
  - r8152: fix a WOL issue (bsc#1012628).
  - PCI: hv: Fix interrupt mapping for multi-MSI (bsc#1012628).
  - PCI: hv: Reuse existing IRTE allocation in compose_msi_msg()
    (bsc#1012628).
  - PCI: hv: Fix hv_arch_irq_unmask() for multi-MSI (bsc#1012628).
  - PCI: hv: Fix multi-MSI to allow more than one MSI vector
    (bsc#1012628).
  - bus: mhi: host: pci_generic: add Telit FN990 (bsc#1012628).
  - bus: mhi: host: pci_generic: add Telit FN980 v1 hardware
    revision (bsc#1012628).
  - net: usb: ax88179_178a needs FLAG_SEND_ZLP (bsc#1012628).
  - drm/scheduler: Don't kill jobs in interrupt context
    (bsc#1012628).
  - drm/amd/display: Fix new dmub notification enabling in DM
    (bsc#1012628).
  - drm/ttm: fix locking in vmap/vunmap TTM GEM helpers
    (bsc#1012628).
  - mtd: rawnand: gpmi: Set WAIT_FOR_READY timeout based on
    program/erase times (bsc#1012628).
  - mmc: sdhci-omap: Fix a lockdep warning for PM runtime init
    (bsc#1012628).
  - lockdown: Fix kexec lockdown bypass with ima policy
    (bsc#1012628).
  - mlxsw: spectrum_router: Fix IPv4 nexthop gateway indication
    (bsc#1012628).
  - riscv: add as-options for modules with assembly compontents
    (bsc#1012628).
  - pinctrl: stm32: fix optional IRQ support to gpios (bsc#1012628).
  - pinctrl: armada-37xx: use raw spinlocks for regmap to avoid
    invalid wait context (bsc#1012628).
  - commit 0b7935a
* Tue Jul 26 2022 mbrugger@suse.com
  - armv7hl: Update config files. (bsc#1201857)
    Unify IWLWIFI debug options with other archs.
  - armv7hl: Update config files. (bsc#1201857)
    Enable PCI wifi chips
  - commit 0cc672e
* Mon Jul 25 2022 tzimmermann@suse.de
  - config: riscv64: Enable DRM stack for early-boot graphics (boo#1201833)
    Replace fbdev's generic drivers with DRM-based simpledrm. Enables the
    DRM graphics stack for early-boot graphics, recovery and unsupported
    chipsets.
  - commit b8947d7
* Mon Jul 25 2022 tzimmermann@suse.de
  - config: armv7hl: Enable DRM stack for early-boot graphics (boo#1193475)
    Replace fbdev's generic drivers with DRM-based simpledrm. Enables the
    DRM graphics stack for early-boot graphics, recovery and unsupported
    chipsets.
  - commit 374bc62
* Mon Jul 25 2022 tzimmermann@suse.de
  - config: armv6hl: Enable DRM stack for early-boot graphics (boo#1193475)
    Replace fbdev's generic drivers with DRM-based simpledrm. Enables the
    DRM graphics stack for early-boot graphics, recovery and unsupported
    chipsets.
  - commit 07f549a
* Mon Jul 25 2022 tzimmermann@suse.de
  - config: arm64: Enable DRM stack for early-boot graphics (boo#1193475)
    Replace fbdev's generic drivers with DRM-based simpledrm. Enables the
    DRM graphics stack for early-boot graphics, recovery and unsupported
    chipsets.
  - commit 146fbca
* Mon Jul 25 2022 mkubecek@suse.cz
  - Update to 5.19-rc8
  - update configs
    - PINCTRL_AMD=y (arm64 only, no longer allowed to be a module)
  - commit 96ba878
* Sun Jul 24 2022 mkubecek@suse.cz
  - config: update and enable armv6hl
    Config option values were taken from global 5.19 updates while armv6hl
    configs were disabled, arm64 updates in commit 14beb34d0af9 ("config:
    update and enable arm64") and armv7hl config updates in commit 36833cf30926
    ("config: update and enable armv7hl").
  - commit de516ba
* Sun Jul 24 2022 mkubecek@suse.cz
  - config: update and enable armv7hl
    The list below omits config options update globally while armv7hl configs
    were disabled and config options updated on arm64 for 5.19 in commit
    14beb34d0af9 ("config: update and enable arm64").
  - new config options
    - ARCH_BCMBCA=y
    - ARCH_HPE=y
    - ARCH_HPE_GXP=y
    - CPU_LITTLE_ENDIAN=y
    - ARM_ERRATA_764319=y
    - GVE=m
    - PINCTRL_IMXRT1170=y
    - GXP_WATCHDOG=m
    - MEDIA_CEC_RC=y
    - COMMON_CLK_EN7523=y
  - new config options in armv7hl/lpae
    - EDAC_SYNOPSYS=m
    - XILINX_INTC=y
  - commit 36833cf
* Sun Jul 24 2022 mkubecek@suse.cz
  - config: update and enable arm64
    The list below omits config options updated globally while arm64 configs
    were disabled.
  - new config options
    - ARM64_SME=y
    - CRYPTO_SM4_ARM64_CE_BLK=m
    - CRYPTO_SM4_ARM64_NEON_BLK=m
    - CAN_CTUCANFD_PLATFORM=m
    - QCOM_SSC_BLOCK_BUS=y
    - MTK_ADSP_IPC=m
    - MTD_NAND_ECC_MEDIATEK=m
    - NVME_APPLE=m
    - VMWARE_VMCI=m
    - SPI_MTK_SNFI=m
    - PINCTRL_IMXRT1170=m
    - PINCTRL_MT6795=y
    - PINCTRL_SC7280_LPASS_LPI=m
    - PINCTRL_SM8250_LPASS_LPI=m
    - ROCKCHIP_VOP=y
    - ROCKCHIP_VOP2=y
    - DRM_MSM_MDP4=y
    - DRM_MSM_MDP5=y
    - DRM_MSM_DPU=y
    - DRM_MSM_HDMI=y
    - DRM_PANEL_NEWVISION_NV3052C=m
    - DRM_FSL_LDB=m
    - DRM_LONTIUM_LT9211=m
    - DRM_DW_HDMI_GP_AUDIO=m
    - DRM_SSD130X_SPI=m
    - SND_SERIAL_GENERIC=m
    - SND_SOC_MT8195_MT6359=m
    - SND_SOC_SOF_MT8186=m
    - SND_SOC_TEGRA186_ASRC=m
    - LEDS_QCOM_LPG=m
    - TEGRA186_GPC_DMA=m
    - COMMON_CLK_MT8186=y
    - SC_GCC_8280XP=m
    - SC_LPASS_CORECC_7280=m
    - APPLE_RTKIT=m
    - APPLE_SART=m
    - PWM_XILINX=m
    - NVMEM_APPLE_EFUSES=m
    - INTERCONNECT_QCOM_SC8280XP=m
    - INTERCONNECT_QCOM_SDX65=m
    - HTE_TEGRA194=m
    - HTE_TEGRA194_TEST=n
    - TRUSTED_KEYS_CAAM=y
    - CRYPTO_DEV_FSL_CAAM_PRNG_API=y
    - FIPS_SIGNATURE_SELFTEST=n
    - PAGE_TABLE_CHECK=y
    - PAGE_TABLE_CHECK_ENFORCED=n
    - VMWARE_VMCI_VSOCKETS=m
  - commit 14beb34
* Sat Jul 23 2022 schwab@suse.de
  - riscv: enable CONFIG_STRICT_DEVMEM
  - new config options
    - CONFIG_EXCLUSIVE_SYSTEM_RAM=y
    - CONFIG_IO_STRICT_DEVMEM=y
  - commit 2477a0c
* Sat Jul 23 2022 schwab@suse.de
  - riscv: enable CONFIG_FTRACE
    - new config options
    - CONFIG_BPF_LSM=y
    - CONFIG_TASKS_RUDE_RCU=y
    - CONFIG_TRACEPOINTS=y
    - CONFIG_KPROBES_ON_FTRACE=y
    - CONFIG_UPROBES=y
    - CONFIG_BATMAN_ADV_TRACING=n
    - CONFIG_NET_DROP_MONITOR=m
    - CONFIG_ATH5K_TRACER=n
    - CONFIG_ATH6KL_TRACING=n
    - CONFIG_WIL6210_TRACING=y
    - CONFIG_ATH10K_TRACING=n
    - CONFIG_ATH11K_TRACING=n
    - CONFIG_IWLWIFI_DEVICE_TRACING=n
    - CONFIG_STM_SOURCE_FTRACE=m
    - CONFIG_PSTORE_FTRACE=n
    - CONFIG_DEBUG_PAGE_REF=n
    - CONFIG_NOP_TRACER=y
    - CONFIG_TRACER_MAX_TRACE=y
    - CONFIG_TRACE_CLOCK=y
    - CONFIG_RING_BUFFER=y
    - CONFIG_EVENT_TRACING=y
    - CONFIG_CONTEXT_SWITCH_TRACER=y
    - CONFIG_RING_BUFFER_ALLOW_SWAP=y
    - CONFIG_TRACING=y
    - CONFIG_GENERIC_TRACER=y
    - CONFIG_BOOTTIME_TRACING=y
    - CONFIG_FUNCTION_TRACER=y
    - CONFIG_FUNCTION_GRAPH_TRACER=y
    - CONFIG_DYNAMIC_FTRACE=y
    - CONFIG_DYNAMIC_FTRACE_WITH_REGS=y
    - CONFIG_FUNCTION_PROFILER=y
    - CONFIG_STACK_TRACER=y
    - CONFIG_IRQSOFF_TRACER=n
    - CONFIG_SCHED_TRACER=y
    - CONFIG_HWLAT_TRACER=n
    - CONFIG_OSNOISE_TRACER=y
    - CONFIG_TIMERLAT_TRACER=y
    - CONFIG_FTRACE_SYSCALLS=y
    - CONFIG_TRACER_SNAPSHOT=y
    - CONFIG_TRACER_SNAPSHOT_PER_CPU_SWAP=y
    - CONFIG_BRANCH_PROFILE_NONE=y
    - CONFIG_PROFILE_ANNOTATED_BRANCHES=n
    - CONFIG_BLK_DEV_IO_TRACE=y
    - CONFIG_KPROBE_EVENTS=y
    - CONFIG_KPROBE_EVENTS_ON_NOTRACE=n
    - CONFIG_UPROBE_EVENTS=y
    - CONFIG_BPF_EVENTS=y
    - CONFIG_DYNAMIC_EVENTS=y
    - CONFIG_PROBE_EVENTS=y
    - CONFIG_BPF_KPROBE_OVERRIDE=n
    - CONFIG_FTRACE_MCOUNT_RECORD=y
    - CONFIG_FTRACE_MCOUNT_USE_CC=y
    - CONFIG_SYNTH_EVENTS=y
    - CONFIG_TRACE_EVENT_INJECT=n
    - CONFIG_TRACEPOINT_BENCHMARK=n
    - CONFIG_RING_BUFFER_BENCHMARK=m
    - CONFIG_TRACE_EVAL_MAP_FILE=n
    - CONFIG_FTRACE_RECORD_RECURSION=n
    - CONFIG_FTRACE_STARTUP_TEST=n
    - CONFIG_RING_BUFFER_STARTUP_TEST=n
    - CONFIG_RING_BUFFER_VALIDATE_TIME_DELTAS=n
    - CONFIG_PREEMPTIRQ_DELAY_TEST=m
    - CONFIG_SYNTH_EVENT_GEN_TEST=n
    - CONFIG_KPROBE_EVENT_GEN_TEST=n
  - commit 9875d6f
* Sat Jul 23 2022 jslaby@suse.cz
  - Linux 5.18.14 (bsc#1012628).
  - objtool: skip non-text sections when adding return-thunk sites
    (bsc#1012628).
  - x86/speculation: Use DECLARE_PER_CPU for x86_spec_ctrl_current
    (bsc#1012628).
  - efi/x86: use naked RET on mixed mode call wrapper (bsc#1012628).
  - KVM: emulate: do not adjust size of fastop and setcc subroutines
    (bsc#1012628).
  - tools arch x86: Sync the msr-index.h copy with the kernel
    sources (bsc#1012628).
  - tools headers cpufeatures: Sync with the kernel sources
    (bsc#1012628).
  - um: Add missing apply_returns() (bsc#1012628).
  - commit 847b26a
* Sat Jul 23 2022 jslaby@suse.cz
  - Linux 5.18.13 (bsc#1012628).
  - USB: serial: ftdi_sio: add Belimo device ids (bsc#1012628).
  - usb: typec: add missing uevent when partner support PD
    (bsc#1012628).
  - usb: dwc3: gadget: Fix event pending check (bsc#1012628).
  - gpio: sim: fix the chip_name configfs item (bsc#1012628).
  - tty: serial: samsung_tty: set dma burst_size to 1 (bsc#1012628).
  - x86/xen: Use clear_bss() for Xen PV guests (bsc#1012628).
  - ALSA: hda - Add fixup for Dell Latitidue E5430 (bsc#1012628).
  - ALSA: hda/conexant: Apply quirk for another HP ProDesk 600 G3
    model (bsc#1012628).
  - ALSA: hda/realtek: Fix headset mic for Acer SF313-51
    (bsc#1012628).
  - ALSA: hda/realtek - Fix headset mic problem for a HP machine
    with alc671 (bsc#1012628).
  - ALSA: hda/realtek: fix mute/micmute LEDs for HP machines
    (bsc#1012628).
  - ALSA: hda/realtek - Fix headset mic problem for a HP machine
    with alc221 (bsc#1012628).
  - ALSA: hda/realtek - Enable the headset-mic on a Xiaomi's laptop
    (bsc#1012628).
  - xen/netback: avoid entering xenvif_rx_next_skb() with an empty
    rx queue (bsc#1012628).
  - fix race between exit_itimers() and /proc/pid/timers
    (bsc#1012628).
  - mm: userfaultfd: fix UFFDIO_CONTINUE on fallocated shmem pages
    (bsc#1012628).
  - mm: sparsemem: fix missing higher order allocation splitting
    (bsc#1012628).
  - mm: split huge PUD on wp_huge_pud fallback (bsc#1012628).
  - mm/damon: use set_huge_pte_at() to make huge pte old
    (bsc#1012628).
  - tracing/histograms: Fix memory leak problem (bsc#1012628).
  - net: sock: tracing: Fix sock_exceed_buf_limit not to dereference
    stale pointer (bsc#1012628).
  - ip: fix dflt addr selection for connected nexthop (bsc#1012628).
  - ARM: 9213/1: Print message about disabled Spectre workarounds
    only once (bsc#1012628).
  - ARM: 9214/1: alignment: advance IT state after emulating Thumb
    instruction (bsc#1012628).
  - wifi: mac80211: fix queue selection for mesh/OCB interfaces
    (bsc#1012628).
  - cgroup: Use separate src/dst nodes when preloading css_sets
    for migration (bsc#1012628).
  - btrfs: return -EAGAIN for NOWAIT dio reads/writes on compressed
    and inline extents (bsc#1012628).
  - btrfs: zoned: fix a leaked bioc in read_zone_info (bsc#1012628).
  - drm/panfrost: Put mapping instead of shmem obj on
    panfrost_mmu_map_fault_addr() error (bsc#1012628).
  - drm/panfrost: Fix shrinker list corruption by madvise IOCTL
    (bsc#1012628).
  - fs/remap: constrain dedupe of EOF blocks (bsc#1012628).
  - nilfs2: fix incorrect masking of permission flags for symlinks
    (bsc#1012628).
  - sh: convert nommu io{re,un}map() to static inline functions
    (bsc#1012628).
  - Revert "evm: Fix memleak in init_desc" (bsc#1012628).
  - reset: Fix devm bulk optional exclusive control getter
    (bsc#1012628).
  - arm64: dts: ls1028a: Update SFP node to include clock
    (bsc#1012628).
  - ARM: dts: imx6qdl-ts7970: Fix ngpio typo and count
    (bsc#1012628).
  - riscv: dts: microchip: hook up the mpfs' l2cache (bsc#1012628).
  - spi: amd: Limit max transfer and message size (bsc#1012628).
  - ARM: 9209/1: Spectre-BHB: avoid pr_info() every time a CPU
    comes out of idle (bsc#1012628).
  - ARM: 9210/1: Mark the FDT_FIXED sections as shareable
    (bsc#1012628).
  - net/mlx5e: kTLS, Fix build time constant test in TX
    (bsc#1012628).
  - net/mlx5e: kTLS, Fix build time constant test in RX
    (bsc#1012628).
  - net/mlx5e: Fix enabling sriov while tc nic rules are offloaded
    (bsc#1012628).
  - net/mlx5e: CT: Use own workqueue instead of mlx5e priv
    (bsc#1012628).
  - net/mlx5e: Fix capability check for updating vnic env counters
    (bsc#1012628).
  - net/mlx5e: Ring the TX doorbell on DMA errors (bsc#1012628).
  - drm/amdgpu: keep fbdev buffers pinned during suspend
    (bsc#1012628).
  - drm/amdgpu/display: disable prefer_shadow for generic fb helpers
    (bsc#1012628).
  - drm/i915: fix a possible refcount leak in
    intel_dp_add_mst_connector() (bsc#1012628).
  - drm/i915/guc: ADL-N should use the same GuC FW as ADL-S
    (bsc#1012628).
  - ima: Fix a potential integer overflow in
    ima_appraise_measurement (bsc#1012628).
  - ASoC: sgtl5000: Fix noise on shutdown/remove (bsc#1012628).
  - ASoC: tas2764: Add post reset delays (bsc#1012628).
  - ASoC: tas2764: Fix and extend FSYNC polarity handling
    (bsc#1012628).
  - ASoC: tas2764: Correct playback volume range (bsc#1012628).
  - ASoC: tas2764: Fix amp gain register offset & default
    (bsc#1012628).
  - ASoC: Intel: Skylake: Correct the ssp rate discovery in
    skl_get_ssp_clks() (bsc#1012628).
  - ASoC: Intel: Skylake: Correct the handling of fmt_config
    flexible array (bsc#1012628).
  - netfilter: ecache: move to separate structure (bsc#1012628).
  - netfilter: conntrack: split inner loop of list dumping to own
    function (bsc#1012628).
  - netfilter: ecache: use dedicated list for event redelivery
    (bsc#1012628).
  - netfilter: conntrack: include ecache dying list in dumps
    (bsc#1012628).
  - netfilter: conntrack: remove the percpu dying list
    (bsc#1012628).
  - netfilter: conntrack: fix crash due to confirmed bit load
    reordering (bsc#1012628).
  - net: stmmac: dwc-qos: Disable split header for Tegra194
    (bsc#1012628).
  - net: ethernet: ti: am65-cpsw: Fix devlink port register sequence
    (bsc#1012628).
  - net: ocelot: fix wrong time_after usage (bsc#1012628).
  - sysctl: Fix data races in proc_dointvec() (bsc#1012628).
  - sysctl: Fix data races in proc_douintvec() (bsc#1012628).
  - sysctl: Fix data races in proc_dointvec_minmax() (bsc#1012628).
  - sysctl: Fix data races in proc_douintvec_minmax() (bsc#1012628).
  - sysctl: Fix data races in proc_doulongvec_minmax()
    (bsc#1012628).
  - sysctl: Fix data races in proc_dointvec_jiffies() (bsc#1012628).
  - tcp: Fix a data-race around sysctl_tcp_max_orphans
    (bsc#1012628).
  - inetpeer: Fix data-races around sysctl (bsc#1012628).
  - net: Fix data-races around sysctl_mem (bsc#1012628).
  - cipso: Fix data-races around sysctl (bsc#1012628).
  - icmp: Fix data-races around sysctl (bsc#1012628).
  - ipv4: Fix a data-race around sysctl_fib_sync_mem (bsc#1012628).
  - ARM: dts: at91: sama5d2: Fix typo in i2s1 node (bsc#1012628).
  - ARM: dts: sunxi: Fix SPI NOR campatible on Orange Pi Zero
    (bsc#1012628).
  - arm64: dts: broadcom: bcm4908: Fix timer node for BCM4906 SoC
    (bsc#1012628).
  - arm64: dts: broadcom: bcm4908: Fix cpu node for smp boot
    (bsc#1012628).
  - netfilter: nf_log: incorrect offset to network header
    (bsc#1012628).
  - nfp: fix issue of skb segments exceeds descriptor limitation
    (bsc#1012628).
  - vlan: fix memory leak in vlan_newlink() (bsc#1012628).
  - netfilter: nf_tables: replace BUG_ON by element length check
    (bsc#1012628).
  - RISC-V: KVM: Fix SRCU deadlock caused by
    kvm_riscv_check_vcpu_requests() (bsc#1012628).
  - drm/i915/gvt: IS_ERR() vs NULL bug in
    intel_gvt_update_reg_whitelist() (bsc#1012628).
  - xen/gntdev: Ignore failure to unmap INVALID_GRANT_HANDLE
    (bsc#1012628).
  - mptcp: fix subflow traversal at disconnect time (bsc#1012628).
  - NFSD: Decode NFSv4 birth time attribute (bsc#1012628).
  - lockd: set fl_owner when unlocking files (bsc#1012628).
  - lockd: fix nlm_close_files (bsc#1012628).
  - net: marvell: prestera: fix missed deinit sequence
    (bsc#1012628).
  - ice: handle E822 generic device ID in PLDM header (bsc#1012628).
  - ice: change devlink code to read NVM in blocks (bsc#1012628).
  - tracing: Fix sleeping while atomic in kdb ftdump (bsc#1012628).
  - drm/i915/selftests: fix a couple IS_ERR() vs NULL tests
    (bsc#1012628).
  - drm/i915/ttm: fix sg_table construction (bsc#1012628).
  - drm/i915/gt: Serialize GRDOM access between multiple engine
    resets (bsc#1012628).
  - drm/i915/gt: Serialize TLB invalidates with GT resets
    (bsc#1012628).
  - drm/i915/selftests: fix subtraction overflow bug (bsc#1012628).
  - bnxt_en: reclaim max resources if sriov enable fails
    (bsc#1012628).
  - bnxt_en: Fix bnxt_reinit_after_abort() code path (bsc#1012628).
  - bnxt_en: fix livepatch query (bsc#1012628).
  - bnxt_en: Fix bnxt_refclk_read() (bsc#1012628).
  - sysctl: Fix data-races in proc_dou8vec_minmax() (bsc#1012628).
  - sysctl: Fix data-races in proc_dointvec_ms_jiffies()
    (bsc#1012628).
  - tcp: Fix a data-race around sysctl_max_tw_buckets (bsc#1012628).
  - icmp: Fix a data-race around sysctl_icmp_echo_ignore_all
    (bsc#1012628).
  - icmp: Fix data-races around sysctl_icmp_echo_enable_probe
    (bsc#1012628).
  - icmp: Fix a data-race around sysctl_icmp_echo_ignore_broadcasts
    (bsc#1012628).
  - icmp: Fix a data-race around
    sysctl_icmp_ignore_bogus_error_responses (bsc#1012628).
  - icmp: Fix a data-race around
    sysctl_icmp_errors_use_inbound_ifaddr (bsc#1012628).
  - icmp: Fix a data-race around sysctl_icmp_ratelimit
    (bsc#1012628).
  - icmp: Fix a data-race around sysctl_icmp_ratemask (bsc#1012628).
  - raw: Fix a data-race around sysctl_raw_l3mdev_accept
    (bsc#1012628).
  - tcp: Fix data-races around sysctl_tcp_ecn (bsc#1012628).
  - tcp: Fix a data-race around sysctl_tcp_ecn_fallback
    (bsc#1012628).
  - ipv4: Fix data-races around sysctl_ip_dynaddr (bsc#1012628).
  - nexthop: Fix data-races around nexthop_compat_mode
    (bsc#1012628).
  - net: ftgmac100: Hold reference returned by
    of_get_child_by_name() (bsc#1012628).
  - net: stmmac: fix leaks in probe (bsc#1012628).
  - ima: force signature verification when CONFIG_KEXEC_SIG is
    configured (bsc#1012628).
  - ima: Fix potential memory leak in ima_init_crypto()
    (bsc#1012628).
  - drm/amd/display: Ignore First MST Sideband Message Return Error
    (bsc#1012628).
  - drm/amdkfd: correct the MEC atomic support firmware checking
    for GC 10.3.7 (bsc#1012628).
  - drm/amd/display: Only use depth 36 bpp linebuffers on DCN
    display engines (bsc#1012628).
  - drm/amd/pm: Prevent divide by zero (bsc#1012628).
  - drm/amd/display: Ensure valid event timestamp for cursor-only
    commits (bsc#1012628).
  - smb3: workaround negprot bug in some Samba servers
    (bsc#1012628).
  - sfc: fix use after free when disabling sriov (bsc#1012628).
  - netfs: do not unlock and put the folio twice (bsc#1012628).
  - seg6: fix skb checksum evaluation in SRH encapsulation/insertion
    (bsc#1012628).
  - seg6: fix skb checksum in SRv6 End.B6 and End.B6.Encaps
    behaviors (bsc#1012628).
  - seg6: bpf: fix skb checksum in bpf_push_seg6_encap()
    (bsc#1012628).
  - sfc: fix kernel panic when creating VF (bsc#1012628).
  - net: atlantic: remove deep parameter on suspend/resume functions
    (bsc#1012628).
  - net: atlantic: remove aq_nic_deinit() when resume (bsc#1012628).
  - KVM: x86: Fully initialize 'struct kvm_lapic_irq' in
    kvm_pv_kick_cpu_op() (bsc#1012628).
  - net/tls: Check for errors in tls_device_init (bsc#1012628).
  - mm: sysctl: fix missing numa_stat when !CONFIG_HUGETLB_PAGE
    (bsc#1012628).
  - ARM: 9211/1: domain: drop modify_domain() (bsc#1012628).
  - ARM: 9212/1: domain: Modify Kconfig help text (bsc#1012628).
  - ASoC: dt-bindings: Fix description for msm8916 (bsc#1012628).
  - tee: tee_get_drvdata(): fix description of return value
    (bsc#1012628).
  - s390/nospec: build expoline.o for modules_prepare target
    (bsc#1012628).
  - scsi: megaraid: Clear READ queue map's nr_queues (bsc#1012628).
  - scsi: ufs: core: Drop loglevel of WriteBoost message
    (bsc#1012628).
  - nvme: fix block device naming collision (bsc#1012628).
  - ksmbd: use SOCK_NONBLOCK type for kernel_accept() (bsc#1012628).
  - powerpc/xive/spapr: correct bitmap allocation size
    (bsc#1012628).
  - vdpa/mlx5: Initialize CVQ vringh only once (bsc#1012628).
  - vduse: Tie vduse mgmtdev and its device (bsc#1012628).
  - platform/x86: intel/pmc: Add Alder Lake N support to PMC core
    driver (bsc#1012628).
  - virtio_mmio: Add missing PM calls to freeze/restore
    (bsc#1012628).
  - virtio_mmio: Restore guest page size on resume (bsc#1012628).
  - netfilter: nf_tables: avoid skb access on nf_stolen
    (bsc#1012628).
  - netfilter: br_netfilter: do not skip all hooks with 0 priority
    (bsc#1012628).
  - scsi: hisi_sas: Limit max hw sectors for v3 HW (bsc#1012628).
  - cpufreq: pmac32-cpufreq: Fix refcount leak bug (bsc#1012628).
  - platform/x86: thinkpad-acpi: profile capabilities as integer
    (bsc#1012628).
  - platform/x86: thinkpad_acpi: do not use PSC mode on Intel
    platforms (bsc#1012628).
  - platform/x86: hp-wmi: Ignore Sanitization Mode event
    (bsc#1012628).
  - net: tipc: fix possible refcount leak in tipc_sk_create()
    (bsc#1012628).
  - NFC: nxp-nci: don't print header length mismatch on i2c error
    (bsc#1012628).
  - nvme-tcp: always fail a request when sending it failed
    (bsc#1012628).
  - nvme: fix regression when disconnect a recovering ctrl
    (bsc#1012628).
  - net: sfp: fix memory leak in sfp_probe() (bsc#1012628).
  - ASoC: ops: Fix off by one in range control validation
    (bsc#1012628).
  - pinctrl: aspeed: Fix potential NULL dereference in
    aspeed_pinmux_set_mux() (bsc#1012628).
  - ASoC: Realtek/Maxim SoundWire codecs: disable pm_runtime on
    remove (bsc#1012628).
  - ASoC: rt711-sdca-sdw: fix calibrate mutex initialization
    (bsc#1012628).
  - ASoC: Intel: sof_sdw: handle errors on card registration
    (bsc#1012628).
  - ASoC: rt711: fix calibrate mutex initialization (bsc#1012628).
  - ASoC: rt7*-sdw: harden jack_detect_handler (bsc#1012628).
  - ASoC: codecs: rt700/rt711/rt711-sdca: initialize workqueues
    in probe (bsc#1012628).
  - ASoC: SOF: Intel: hda-dsp: Expose hda_dsp_core_power_up()
    (bsc#1012628).
  - ASoC: SOF: Intel: hda-loader: Make sure that the fw load
    sequence is followed (bsc#1012628).
  - ASoC: SOF: Intel: hda-loader: Clarify the cl_dsp_init() flow
    (bsc#1012628).
  - ASoC: wcd9335: Remove RX channel from old list before adding
    it to a new one (bsc#1012628).
  - ASoC: wcd9335: Fix spurious event generation (bsc#1012628).
  - ASoC: wcd938x: Fix event generation for some controls
    (bsc#1012628).
  - ASoC: Intel: bytcr_wm5102: Fix GPIO related probe-ordering
    problem (bsc#1012628).
  - ASoC: wm_adsp: Fix event for preloader (bsc#1012628).
  - ASoC: wm5110: Fix DRE control (bsc#1012628).
  - ASoC: cs35l41: Correct some control names (bsc#1012628).
  - ASoC: rt711-sdca: fix kernel NULL pointer dereference when IO
    error (bsc#1012628).
  - ASoC: dapm: Initialise kcontrol data for mux/demux controls
    (bsc#1012628).
  - ASoC: cs35l41: Add ASP TX3/4 source to register patch
    (bsc#1012628).
  - ASoC: cs47l15: Fix event generation for low power mux control
    (bsc#1012628).
  - ASoC: madera: Fix event generation for OUT1 demux (bsc#1012628).
  - ASoC: madera: Fix event generation for rate controls
    (bsc#1012628).
  - irqchip: or1k-pic: Undefine mask_ack for level triggered
    hardware (bsc#1012628).
  - pinctrl: imx: Add the zero base flag for imx93 (bsc#1012628).
  - x86: Clear .brk area at early boot (bsc#1012628).
  - soc: ixp4xx/npe: Fix unused match warning (bsc#1012628).
  - ARM: dts: stm32: use the correct clock source for CEC on
    stm32mp151 (bsc#1012628).
  - Revert "can: xilinx_can: Limit CANFD brp to 2" (bsc#1012628).
  - ALSA: usb-audio: Add quirks for MacroSilicon MS2100/MS2106
    devices (bsc#1012628).
  - ALSA: usb-audio: Add quirk for Fiero SC-01 (bsc#1012628).
  - ALSA: usb-audio: Add quirk for Fiero SC-01 (fw v1.0.0)
    (bsc#1012628).
  - nvme-pci: phison e16 has bogus namespace ids (bsc#1012628).
  - nvme: use struct group for generic command dwords (bsc#1012628).
  - wireguard: selftests: set fake real time in init (bsc#1012628).
  - wireguard: selftests: always call kernel makefile (bsc#1012628).
  - signal handling: don't use BUG_ON() for debugging (bsc#1012628).
  - ACPI: video: Fix acpi_video_handles_brightness_key_presses()
    (bsc#1012628).
  - vt: fix memory overlapping when deleting chars in the buffer
    (bsc#1012628).
  - s390/ap: fix error handling in __verify_queue_reservations()
    (bsc#1012628).
  - ACPI: CPPC: Fix enabling CPPC on AMD systems with shared memory
    (bsc#1012628).
  - serial: 8250: fix return error code in
    serial8250_request_std_resource() (bsc#1012628).
  - power: supply: core: Fix boundary conditions in interpolation
    (bsc#1012628).
  - serial: stm32: Clear prev values before setting RTS delays
    (bsc#1012628).
  - serial: pl011: UPSTAT_AUTORTS requires .throttle/unthrottle
    (bsc#1012628).
  - serial: 8250: Fix PM usage_count for console handover
    (bsc#1012628).
  - serial: mvebu-uart: correctly report configured baudrate value
    (bsc#1012628).
  - x86/pat: Fix x86_has_pat_wp() (bsc#1012628).
  - drm/i915/ttm: fix 32b build (bsc#1012628).
  - Refresh patches.suse/x86-mm-Simplify-RESERVE_BRK.patch.
  - commit b66ab1b
* Thu Jul 21 2022 mbrugger@suse.com
  - arm64: Update config files. (bsc#1198737)
    Enable RTC_DRV_RX8025 to support RX-8035 on Traveres Ten64 board.
  - commit 74f2920
* Wed Jul 20 2022 ludwig.nussel@suse.de
  - kernel-obs-build: include qemu_fw_cfg (boo#1201705)
  - commit e2263d4
* Wed Jul 20 2022 jslaby@suse.cz
  - Refresh
    patches.suse/0001-fbdev-Disable-sysfb-device-registration-when-removin.patch.
  - Refresh
    patches.suse/0001-firmware-sysfb-Add-sysfb_disable-helper-function.patch.
  - Refresh
    patches.suse/0001-firmware-sysfb-Make-sysfb_create_simplefb-return-a-p.patch.
    Update upstream status.
  - commit 6a770c6
* Wed Jul 20 2022 jslaby@suse.cz
  - Delete
    patches.kernel.org/5.18.12-013-objtool-skip-non-text-sections-when-adding-re.patch.
    No traces of the objtool patch in upstream whatsoever, so drop that.
    The rest: move out of patches.kernel.org as it hasn't landed there yet.
    Place the patches into sorted section instead where they belong.
  - commit 3415e51
* Tue Jul 19 2022 tiwai@suse.de
  - Input: i8042 - Apply probe defer to more ASUS ZenBook models
    (bsc#1190256).
  - commit 6307fb1
* Mon Jul 18 2022 tzimmermann@suse.de
  - config: i386: Enable DRM stack for early-boot graphics (boo#1193474)
    Replace fbdev's generic drivers with DRM-based simpledrm. Enables the
    DRM graphics stack for early-boot graphics, recovery and unsupported
    chipsets.
  - commit 3305623
* Mon Jul 18 2022 jslaby@suse.cz
  - x86/bugs: Remove apostrophe typo (bsc#1199657 CVE-2022-29900
    CVE-2022-29901).
  - commit 34930df
* Mon Jul 18 2022 jslaby@suse.cz
  - Refresh
    patches.rpmify/x86-asm-32-fix-ANNOTATE_UNRET_SAFE-use-on-32bit.patch.
  - Refresh
    patches.suse/tty-extract-tty_flip_buffer_commit-from-tty_flip_buf.patch.
  - Refresh
    patches.suse/tty-use-new-tty_insert_flip_string_and_push_buffer-i.patch.
  - Refresh
    patches.suse/x86-entry-Remove-UNTRAIN_RET-from-native_irq_return_.patch.
  - Refresh
    patches.suse/x86-kvm-fix-FASTOP_SIZE-when-return-thunks-are-enabl.patch.
    Update upstream status.
  - commit fcd7336
* Sun Jul 17 2022 mkubecek@suse.cz
  - Update to 5.19-rc7
  - drop obsolete patches
    - patches.suse/tty-extract-tty_flip_buffer_commit-from-tty_flip_buf.patch
    - patches.suse/tty-use-new-tty_insert_flip_string_and_push_buffer-i.patch
  - update configs (x86 only)
    - SPECULATION_MITIGATIONS=y
    - RETHUNK=y
    - CPU_UNRET_ENTRY=y
    - CPU_IBPB_ENTRY=y
    - CPU_IBRS_ENTRY=y
  - commit 900302b
* Fri Jul 15 2022 jslaby@suse.cz
  - Linux 5.18.12 (bsc#1012628).
  - Revert "mtd: rawnand: gpmi: Fix setting busy timeout setting"
    (bsc#1012628).
  - commit 3198c22
* Fri Jul 15 2022 jslaby@suse.cz
  - Refresh
    patches.suse/0001-drm-aperture-Run-fbdev-removal-before-internal-helpe.patch.
    Update upstream status.
  - commit 4fcb983
* Fri Jul 15 2022 jslaby@suse.cz
  - x86/mm: Simplify RESERVE_BRK() (bsc#1199657 CVE-2022-29900
    CVE-2022-29901).
  - commit da1381f
* Fri Jul 15 2022 jslaby@suse.cz
  - x86/entry: Remove UNTRAIN_RET from native_irq_return_ldt
    (bsc#1199657 CVE-2022-29900 CVE-2022-29901).
  - commit ce3ce6a
* Fri Jul 15 2022 jslaby@suse.cz
  - Refresh
    patches.suse/x86-kvm-fix-FASTOP_SIZE-when-return-thunks-are-enabl.patch.
    Update to upstream version.
  - commit 3f7e318
* Thu Jul 14 2022 jeffm@suse.com
  - rpm/kernel-binary.spec.in: Require dwarves >= 1.22 on SLE15-SP3 or newer
    Dwarves 1.22 or newer is required to build kernels with BTF information
    embedded in modules.
  - commit ee19e9d
* Thu Jul 14 2022 jslaby@suse.cz
  - x86/asm/32: Fix ANNOTATE_UNRET_SAFE use on 32-bit (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
    Update upstream status.
  - commit eae54b1
* Thu Jul 14 2022 jslaby@suse.cz
  - tty: use new tty_insert_flip_string_and_push_buffer() in
    pty_write() (bsc#1198829 CVE-2022-1462).
  - tty: extract tty_flip_buffer_commit() from
    tty_flip_buffer_push() (bsc#1198829 CVE-2022-1462).
  - tty: use new tty_insert_flip_string_and_push_buffer() in
    pty_write() (bsc#1198829 CVE-2022-1462).
  - tty: extract tty_flip_buffer_commit() from
    tty_flip_buffer_push() (bsc#1198829 CVE-2022-1462).
  - commit cec52d3
* Thu Jul 14 2022 jslaby@suse.cz
  - x86/kvm: fix FASTOP_SIZE when return thunks are enabled
    (bsc#1199657 CVE-2022-29900 CVE-2022-29901).
  - commit 86ef7b4
* Wed Jul 13 2022 jslaby@suse.cz
  - x86/asm/32: fix ANNOTATE_UNRET_SAFE use on 32bit (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - x86/static_call: Serialize __static_call_fixup() properly
    (bsc#1199657 CVE-2022-29900 CVE-2022-29901).
  - x86/speculation: Disable RRSBA behavior (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - x86/kexec: Disable RET on kexec (bsc#1199657 CVE-2022-29900
    CVE-2022-29901).
  - x86/bugs: Do not enable IBPB-on-entry when IBPB is not supported
    (bsc#1199657 CVE-2022-29900 CVE-2022-29901).
  - x86/entry: Move PUSH_AND_CLEAR_REGS() back into error_entry
    (bsc#1199657 CVE-2022-29900 CVE-2022-29901).
  - x86/bugs: Add Cannon lake to RETBleed affected CPU list
    (bsc#1199657 CVE-2022-29900 CVE-2022-29901).
  - commit 834606b
* Wed Jul 13 2022 jslaby@suse.cz
  - x86/retbleed: Add fine grained Kconfig knobs (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - Update config files.
  - commit 9dbc2f6
* Wed Jul 13 2022 jslaby@suse.cz
  - x86/cpu/amd: Enumerate BTC_NO (bsc#1199657 CVE-2022-29900
    CVE-2022-29901).
  - x86/common: Stamp out the stepping madness (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - KVM: VMX: Prevent RSB underflow before vmenter (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - x86/speculation: Fill RSB on vmexit for IBRS (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - KVM: VMX: Fix IBRS handling after vmexit (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - KVM: VMX: Prevent guest RSB poisoning attacks with eIBRS
    (bsc#1199657 CVE-2022-29900 CVE-2022-29901).
  - KVM: VMX: Convert launched argument to flags (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - KVM: VMX: Flatten __vmx_vcpu_run() (bsc#1199657 CVE-2022-29900
    CVE-2022-29901).
  - objtool: Re-add UNWIND_HINT_{SAVE_RESTORE} (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - x86/speculation: Remove x86_spec_ctrl_mask (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - x86/speculation: Use cached host SPEC_CTRL value for guest
    entry/exit (bsc#1199657 CVE-2022-29900 CVE-2022-29901).
  - x86/speculation: Fix SPEC_CTRL write on SMT state change
    (bsc#1199657 CVE-2022-29900 CVE-2022-29901).
  - x86/speculation: Fix firmware entry SPEC_CTRL handling
    (bsc#1199657 CVE-2022-29900 CVE-2022-29901).
  - x86/speculation: Fix RSB filling with CONFIG_RETPOLINE=n
    (bsc#1199657 CVE-2022-29900 CVE-2022-29901).
  - x86/cpu/amd: Add Spectral Chicken (bsc#1199657 CVE-2022-29900
    CVE-2022-29901).
  - objtool: Add entry UNRET validation (bsc#1199657 CVE-2022-29900
    CVE-2022-29901).
  - x86/bugs: Do IBPB fallback check only once (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - x86/bugs: Add retbleed=ibpb (bsc#1199657 CVE-2022-29900
    CVE-2022-29901).
  - x86/xen: Add UNTRAIN_RET (bsc#1199657 CVE-2022-29900
    CVE-2022-29901).
  - x86/xen: Rename SYS* entry points (bsc#1199657 CVE-2022-29900
    CVE-2022-29901).
  - objtool: Update Retpoline validation (bsc#1199657 CVE-2022-29900
    CVE-2022-29901).
  - intel_idle: Disable IBRS during long idle (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - x86/bugs: Report Intel retbleed vulnerability (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - x86/bugs: Split spectre_v2_select_mitigation() and
    spectre_v2_user_select_mitigation() (bsc#1199657 CVE-2022-29900
    CVE-2022-29901).
  - x86/speculation: Add spectre_v2=ibrs option to support Kernel
    IBRS (bsc#1199657 CVE-2022-29900 CVE-2022-29901).
  - x86/bugs: Optimize SPEC_CTRL MSR writes (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - x86/entry: Add kernel IBRS implementation (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - x86/bugs: Keep a per-CPU IA32_SPEC_CTRL value (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - x86/bugs: Enable STIBP for JMP2RET (bsc#1199657 CVE-2022-29900
    CVE-2022-29901).
  - commit 023a0b9
* Wed Jul 13 2022 jslaby@suse.cz
  - x86/bugs: Add AMD retbleed= boot parameter (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - Update config files.
  - commit a4a04c4
* Wed Jul 13 2022 jslaby@suse.cz
  - x86/bugs: Report AMD retbleed vulnerability (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - x86: Add magic AMD return-thunk (bsc#1199657 CVE-2022-29900
    CVE-2022-29901).
  - objtool: Treat .text.__x86.* as noinstr (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - x86/entry: Avoid very early RET (bsc#1199657 CVE-2022-29900
    CVE-2022-29901).
  - x86: Use return-thunk in asm code (bsc#1199657 CVE-2022-29900
    CVE-2022-29901).
  - x86/sev: Avoid using __x86_return_thunk (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - x86/vsyscall_emu/64: Don't use RET in vsyscall emulation
    (bsc#1199657 CVE-2022-29900 CVE-2022-29901).
  - x86/kvm: Fix SETcc emulation for return thunks (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - x86/bpf: Use alternative RET encoding (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - x86/ftrace: Use alternative RET encoding (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - x86,static_call: Use alternative RET encoding (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - objtool: skip non-text sections when adding return-thunk sites
    (bsc#1199657 CVE-2022-29900 CVE-2022-29901).
  - x86,objtool: Create .return_sites (bsc#1199657 CVE-2022-29900
    CVE-2022-29901).
  - x86: Undo return-thunk damage (bsc#1199657 CVE-2022-29900
    CVE-2022-29901).
  - x86/retpoline: Use -mfunction-return (bsc#1199657 CVE-2022-29900
    CVE-2022-29901).
  - x86/retpoline: Swizzle retpoline thunk (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - x86/retpoline: Cleanup some #ifdefery (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - x86/cpufeatures: Move RETPOLINE flags to word 11 (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - x86/kvm/vmx: Make noinstr clean (bsc#1199657 CVE-2022-29900
    CVE-2022-29901).
  - x86/entry: Remove skip_r11rcx (bsc#1199657 CVE-2022-29900
    CVE-2022-29901).
  - x86/entry: Don't call error_entry() for XENPV (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - x86/entry: Move PUSH_AND_CLEAR_REGS out of error_entry()
    (bsc#1199657 CVE-2022-29900 CVE-2022-29901).
  - x86/entry: Switch the stack after error_entry() returns
    (bsc#1199657 CVE-2022-29900 CVE-2022-29901).
  - x86/traps: Use pt_regs directly in fixup_bad_iret() (bsc#1199657
    CVE-2022-29900 CVE-2022-29901).
  - commit bc4fd7c
* Wed Jul 13 2022 schwab@suse.de
  - config: riscv: disable RISCV_BOOT_SPINWAIT
    We now rely on the SBI HSM extension which is provided by openSBI 0.7 or
    later.
  - commit 8752291
* Wed Jul 13 2022 schwab@suse.de
  - config: riscv: disable RISCV_SBI_V01
    The SBI v0.1 API is obsolete.
  - commit 44178e7
* Tue Jul 12 2022 jslaby@suse.cz
  - Linux 5.18.11 (bsc#1012628).
  - io_uring: fix provided buffer import (bsc#1012628).
  - ALSA: usb-audio: Workarounds for Behringer UMC 204/404 HD
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Clevo L140PU (bsc#1012628).
  - ALSA: cs46xx: Fix missing snd_card_free() call at probe error
    (bsc#1012628).
  - can: bcm: use call_rcu() instead of costly synchronize_rcu()
    (bsc#1012628).
  - can: grcan: grcan_probe(): remove extra of_node_get()
    (bsc#1012628).
  - can: gs_usb: gs_usb_open/close(): fix memory leak (bsc#1012628).
  - can: m_can: m_can_chip_config(): actually enable internal
    timestamping (bsc#1012628).
  - can: m_can: m_can_{read_fifo,echo_tx_event}(): shift timestamp
    to full 32 bits (bsc#1012628).
  - can: kvaser_usb: replace run-time checks with struct
    kvaser_usb_driver_info (bsc#1012628).
  - can: kvaser_usb: kvaser_usb_leaf: fix CAN clock frequency
    regression (bsc#1012628).
  - can: kvaser_usb: kvaser_usb_leaf: fix bittiming limits
    (bsc#1012628).
  - can: mcp251xfd: mcp251xfd_regmap_crc_read(): improve workaround
    handling for mcp2517fd (bsc#1012628).
  - can: mcp251xfd: mcp251xfd_regmap_crc_read(): update workaround
    broken CRC on TBC register (bsc#1012628).
  - can: mcp251xfd: mcp251xfd_stop(): add missing hrtimer_cancel()
    (bsc#1012628).
  - bpf: Fix incorrect verifier simulation around jmp32's jeq/jne
    (bsc#1012628).
  - bpf: Fix insufficient bounds propagation from
    adjust_scalar_min_max_vals (bsc#1012628).
  - usbnet: fix memory leak in error case (bsc#1012628).
  - net: rose: fix UAF bug caused by rose_t0timer_expiry
    (bsc#1012628).
  - net: lan966x: hardcode the number of external ports
    (bsc#1012628).
  - netfilter: nft_set_pipapo: release elements in clone from
    abort path (bsc#1012628).
  - selftests/net: fix section name when using xdp_dummy.o
    (bsc#1012628).
  - can: mcp251xfd: mcp251xfd_register_get_dev_id(): use correct
    length to read dev_id (bsc#1012628).
  - can: mcp251xfd: mcp251xfd_register_get_dev_id(): fix endianness
    conversion (bsc#1012628).
  - can: rcar_canfd: Fix data transmission failed on R-Car V3U
    (bsc#1012628).
  - ASoC: qdsp6: q6apm-dai: unprepare stream if its already prepared
    (bsc#1012628).
  - MAINTAINERS: Remove iommu@lists.linux-foundation.org
    (bsc#1012628).
  - iommu/vt-d: Fix PCI bus rescan device hot add (bsc#1012628).
  - iommu/vt-d: Fix RID2PASID setup/teardown failure (bsc#1012628).
  - cxl/mbox: Use __le32 in get,set_lsa mailbox structures
    (bsc#1012628).
  - cxl: Fix cleanup of port devices on failure to probe driver
    (bsc#1012628).
  - fbdev: fbmem: Fix logo center image dx issue (bsc#1012628).
  - fbmem: Check virtual screen sizes in fb_set_var() (bsc#1012628).
  - fbcon: Disallow setting font bigger than screen size
    (bsc#1012628).
  - fbcon: Prevent that screen size is smaller than font size
    (bsc#1012628).
  - PM: runtime: Redefine pm_runtime_release_supplier()
    (bsc#1012628).
  - PM: runtime: Fix supplier device management during consumer
    probe (bsc#1012628).
  - memregion: Fix memregion_free() fallback definition
    (bsc#1012628).
  - video: of_display_timing.h: include errno.h (bsc#1012628).
  - fscache: Fix invalidation/lookup race (bsc#1012628).
  - fscache: Fix if condition in fscache_wait_on_volume_collision()
    (bsc#1012628).
  - powerpc/powernv: delay rng platform device creation until
    later in boot (bsc#1012628).
  - net: dsa: qca8k: reset cpu port on MTU change (bsc#1012628).
  - ARM: meson: Fix refcount leak in meson_smp_prepare_cpus
    (bsc#1012628).
  - pinctrl: sunxi: a83t: Fix NAND function name for some pins
    (bsc#1012628).
  - srcu: Tighten cleanup_srcu_struct() GP checks (bsc#1012628).
  - ASoC: rt711: Add endianness flag in snd_soc_component_driver
    (bsc#1012628).
  - ASoC: rt711-sdca: Add endianness flag in
    snd_soc_component_driver (bsc#1012628).
  - ASoC: codecs: rt700/rt711/rt711-sdca: resume bus/codec in
    .set_jack_detect (bsc#1012628).
  - ASoC: SOF: ipc3-topology: Move and correct size checks in
    sof_ipc3_control_load_bytes() (bsc#1012628).
  - ASoC: SOF: Intel: hda: Fix compressed stream position tracking
    (bsc#1012628).
  - arm64: dts: qcom: sm8450: fix interconnects property of UFS node
    (bsc#1012628).
  - arm64: dts: qcom: msm8994: Fix CPU6/7 reg values (bsc#1012628).
  - arm64: dts: qcom: sdm845: use dispcc AHB clock for mdss node
    (bsc#1012628).
  - ARM: mxs_defconfig: Enable the framebuffer (bsc#1012628).
  - arm64: dts: imx8mp-evk: correct mmc pad settings (bsc#1012628).
  - arm64: dts: imx8mp-evk: correct the uart2 pinctl value
    (bsc#1012628).
  - arm64: dts: imx8mp-evk: correct gpio-led pad settings
    (bsc#1012628).
  - arm64: dts: imx8mp-evk: correct vbus pad settings (bsc#1012628).
  - arm64: dts: imx8mp-evk: correct eqos pad settings (bsc#1012628).
  - arm64: dts: imx8mp-evk: correct I2C5 pad settings (bsc#1012628).
  - arm64: dts: imx8mp-evk: correct I2C1 pad settings (bsc#1012628).
  - arm64: dts: imx8mp-evk: correct I2C3 pad settings (bsc#1012628).
  - arm64: dts: imx8mp-phyboard-pollux-rdk: correct uart pad
    settings (bsc#1012628).
  - arm64: dts: imx8mp-phyboard-pollux-rdk: correct eqos pad
    settings (bsc#1012628).
  - arm64: dts: imx8mp-phyboard-pollux-rdk: correct i2c2 & mmc
    settings (bsc#1012628).
  - pinctrl: sunxi: sunxi_pconf_set: use correct offset
    (bsc#1012628).
  - arm64: dts: qcom: msm8992-*: Fix vdd_lvs1_2-supply typo
    (bsc#1012628).
  - ARM: at91: pm: use proper compatible for sama5d2's rtc
    (bsc#1012628).
  - ARM: at91: pm: use proper compatibles for sam9x60's rtc and rtt
    (bsc#1012628).
  - ARM: at91: pm: use proper compatibles for sama7g5's rtc and rtt
    (bsc#1012628).
  - ARM: dts: at91: sam9x60ek: fix eeprom compatible and size
    (bsc#1012628).
  - ARM: dts: at91: sama5d2_icp: fix eeprom compatibles
    (bsc#1012628).
  - ARM: at91: fix soc detection for SAM9X60 SiPs (bsc#1012628).
  - xsk: Clear page contiguity bit when unmapping pool
    (bsc#1012628).
  - i2c: piix4: Fix a memory leak in the EFCH MMIO support
    (bsc#1012628).
  - i40e: Fix dropped jumbo frames statistics (bsc#1012628).
  - i40e: Fix VF's MAC Address change on VM (bsc#1012628).
  - ARM: dts: stm32: add missing usbh clock and fix clk order on
    stm32mp15 (bsc#1012628).
  - ibmvnic: Properly dispose of all skbs during a failover
    (bsc#1012628).
  - selftests: forwarding: fix flood_unicast_test when h2 supports
    IFF_UNICAST_FLT (bsc#1012628).
  - selftests: forwarding: fix learning_test when h1 supports
    IFF_UNICAST_FLT (bsc#1012628).
  - selftests: forwarding: fix error message in learning_test
    (bsc#1012628).
  - ACPI: CPPC: Check _OSC for flexible address space (bsc#1012628).
  - ACPI: bus: Set CPPC _OSC bits for all and when CPPC_LIB is
    supported (bsc#1012628).
  - ACPI: CPPC: Only probe for _CPC if CPPC v2 is acked
    (bsc#1012628).
  - ACPI: CPPC: Don't require _OSC if X86_FEATURE_CPPC is supported
    (bsc#1012628).
  - net/mlx5e: Fix matchall police parameters validation
    (bsc#1012628).
  - mptcp: Avoid acquiring PM lock for subflow priority changes
    (bsc#1012628).
  - mptcp: Acquire the subflow socket lock before modifying MP_PRIO
    flags (bsc#1012628).
  - mptcp: fix local endpoint accounting (bsc#1012628).
  - r8169: fix accessing unset transport header (bsc#1012628).
  - i2c: cadence: Unregister the clk notifier in error path
    (bsc#1012628).
  - net/sched: act_api: Add extack to offload_act_setup() callback
    (bsc#1012628).
  - net/sched: act_police: Add extack messages for offload failure
    (bsc#1012628).
  - net/sched: act_police: allow 'continue' action offload
    (bsc#1012628).
  - dmaengine: imx-sdma: Allow imx8m for imx7 FW revs (bsc#1012628).
  - dmaengine: imx-sdma: only restart cyclic channel when enabled
    (bsc#1012628).
  - misc: rtsx_usb: fix use of dma mapped buffer for usb bulk
    transfer (bsc#1012628).
  - misc: rtsx_usb: use separate command and response buffers
    (bsc#1012628).
  - misc: rtsx_usb: set return value in rsp_buf alloc err path
    (bsc#1012628).
  - dmaengine: dw-axi-dmac: Fix RMW on channel suspend register
    (bsc#1012628).
  - dt-bindings: dma: allwinner,sun50i-a64-dma: Fix min/max typo
    (bsc#1012628).
  - ida: don't use BUG_ON() for debugging (bsc#1012628).
  - dmaengine: pl330: Fix lockdep warning about non-static key
    (bsc#1012628).
  - dmaengine: lgm: Fix an error handling path in intel_ldma_probe()
    (bsc#1012628).
  - dmaengine: at_xdma: handle errors of at_xdmac_alloc_desc()
    correctly (bsc#1012628).
  - dmaengine: ti: Fix refcount leak in ti_dra7_xbar_route_allocate
    (bsc#1012628).
  - dmaengine: qcom: bam_dma: fix runtime PM underflow
    (bsc#1012628).
  - dmaengine: ti: Add missing put_device in
    ti_dra7_xbar_route_allocate (bsc#1012628).
  - dmaengine: idxd: force wq context cleanup on device disable path
    (bsc#1012628).
  - commit 0e7e901
* Mon Jul 11 2022 mkubecek@suse.cz
  - Update to 5.19-rc6
  - update configs
    - s390x/zfcpdump
    - CRC32_S390=n
    - SHA512_S390=n
    - SHA1_S390=n
    - SHA256_S390=n
    - SHA3_256_S390=n
    - SHA3_512_S390=n
    - GHASH_S390=n
    - AES_S390=n
    - DES_S390=n
    - CHACHA_S390=n
    - KEXEC_FILE=n
  - commit 5477bdd
* Fri Jul 08 2022 jslaby@suse.cz
  - Linux 5.18.10 (bsc#1012628).
  - xen/arm: Fix race in RB-tree based P2M accounting (bsc#1012628).
  - xen-netfront: restore __skb_queue_tail() positioning in
    xennet_get_responses() (bsc#1012628).
  - xen/blkfront: force data bouncing when backend is untrusted
    (bsc#1012628).
  - xen/netfront: force data bouncing when backend is untrusted
    (bsc#1012628).
  - xen/netfront: fix leaking data in shared pages (bsc#1012628).
  - xen/blkfront: fix leaking data in shared pages (bsc#1012628).
  - hwmon: (ibmaem) don't call platform_device_del() if
    platform_device_add() fails (bsc#1012628).
  - net: sparx5: mdb add/del handle non-sparx5 devices
    (bsc#1012628).
  - net: sparx5: Add handling of host MDB entries (bsc#1012628).
  - drm/fourcc: fix integer type usage in uapi header (bsc#1012628).
  - platform/x86: panasonic-laptop: filter out duplicate volume
    up/down/mute keypresses (bsc#1012628).
  - platform/x86: panasonic-laptop: don't report duplicate
    brightness key-presses (bsc#1012628).
  - platform/x86: panasonic-laptop: revert "Resolve hotkey double
    trigger bug" (bsc#1012628).
  - platform/x86: panasonic-laptop: sort includes alphabetically
    (bsc#1012628).
  - platform/x86: panasonic-laptop: de-obfuscate button codes
    (bsc#1012628).
  - drivers: cpufreq: Add missing of_node_put() in qoriq-cpufreq.c
    (bsc#1012628).
  - drm/msm/gem: Fix error return on fence id alloc fail
    (bsc#1012628).
  - drm/i915/dgfx: Disable d3cold at gfx root port (bsc#1012628).
  - drm/i915/gem: add missing else (bsc#1012628).
  - platform/x86: ideapad-laptop: Add allow_v4_dytc module parameter
    (bsc#1012628).
  - drm/msm/dpu: Increment vsync_cnt before waking up userspace
    (bsc#1012628).
  - cifs: fix minor compile warning (bsc#1012628).
  - net: tun: avoid disabling NAPI twice (bsc#1012628).
  - mlxsw: spectrum_router: Fix rollback in tunnel next hop init
    (bsc#1012628).
  - ipv6: fix lockdep splat in in6_dump_addrs() (bsc#1012628).
  - ipv6/sit: fix ipip6_tunnel_get_prl return value (bsc#1012628).
  - nvmet: add a clear_ids attribute for passthru targets
    (bsc#1012628).
  - fanotify: refine the validation checks on non-dir inode mask
    (bsc#1012628).
  - tunnels: do not assume mac header is set in
    skb_tunnel_check_pmtu() (bsc#1012628).
  - ACPI: video: Change how we determine if brightness key-presses
    are handled (bsc#1012628).
  - nvmet-tcp: fix regression in data_digest calculation
    (bsc#1012628).
  - tcp: add a missing nf_reset_ct() in 3WHS handling (bsc#1012628).
  - cpufreq: qcom-hw: Don't do lmh things without a throttle
    interrupt (bsc#1012628).
  - epic100: fix use after free on rmmod (bsc#1012628).
  - tipc: move bc link creation back to tipc_node_create
    (bsc#1012628).
  - NFC: nxp-nci: Don't issue a zero length i2c_master_read()
    (bsc#1012628).
  - nfc: nfcmrvl: Fix irq_of_parse_and_map() return value
    (bsc#1012628).
  - platform/x86: ideapad-laptop: Add Ideapad 5 15ITL05 to
    ideapad_dytc_v4_allow_table[] (bsc#1012628).
  - platform/x86: thinkpad_acpi: Fix a memory leak of EFCH MMIO
    resource (bsc#1012628).
  - powerpc/memhotplug: Add add_pages override for PPC
    (bsc#1012628).
  - Update config files.
  - net: dsa: felix: fix race between reading PSFP stats and port
    stats (bsc#1012628).
  - net: bonding: fix use-after-free after 802.3ad slave unbind
    (bsc#1012628).
  - selftests net: fix kselftest net fatal error (bsc#1012628).
  - net: phy: ax88772a: fix lost pause advertisement configuration
    (bsc#1012628).
  - net: bonding: fix possible NULL deref in rlb code (bsc#1012628).
  - net: asix: fix "can't send until first packet is send" issue
    (bsc#1012628).
  - net/sched: act_api: Notify user space if any actions were
    flushed before error (bsc#1012628).
  - net/dsa/hirschmann: Add missing of_node_get() in
    hellcreek_led_setup() (bsc#1012628).
  - netfilter: nft_dynset: restore set element counter when failing
    to update (bsc#1012628).
  - s390: remove unneeded 'select BUILD_BIN2C' (bsc#1012628).
  - vdpa/mlx5: Update Control VQ callback information (bsc#1012628).
  - lib/sbitmap: Fix invalid loop in __sbitmap_queue_get_batch()
    (bsc#1012628).
  - PM / devfreq: exynos-ppmu: Fix refcount leak in
    of_get_devfreq_events (bsc#1012628).
  - io_uring: ensure that send/sendmsg and recv/recvmsg check
    sqe->ioprio (bsc#1012628).
  - caif_virtio: fix race between virtio_device_ready() and
    ndo_open() (bsc#1012628).
  - vfs: fix copy_file_range() regression in cross-fs copies
    (bsc#1012628).
  - NFSv4: Add an fattr allocation to _nfs4_discover_trunking()
    (bsc#1012628).
  - NFSD: restore EINVAL error translation in nfsd_commit()
    (bsc#1012628).
  - NFS: restore module put when manager exits (bsc#1012628).
  - net: ipv6: unexport __init-annotated seg6_hmac_net_init()
    (bsc#1012628).
  - hwmon: (occ) Prevent power cap command overwriting poll response
    (bsc#1012628).
  - selftests: mptcp: Initialize variables to quiet gcc 12 warnings
    (bsc#1012628).
  - mptcp: fix conflict with <netinet/in.h> (bsc#1012628).
  - selftests: mptcp: more stable diag tests (bsc#1012628).
  - mptcp: fix race on unaccepted mptcp sockets (bsc#1012628).
  - usbnet: fix memory allocation in helpers (bsc#1012628).
  - net: usb: asix: do not force pause frames support (bsc#1012628).
  - linux/dim: Fix divide by 0 in RDMA DIM (bsc#1012628).
  - RDMA/cm: Fix memory leak in ib_cm_insert_listen (bsc#1012628).
  - RDMA/qedr: Fix reporting QP timeout attribute (bsc#1012628).
  - net: dp83822: disable rx error interrupt (bsc#1012628).
  - net: dp83822: disable false carrier interrupt (bsc#1012628).
  - net: fix IFF_TX_SKB_NO_LINEAR definition (bsc#1012628).
  - net: tun: stop NAPI when detaching queues (bsc#1012628).
  - net: tun: unlink NAPI from device on destruction (bsc#1012628).
  - net: dsa: bcm_sf2: force pause link settings (bsc#1012628).
  - selftests/net: pass ipv6_args to udpgso_bench's IPv6 TCP test
    (bsc#1012628).
  - virtio-net: fix race between ndo_open() and
    virtio_device_ready() (bsc#1012628).
  - net: usb: ax88179_178a: Fix packet receiving (bsc#1012628).
  - net: rose: fix UAF bugs caused by timer handler (bsc#1012628).
  - SUNRPC: Fix READ_PLUS crasher (bsc#1012628).
  - dm raid: fix KASAN warning in raid5_add_disks (bsc#1012628).
  - dm raid: fix accesses beyond end of raid member array
    (bsc#1012628).
  - cpufreq: amd-pstate: Add resume and suspend callbacks
    (bsc#1012628).
  - powerpc/bpf: Fix use of user_pt_regs in uapi (bsc#1012628).
  - powerpc/book3e: Fix PUD allocation size in map_kernel_page()
    (bsc#1012628).
  - powerpc/prom_init: Fix kernel config grep (bsc#1012628).
  - parisc/unaligned: Fix emulate_ldw() breakage (bsc#1012628).
  - parisc: Fix vDSO signal breakage on 32-bit kernel (bsc#1012628).
  - ceph: wait on async create before checking caps for syncfs
    (bsc#1012628).
  - nvdimm: Fix badblocks clear off-by-one error (bsc#1012628).
  - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA IM2P33F8ABR1
    (bsc#1012628).
  - nvme-pci: add NVME_QUIRK_BOGUS_NID for ADATA XPG SX6000LNP
    (AKA SPECTRIX S40G) (bsc#1012628).
  - s390/archrandom: simplify back to earlier design and initialize
    earlier (bsc#1012628).
  - net: phy: Don't trigger state machine while in suspend
    (bsc#1012628).
  - ipv6: take care of disable_policy when restoring routes
    (bsc#1012628).
  - ksmbd: use vfs_llseek instead of dereferencing NULL
    (bsc#1012628).
  - ksmbd: check invalid FileOffset and BeyondFinalZero in
    FSCTL_ZERO_DATA (bsc#1012628).
  - ksmbd: set the range of bytes to zero without extending file
    size in FSCTL_ZERO_DATA (bsc#1012628).
  - drm/amdgpu: To flush tlb for MMHUB of RAVEN series
    (bsc#1012628).
  - Revert "drm/amdgpu/display: set vblank_disable_immediate for DC"
    (bsc#1012628).
  - drm/amdgpu: fix adev variable used in
    amdgpu_device_gpu_recover() (bsc#1012628).
  - commit 97c4fd2
* Tue Jul 05 2022 tzimmermann@suse.de
  - drm/aperture: Run fbdev removal before internal helpers (boo#1193472)
  - commit aff8e8a
* Tue Jul 05 2022 tiwai@suse.de
  - netfilter: nf_tables: stricter validation of element data
    (CVE-2022-34918 bsc#1201171).
  - commit a1fda0d
* Mon Jul 04 2022 tzimmermann@suse.de
  - fbdev: Disable sysfb device registration when removing conflicting (boo#1193472)
  - commit c76a69f
* Mon Jul 04 2022 tzimmermann@suse.de
  - firmware: sysfb: Add sysfb_disable() helper function (boo#1193472)
  - commit 6072450
* Mon Jul 04 2022 tzimmermann@suse.de
  - firmware: sysfb: Make sysfb_create_simplefb() return a pdev pointer (boo#1193472)
  - commit 326d1c1
* Mon Jul 04 2022 mkubecek@suse.cz
  - Update to 5.19-rc5
  - update contigs
    - VIRTIO_HARDEN_NOTIFICATION=n
  - commit 59940d4
* Sun Jul 03 2022 jslaby@suse.cz
  - Linux 5.18.9 (bsc#1012628).
  - clocksource/drivers/ixp4xx: Drop boardfile probe path
    (bsc#1012628).
  - bcache: memset on stack variables in bch_btree_check() and
    bch_sectors_dirty_init() (bsc#1012628).
  - hinic: Replace memcpy() with direct assignment (bsc#1012628).
  - powerpc/ftrace: Remove ftrace init tramp once kernel init is
    complete (bsc#1012628).
  - io_uring: fix not locked access to fixed buf table
    (bsc#1012628).
  - commit 0e67dc1
* Fri Jul 01 2022 jslaby@suse.cz
  - tick/nohz: unexport __init-annotated tick_nohz_full_setup()
    (tick_nohz_full_setup fix).
  - commit 296483f
* Wed Jun 29 2022 jslaby@suse.cz
  - Linux 5.18.8 (bsc#1012628).
  - random: schedule mix_interrupt_randomness() less often
    (bsc#1012628).
  - random: quiet urandom warning ratelimit suppression message
    (bsc#1012628).
  - ALSA: memalloc: Drop x86-specific hack for WC allocations
    (bsc#1012628).
  - ALSA: hda/via: Fix missing beep setup (bsc#1012628).
  - ALSA: hda/conexant: Fix missing beep setup (bsc#1012628).
  - ALSA: hda/realtek: Add mute LED quirk for HP Omen laptop
    (bsc#1012628).
  - ALSA: hda/realtek - ALC897 headset MIC no sound (bsc#1012628).
  - ALSA: hda/realtek: Apply fixup for Lenovo Yoga Duet 7 properly
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Clevo PD70PNT (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Clevo NS50PU (bsc#1012628).
  - net: openvswitch: fix parsing of nw_proto for IPv6 fragments
    (bsc#1012628).
  - ipv4: ping: fix bind address validity check (bsc#1012628).
  - 9p: Fix refcounting during full path walks for fid lookups
    (bsc#1012628).
  - 9p: fix fid refcount leak in v9fs_vfs_atomic_open_dotl
    (bsc#1012628).
  - 9p: fix fid refcount leak in v9fs_vfs_get_link (bsc#1012628).
  - 9p: fix EBADF errors in cached mode (bsc#1012628).
  - btrfs: fix hang during unmount when block group reclaim task
    is running (bsc#1012628).
  - btrfs: prevent remounting to v1 space cache for subpage mount
    (bsc#1012628).
  - btrfs: add error messages to all unrecognized mount options
    (bsc#1012628).
  - scsi: ibmvfc: Store vhost pointer during subcrq allocation
    (bsc#1012628).
  - scsi: ibmvfc: Allocate/free queue resource only during
    probe/remove (bsc#1012628).
  - mmc: sdhci-pci-o2micro: Fix card detect by dealing with
    debouncing (bsc#1012628).
  - mmc: mediatek: wait dma stop bit reset to 0 (bsc#1012628).
  - xen/gntdev: Avoid blocking in unmap_grant_pages() (bsc#1012628).
  - MAINTAINERS: Add new IOMMU development mailing list
    (bsc#1012628).
  - mtd: rawnand: gpmi: Fix setting busy timeout setting
    (bsc#1012628).
  - ata: libata: add qc->flags in ata_qc_complete_template
    tracepoint (bsc#1012628).
  - dm era: commit metadata in postsuspend after worker stops
    (bsc#1012628).
  - dm: do not return early from dm_io_complete if BLK_STS_AGAIN
    without polling (bsc#1012628).
  - dm mirror log: clear log bits up to BITS_PER_LONG boundary
    (bsc#1012628).
  - tracing/kprobes: Check whether get_kretprobe() returns NULL
    in kretprobe_dispatcher() (bsc#1012628).
  - filemap: Handle sibling entries in filemap_get_read_batch()
    (bsc#1012628).
  - mm/slub: add missing TID updates on slab deactivation
    (bsc#1012628).
  - drm/i915: Implement w/a 22010492432 for adl-s (bsc#1012628).
  - amd/display/dc: Fix COLOR_ENCODING and COLOR_RANGE doing
    nothing for DCN20+ (bsc#1012628).
  - drm/amd/display: Fix typo in override_lane_settings
    (bsc#1012628).
  - USB: serial: pl2303: add support for more HXN (G) types
    (bsc#1012628).
  - USB: serial: option: add Telit LE910Cx 0x1250 composition
    (bsc#1012628).
  - USB: serial: option: add Quectel EM05-G modem (bsc#1012628).
  - USB: serial: option: add Quectel RM500K module support
    (bsc#1012628).
  - drm/msm: Ensure mmap offset is initialized (bsc#1012628).
  - drm/msm: Fix double pm_runtime_disable() call (bsc#1012628).
  - netfilter: use get_random_u32 instead of prandom (bsc#1012628).
  - scsi: scsi_debug: Fix zone transition to full condition
    (bsc#1012628).
  - drm/msm: Switch ordering of runpm put vs devfreq_idle
    (bsc#1012628).
  - scsi: iscsi: Exclude zero from the endpoint ID range
    (bsc#1012628).
  - xsk: Fix generic transmit when completion queue reservation
    fails (bsc#1012628).
  - drm/msm: use for_each_sgtable_sg to iterate over scatterlist
    (bsc#1012628).
  - bpf: Fix request_sock leak in sk lookup helpers (bsc#1012628).
  - drm/sun4i: Fix crash during suspend after component bind failure
    (bsc#1012628).
  - bpf, x86: Fix tail call count offset calculation on bpf2bpf call
    (bsc#1012628).
  - selftests dma: fix compile error for dma_map_benchmark
    (bsc#1012628).
  - scsi: storvsc: Correct reporting of Hyper-V I/O size limits
    (bsc#1012628).
  - phy: aquantia: Fix AN when higher speeds than 1G are not
    advertised (bsc#1012628).
  - KVM: arm64: Prevent kmemleak from accessing pKVM memory
    (bsc#1012628).
  - net: fix data-race in dev_isalive() (bsc#1012628).
  - veth: Add updating of trans_start (bsc#1012628).
  - tipc: fix use-after-free Read in tipc_named_reinit
    (bsc#1012628).
  - block: disable the elevator int del_gendisk (bsc#1012628).
  - rethook: Reject getting a rethook if RCU is not watching
    (bsc#1012628).
  - igb: fix a use-after-free issue in igb_clean_tx_ring
    (bsc#1012628).
  - bonding: ARP monitor spams NETDEV_NOTIFY_PEERS notifiers
    (bsc#1012628).
  - ethtool: Fix get module eeprom fallback (bsc#1012628).
  - net/sched: sch_netem: Fix arithmetic in netem_dump() for 32-bit
    platforms (bsc#1012628).
  - drm/msm/mdp4: Fix refcount leak in mdp4_modeset_init_intf
    (bsc#1012628).
  - drm/msm/dp: check core_initialized before disable interrupts
    at dp_display_unbind() (bsc#1012628).
  - drm/msm/dp: force link training for display resolution change
    (bsc#1012628).
  - net: phy: at803x: fix NULL pointer dereference on AR9331 PHY
    (bsc#1012628).
  - perf test: Record only user callchains on the "Check Arm64
    callgraphs are complete in fp mode" test (bsc#1012628).
  - perf test topology: Use !strncmp(right platform) to fix guest
    PPC comparision check (bsc#1012628).
  - perf arm-spe: Don't set data source if it's not a memory
    operation (bsc#1012628).
  - ipv4: fix bind address validity regression tests (bsc#1012628).
  - erspan: do not assume transport header is always set
    (bsc#1012628).
  - net/tls: fix tls_sk_proto_close executed repeatedly
    (bsc#1012628).
  - udmabuf: add back sanity check (bsc#1012628).
  - selftests: netfilter: correct PKTGEN_SCRIPT_PATHS in
    nft_concat_range.sh (bsc#1012628).
  - netfilter: nf_dup_netdev: do not push mac header a second time
    (bsc#1012628).
  - netfilter: nf_dup_netdev: add and use recursion counter
    (bsc#1012628).
  - xen-blkfront: Handle NULL gendisk (bsc#1012628).
  - x86/xen: Remove undefined behavior in setup_features()
    (bsc#1012628).
  - MIPS: Remove repetitive increase irq_err_count (bsc#1012628).
  - afs: Fix dynamic root getattr (bsc#1012628).
  - block: pop cached rq before potentially blocking
    rq_qos_throttle() (bsc#1012628).
  - ice: ignore protocol field in GTP offload (bsc#1012628).
  - ice: Fix switchdev rules book keeping (bsc#1012628).
  - ice: ethtool: advertise 1000M speeds properly (bsc#1012628).
  - ice: ethtool: Prohibit improper channel config for DCB
    (bsc#1012628).
  - io_uring: fail links when poll fails (bsc#1012628).
  - regmap-irq: Fix a bug in regmap_irq_enable() for type_in_mask
    chips (bsc#1012628).
  - regmap-irq: Fix offset/index mismatch in read_sub_irq_data()
    (bsc#1012628).
  - iommu/ipmmu-vmsa: Fix compatible for rcar-gen4 (bsc#1012628).
  - drm/amd: Revert "drm/amd/display: keep eDP Vdd on when eDP
    stream is already enabled" (bsc#1012628).
  - net: dsa: qca8k: reduce mgmt ethernet timeout (bsc#1012628).
  - igb: Make DMA faster when CPU is active on the PCIe link
    (bsc#1012628).
  - virtio_net: fix xdp_rxq_info bug after suspend/resume
    (bsc#1012628).
  - Revert "net/tls: fix tls_sk_proto_close executed repeatedly"
    (bsc#1012628).
  - sock: redo the psock vs ULP protection check (bsc#1012628).
  - nvme: move the Samsung X5 quirk entry to the core quirks
    (bsc#1012628).
  - gpio: winbond: Fix error code in winbond_gpio_get()
    (bsc#1012628).
  - s390/cpumf: Handle events cycles and instructions identical
    (bsc#1012628).
  - filemap: Fix serialization adding transparent huge pages to
    page cache (bsc#1012628).
  - KVM: SEV: Init target VMCBs in sev_migrate_from (bsc#1012628).
  - iio: mma8452: fix probe fail when device tree compatible is used
    (bsc#1012628).
  - iio: magnetometer: yas530: Fix memchr_inv() misuse
    (bsc#1012628).
  - iio: adc: xilinx-ams: fix return error variable (bsc#1012628).
  - iio: adc: vf610: fix conversion mode sysfs node name
    (bsc#1012628).
  - io_uring: make apoll_events a __poll_t (bsc#1012628).
  - io_uring: fix req->apoll_events (bsc#1012628).
  - usb: typec: wcove: Drop wrong dependency to INTEL_SOC_PMIC
    (bsc#1012628).
  - io_uring: fix wrong arm_poll error handling (bsc#1012628).
  - vmcore: convert copy_oldmem_page() to take an iov_iter
    (bsc#1012628).
  - s390/crash: add missing iterator advance in copy_oldmem_page()
    (bsc#1012628).
  - s390/crash: make copy_oldmem_page() return number of bytes
    copied (bsc#1012628).
  - xhci: turn off port power in shutdown (bsc#1012628).
  - xhci-pci: Allow host runtime PM as default for Intel Raptor
    Lake xHCI (bsc#1012628).
  - xhci-pci: Allow host runtime PM as default for Intel Meteor
    Lake xHCI (bsc#1012628).
  - usb: gadget: uvc: fix list double add in uvcg_video_pump
    (bsc#1012628).
  - usb: gadget: Fix non-unique driver names in raw-gadget driver
    (bsc#1012628).
  - USB: gadget: Fix double-free bug in raw_gadget driver
    (bsc#1012628).
  - usb: chipidea: udc: check request status before setting device
    address (bsc#1012628).
  - dt-bindings: usb: ohci: Increase the number of PHYs
    (bsc#1012628).
  - dt-bindings: usb: ehci: Increase the number of PHYs
    (bsc#1012628).
  - btrfs: fix race between reflinking and ordered extent completion
    (bsc#1012628).
  - btrfs: don't set lock_owner when locking extent buffer for
    reading (bsc#1012628).
  - btrfs: fix deadlock with fsync+fiemap+transaction commit
    (bsc#1012628).
  - f2fs: attach inline_data after setting compression
    (bsc#1012628).
  - f2fs: fix iostat related lock protection (bsc#1012628).
  - f2fs: do not count ENOENT for error case (bsc#1012628).
  - iio:humidity:hts221: rearrange iio trigger get and register
    (bsc#1012628).
  - iio:proximity:sx9324: Check ret value of
    device_property_read_u32_array() (bsc#1012628).
  - iio:chemical:ccs811: rearrange iio trigger get and register
    (bsc#1012628).
  - iio:accel:kxcjk-1013: rearrange iio trigger get and register
    (bsc#1012628).
  - iio:accel:bma180: rearrange iio trigger get and register
    (bsc#1012628).
  - iio:accel:mxc4005: rearrange iio trigger get and register
    (bsc#1012628).
  - iio: accel: mma8452: ignore the return value of reset operation
    (bsc#1012628).
  - iio: gyro: mpu3050: Fix the error handling in mpu3050_power_up()
    (bsc#1012628).
  - iio: trigger: sysfs: fix use-after-free on remove (bsc#1012628).
  - iio: adc: stm32: fix maximum clock rate for stm32mp15x
    (bsc#1012628).
  - iio: imu: inv_icm42600: Fix broken icm42600 (chip id 0 value)
    (bsc#1012628).
  - iio: afe: rescale: Fix boolean logic bug (bsc#1012628).
  - iio: test: fix missing MODULE_LICENSE for IIO_RESCALE=m
    (bsc#1012628).
  - iio: adc: aspeed: Fix refcount leak in aspeed_adc_set_trim_data
    (bsc#1012628).
  - iio: adc: stm32: Fix ADCs iteration in irq handler
    (bsc#1012628).
  - iio: adc: stm32: Fix IRQs on STM32F4 by removing custom spurious
    IRQs message (bsc#1012628).
  - iio: adc: stm32: fix vrefint wrong calibration value handling
    (bsc#1012628).
  - iio: adc: axp288: Override TS pin bias current for some models
    (bsc#1012628).
  - iio: adc: rzg2l_adc: add missing fwnode_handle_put() in
    rzg2l_adc_parse_properties() (bsc#1012628).
  - iio: adc: adi-axi-adc: Fix refcount leak in
    adi_axi_adc_attach_client (bsc#1012628).
  - iio: adc: ti-ads131e08: add missing fwnode_handle_put() in
    ads131e08_alloc_channels() (bsc#1012628).
  - xtensa: xtfpga: Fix refcount leak bug in setup (bsc#1012628).
  - xtensa: Fix refcount leak bug in time.c (bsc#1012628).
  - parisc/stifb: Fix fb_is_primary_device() only available with
    CONFIG_FB_STI (bsc#1012628).
  - parisc: Fix flush_anon_page on PA8800/PA8900 (bsc#1012628).
  - parisc: Enable ARCH_HAS_STRICT_MODULE_RWX (bsc#1012628).
  - arm64: dts: ti: k3-j721s2: Fix overlapping GICD memory region
    (bsc#1012628).
  - powerpc/microwatt: wire up rng during setup_arch()
    (bsc#1012628).
  - powerpc: Enable execve syscall exit tracepoint (bsc#1012628).
  - powerpc/rtas: Allow ibm,platform-dump RTAS call with null
    buffer address (bsc#1012628).
  - powerpc/powernv: wire up rng during setup_arch (bsc#1012628).
  - mm/memory-failure: disable unpoison once hw error happens
    (bsc#1012628).
  - mm: lru_cache_disable: use synchronize_rcu_expedited
    (bsc#1012628).
  - ARM: dts: imx7: Move hsic_phy power domain to HSIC PHY node
    (bsc#1012628).
  - ARM: dts: imx6qdl: correct PU regulator ramp delay
    (bsc#1012628).
  - arm64: dts: ti: k3-am64-main: Remove support for HS400 speed
    mode (bsc#1012628).
  - ARM: exynos: Fix refcount leak in exynos_map_pmu (bsc#1012628).
  - arm64: dts: exynos: Correct UART clocks on Exynos7885
    (bsc#1012628).
  - soc: bcm: brcmstb: pm: pm-arm: Fix refcount leak in
    brcmstb_pm_probe (bsc#1012628).
  - ARM: Fix refcount leak in axxia_boot_secondary (bsc#1012628).
  - memory: mtk-smi: add missing put_device() call in
    mtk_smi_device_link_common (bsc#1012628).
  - memory: samsung: exynos5422-dmc: Fix refcount leak in
    of_get_dram_timings (bsc#1012628).
  - ARM: cns3xxx: Fix refcount leak in cns3xxx_init (bsc#1012628).
  - modpost: fix section mismatch check for exported init/exit
    sections (bsc#1012628).
  - ARM: dts: bcm2711-rpi-400: Fix GPIO line names (bsc#1012628).
  - smb3: fix empty netname context on secondary channels
    (bsc#1012628).
  - random: update comment from copy_to_user() -> copy_to_iter()
    (bsc#1012628).
  - perf build-id: Fix caching files with a wrong build ID
    (bsc#1012628).
  - smb3: use netname when available on secondary channels
    (bsc#1012628).
  - dma-direct: use the correct size for dma_set_encrypted()
    (bsc#1012628).
  - kbuild: link vmlinux only once for CONFIG_TRIM_UNUSED_KSYMS
    (2nd attempt) (bsc#1012628).
  - powerpc/pseries: wire up rng during setup_arch() (bsc#1012628).
  - commit 4e30480
* Mon Jun 27 2022 mkubecek@suse.cz
  - Update to 5.19-rc4
  - update configs
    - FIPS_SIGNATURE_SELFTEST=n
  - commit c256fc8
* Sun Jun 26 2022 jslaby@suse.cz
  - Linux 5.18.7 (bsc#1012628).
  - s390/mm: use non-quiescing sske for KVM switch to keyed guest
    (bsc#1012628).
  - zonefs: fix zonefs_iomap_begin() for reads (bsc#1012628).
  - fsnotify: introduce mark type iterator (bsc#1012628).
  - fsnotify: consistent behavior for parent not watching children
    (bsc#1012628).
  - bpf: Fix calling global functions from BPF_PROG_TYPE_EXT
    programs (bsc#1012628).
  - selftests/bpf: Add selftest for calling global functions from
    freplace (bsc#1012628).
  - dt-bindings: nvmem: sfp: Add clock properties (bsc#1012628).
  - io_uring: use original request task for inflight tracking
    (bsc#1012628).
  - commit 531894c
* Fri Jun 24 2022 mkubecek@suse.cz
  - config: enable MLX90614
    MLX90614 is I2C (SMBus) remote temperature sensor.
    The boards are available for SBCs:
    https://www.waveshare.com/product/modules/sensors/temperature-humidity-barometer/infrared-temperature-sensor.htm
    Enable the driver for potential users.
    Link: https://lists.opensuse.org/archives/list/kernel@lists.opensuse.org/thread/VHBAZ4YTJZ6H2DTMELYWILNGMRBXBMPI/
  - commit 1a61419
* Thu Jun 23 2022 jslaby@suse.cz
  - Linux 5.18.6 (bsc#1012628).
  - Revert "drm/amd/display: Fix DCN3 B0 DP Alt Mapping"
    (bsc#1012628).
  - arm64: dts: imx8mm-beacon: Enable RTS-CTS on UART3
    (bsc#1012628).
  - arm64: dts: imx8mn-beacon: Enable RTS-CTS on UART3
    (bsc#1012628).
  - io_uring: reinstate the inflight tracking (bsc#1012628).
  - powerpc/kasan: Silence KASAN warnings in __get_wchan()
    (bsc#1012628).
  - ASoC: nau8822: Add operation for internal PLL off and on
    (bsc#1012628).
  - ASoC: qcom: lpass-platform: Update VMA access permissions in
    mmap callback (bsc#1012628).
  - drm/amd/display: Read Golden Settings Table from VBIOS
    (bsc#1012628).
  - drm/amdgpu: Resolve RAS GFX error count issue after cold boot
    on Arcturus (bsc#1012628).
  - drm/amdkfd: Use mmget_not_zero in MMU notifier (bsc#1012628).
  - dma-debug: make things less spammy under memory pressure
    (bsc#1012628).
  - ASoC: Intel: cirrus-common: fix incorrect channel mapping
    (bsc#1012628).
  - ASoC: cs42l52: Fix TLV scales for mixer controls (bsc#1012628).
  - ASoC: cs35l36: Update digital volume TLV (bsc#1012628).
  - ASoC: cs53l30: Correct number of volume levels on SX controls
    (bsc#1012628).
  - ASoC: cs42l52: Correct TLV for Bypass Volume (bsc#1012628).
  - ASoC: cs42l56: Correct typo in minimum level for SX volume
    controls (bsc#1012628).
  - ASoC: cs42l51: Correct minimum value for SX volume control
    (bsc#1012628).
  - drm/amdkfd: add pinned BOs to kfd_bo_list (bsc#1012628).
  - ata: libata-core: fix NULL pointer deref in
    ata_host_alloc_pinfo() (bsc#1012628).
  - quota: Prevent memory allocation recursion while holding dq_lock
    (bsc#1012628).
  - ASoC: wm8962: Fix suspend while playing music (bsc#1012628).
  - ASoC: es8328: Fix event generation for deemphasis control
    (bsc#1012628).
  - ASoC: wm_adsp: Fix event generation for wm_adsp_fw_put()
    (bsc#1012628).
  - ALSA: hda: MTL: add HD Audio PCI ID and HDMI codec vendor ID
    (bsc#1012628).
  - Input: soc_button_array - also add Lenovo Yoga Tablet2 1051F
    to dmi_use_low_level_irq (bsc#1012628).
  - scsi: vmw_pvscsi: Expand vcpuHint to 16 bits (bsc#1012628).
  - scsi: lpfc: Resolve NULL ptr dereference after an ELS LOGO is
    aborted (bsc#1012628).
  - scsi: lpfc: Fix port stuck in bypassed state after LIP in
    PT2PT topology (bsc#1012628).
  - scsi: lpfc: Allow reduced polling rate for
    nvme_admin_async_event cmd completion (bsc#1012628).
  - scsi: mpt3sas: Fix out-of-bounds compiler warning (bsc#1012628).
  - scsi: ipr: Fix missing/incorrect resource cleanup in error case
    (bsc#1012628).
  - scsi: pmcraid: Fix missing resource cleanup in error case
    (bsc#1012628).
  - ALSA: hda/realtek - Add HW8326 support (bsc#1012628).
  - virtio-mmio: fix missing put_device() when vm_cmdline_parent
    registration failed (bsc#1012628).
  - nfc: nfcmrvl: Fix memory leak in nfcmrvl_play_deferred
    (bsc#1012628).
  - ipv6: Fix signed integer overflow in __ip6_append_data
    (bsc#1012628).
  - ipv6: Fix signed integer overflow in l2tp_ip6_sendmsg
    (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix misuse of mem alloc interface
    netdev[napi]_alloc_frag (bsc#1012628).
  - mellanox: mlx5: avoid uninitialized variable warning with gcc-12
    (bsc#1012628).
  - MIPS: Loongson-3: fix compile mips cpu_hwmon as module build
    error (bsc#1012628).
  - random: credit cpu and bootloader seeds by default
    (bsc#1012628).
  - gpio: dwapb: Don't print error on -EPROBE_DEFER (bsc#1012628).
  - platform/x86/intel: Fix pmt_crashlog array reference
    (bsc#1012628).
  - platform/x86/intel: pmc: Support Intel Raptorlake P
    (bsc#1012628).
  - platform/x86: gigabyte-wmi: Add Z690M AORUS ELITE AX DDR4
    support (bsc#1012628).
  - platform/x86: gigabyte-wmi: Add support for B450M DS3H-CF
    (bsc#1012628).
  - platform/x86/intel: hid: Add Surface Go to VGBS allow list
    (bsc#1012628).
  - staging: r8188eu: fix rtw_alloc_hwxmits error detection for now
    (bsc#1012628).
  - staging: r8188eu: Fix warning of array overflow in ioctl_linux.c
    (bsc#1012628).
  - pNFS: Don't keep retrying if the server replied
    NFS4ERR_LAYOUTUNAVAILABLE (bsc#1012628).
  - pNFS: Avoid a live lock condition in pnfs_update_layout()
    (bsc#1012628).
  - sunrpc: set cl_max_connect when cloning an rpc_clnt
    (bsc#1012628).
  - clocksource: hyper-v: unexport __init-annotated
    hv_init_clocksource() (bsc#1012628).
  - i40e: Fix adding ADQ filter to TC0 (bsc#1012628).
  - i40e: Fix calculating the number of queue pairs (bsc#1012628).
  - i40e: Fix call trace in setup_tx_descriptors (bsc#1012628).
  - iavf: Fix issue with MAC address of VF shown as zero
    (bsc#1012628).
  - Drivers: hv: vmbus: Release cpu lock in error case
    (bsc#1012628).
  - tty: goldfish: Fix free_irq() on remove (bsc#1012628).
  - misc: atmel-ssc: Fix IRQ check in ssc_probe (bsc#1012628).
  - riscv: dts: microchip: re-add pdma to mpfs device tree
    (bsc#1012628).
  - io_uring: fix races with file table unregister (bsc#1012628).
  - io_uring: fix races with buffer table unregister (bsc#1012628).
  - drm/i915/reset: Fix error_state_read ptr + offset use
    (bsc#1012628).
  - net: hns3: set port base vlan tbl_sta to false before removing
    old vlan (bsc#1012628).
  - net: hns3: don't push link state to VF if unalive (bsc#1012628).
  - net: hns3: restore tm priority/qset to default settings when
    tc disabled (bsc#1012628).
  - net: hns3: fix PF rss size initialization bug (bsc#1012628).
  - net: hns3: fix tm port shapping of fibre port is incorrect
    after driver initialization (bsc#1012628).
  - nvme: add device name to warning in uuid_show() (bsc#1012628).
  - mlxsw: spectrum_cnt: Reorder counter pools (bsc#1012628).
  - ice: Fix PTP TX timestamp offset calculation (bsc#1012628).
  - ice: Sync VLAN filtering features for DVM (bsc#1012628).
  - ice: Fix queue config fail handling (bsc#1012628).
  - ice: Fix memory corruption in VF driver (bsc#1012628).
  - net: bgmac: Fix an erroneous kfree() in bgmac_remove()
    (bsc#1012628).
  - net: remove noblock parameter from skb_recv_datagram()
    (bsc#1012628).
  - net: ax25: Fix deadlock caused by skb_recv_datagram in
    ax25_recvmsg (bsc#1012628).
  - arm64: ftrace: fix branch range checks (bsc#1012628).
  - arm64: ftrace: consistently handle PLTs (bsc#1012628).
  - certs/blacklist_hashes.c: fix const confusion in certs blacklist
    (bsc#1012628).
  - init: Initialize noop_backing_dev_info early (bsc#1012628).
  - block: Fix handling of offline queues in
    blk_mq_alloc_request_hctx() (bsc#1012628).
  - faddr2line: Fix overlapping text section failures, the sequel
    (bsc#1012628).
  - x86/ftrace: Remove OBJECT_FILES_NON_STANDARD usage
    (bsc#1012628).
  - i2c: npcm7xx: Add check for platform_driver_register
    (bsc#1012628).
  - irqchip/gic/realview: Fix refcount leak in realview_gic_of_init
    (bsc#1012628).
  - irqchip/apple-aic: Fix refcount leak in build_fiq_affinity
    (bsc#1012628).
  - irqchip/apple-aic: Fix refcount leak in aic_of_ic_init
    (bsc#1012628).
  - irqchip/gic-v3: Fix error handling in
    gic_populate_ppi_partitions (bsc#1012628).
  - irqchip/gic-v3: Fix refcount leak in gic_populate_ppi_partitions
    (bsc#1012628).
  - irqchip/realtek-rtl: Fix refcount leak in map_interrupts
    (bsc#1012628).
  - sched: Fix balance_push() vs __sched_setscheduler()
    (bsc#1012628).
  - i2c: designware: Use standard optional ref clock implementation
    (bsc#1012628).
  - i2c: mediatek: Fix an error handling path in mtk_i2c_probe()
    (bsc#1012628).
  - mei: hbm: drop capability response on early shutdown
    (bsc#1012628).
  - mei: me: add raptor lake point S DID (bsc#1012628).
  - comedi: vmk80xx: fix expression for tx buffer size
    (bsc#1012628).
  - crypto: memneq - move into lib/ (bsc#1012628).
  - USB: serial: option: add support for Cinterion MV31 with new
    baseline (bsc#1012628).
  - USB: serial: io_ti: add Agilent E5805A support (bsc#1012628).
  - arm64: mm: Don't invalidate FROM_DEVICE buffers at start of
    DMA transfer (bsc#1012628).
  - usb: dwc2: Fix memory leak in dwc2_hcd_init (bsc#1012628).
  - usb: cdnsp: Fixed setting last_trb incorrectly (bsc#1012628).
  - usb: dwc3: gadget: Fix IN endpoint max packet size allocation
    (bsc#1012628).
  - usb: dwc3: pci: Restore line lost in merge conflict resolution
    (bsc#1012628).
  - usb: gadget: u_ether: fix regression in setting fixed MAC
    address (bsc#1012628).
  - usb: gadget: lpc32xx_udc: Fix refcount leak in lpc32xx_udc_probe
    (bsc#1012628).
  - usb: gadget: f_fs: change ep->status safe in ffs_epfile_io()
    (bsc#1012628).
  - usb: gadget: f_fs: change ep->ep safe in ffs_epfile_io()
    (bsc#1012628).
  - tty: n_gsm: Debug output allocation must use GFP_ATOMIC
    (bsc#1012628).
  - serial: 8250: Store to lsr_save_flags after lsr read
    (bsc#1012628).
  - bus: fsl-mc-bus: fix KASAN use-after-free in fsl_mc_bus_remove()
    (bsc#1012628).
  - md/raid5-ppl: Fix argument order in bio_alloc_bioset()
    (bsc#1012628).
  - dm: fix race in dm_start_io_acct (bsc#1012628).
  - dm mirror log: round up region bitmap size to BITS_PER_LONG
    (bsc#1012628).
  - drm/amdgpu: Fix GTT size reporting in amdgpu_ioctl
    (bsc#1012628).
  - drm/amd/display: Cap OLED brightness per max frame-average
    luminance (bsc#1012628).
  - audit: free module name (bsc#1012628).
  - cfi: Fix __cfi_slowpath_diag RCU usage with cpuidle
    (bsc#1012628).
  - fs: account for group membership (bsc#1012628).
  - selinux: free contexts previously transferred in
    selinux_add_opt() (bsc#1012628).
  - ext4: fix super block checksum incorrect after mount
    (bsc#1012628).
  - ext4: fix bug_on ext4_mb_use_inode_pa (bsc#1012628).
  - ext4: make variable "count" signed (bsc#1012628).
  - ext4: add reserved GDT blocks check (bsc#1012628).
  - KVM: arm64: Always start with clearing SVE flag on load
    (bsc#1012628).
  - KVM: arm64: Don't read a HW interrupt pending state in user
    context (bsc#1012628).
  - virtio-pci: Remove wrong address verification in vp_del_vqs()
    (bsc#1012628).
  - drm/i915/uc: remove accidental static from a local variable
    (bsc#1012628).
  - bpf: Use safer kvmalloc_array() where possible (bsc#1012628).
  - powerpc/book3e: get rid of #include <generated/compile.h>
    (bsc#1012628).
  - dt-bindings: mfd: bd9571mwv: update rohm,bd9571mwv.yaml
    reference (bsc#1012628).
  - dt-bindings: interrupt-controller: update brcm,l2-intc.yaml
    reference (bsc#1012628).
  - dm: fix bio_set allocation (bsc#1012628).
  - clk: imx8mp: fix usb_root_clk parent (bsc#1012628).
  - Delete
    patches.suse/netfs-Eliminate-Clang-randstruct-warning.patch.
  - Update config files.
  - commit 5aa0763
* Wed Jun 22 2022 jslaby@suse.cz
  - Update config files.
    Run oldconfig which unsets CC_NO_ARRAY_BOUNDS as dummy tools emulate gcc
    20. We are ignoring it thanks to update in packaging, so that real
    compilation sets this right later.
  - commit e4ff964
* Wed Jun 22 2022 jslaby@suse.cz
  - rpm/check-for-config-changes: ignore GCC12/CC_NO_ARRAY_BOUNDS
    Upstream commit f0be87c42cbd (gcc-12: disable '-Warray-bounds'
    universally for now) added two new compiler-dependent configs:
    * CC_NO_ARRAY_BOUNDS
    * GCC12_NO_ARRAY_BOUNDS
    Ignore them -- they are unset by dummy tools (they depend on gcc version
    == 12), but set as needed during real compilation.
  - commit a14607c
* Tue Jun 21 2022 tiwai@suse.de
  - ath9k: fix use-after-free in ath9k_hif_usb_rx_cb (CVE-2022-1679
    bsc#1199487).
  - commit f4c43ea
* Tue Jun 21 2022 tiwai@suse.de
  - ALSA: hda: Fix discovery of i915 graphics PCI device
    (bsc#1200611).
  - commit ef301cb
* Tue Jun 21 2022 jslaby@suse.cz
  - netfs: Fix gcc-12 warning by embedding vfs inode in
    netfs_i_context (gcc 12 warnings).
  - netfs: gcc-12: temporarily disable '-Wattribute-warning'
    for now (gcc 12 warnings).
  - gcc-12: disable '-Warray-bounds' universally for now (gcc
    12 warnings).
  - Update config files.
    CC_NO_ARRAY_BOUNDS=y is manually selected, see commit b2fb712ddc6e.
  - gcc-12: disable '-Wdangling-pointer' warning for now (gcc
    12 warnings).
  - wifi: rtlwifi: remove always-true condition pointed out by
    GCC 12 (gcc 12 warnings).
  - net: wwan: iosm: remove pointless null check (gcc 12 warnings).
  - eth: sun: cassini: remove dead code (gcc 12 warnings).
  - netfs: Eliminate Clang randstruct warning (gcc 12 warnings).
  - x86/boot: Wrap literal addresses in absolute_pointer() (gcc
    12 warnings).
  - commit 983c97f
* Tue Jun 21 2022 jslaby@suse.cz
  - series.conf: remove empty line in sorted section
    It causes troubles to scripts.
  - commit b01fcd9
* Sun Jun 19 2022 mkubecek@suse.cz
  - Update to 5.19-rc3
  - update configs
    - XILINX_INTC=y (OF architectures - i386, ppc64/ppc64le, riscv64)
  - commit e8495ca
* Thu Jun 16 2022 jslaby@suse.cz
  - Linux 5.18.5 (bsc#1012628).
  - x86/speculation/mmio: Print SMT warning (bsc#1012628).
  - KVM: x86/speculation: Disable Fill buffer clear within guests
    (bsc#1012628).
  - x86/speculation/mmio: Reuse SRBDS mitigation for SBDS
    (bsc#1012628).
  - x86/speculation/srbds: Update SRBDS mitigation selection
    (bsc#1012628).
  - x86/speculation/mmio: Add sysfs reporting for Processor MMIO
    Stale Data (bsc#1012628).
  - x86/speculation/mmio: Enable CPU Fill buffer clearing on idle
    (bsc#1012628).
  - x86/bugs: Group MDS, TAA & Processor MMIO Stale Data mitigations
    (bsc#1012628).
  - x86/speculation/mmio: Add mitigation for Processor MMIO Stale
    Data (bsc#1012628).
  - x86/speculation: Add a common function for MD_CLEAR mitigation
    update (bsc#1012628).
  - x86/speculation/mmio: Enumerate Processor MMIO Stale Data bug
    (bsc#1012628).
  - Documentation: Add documentation for Processor MMIO Stale Data
    (bsc#1012628).
  - commit 0ac72f9
* Wed Jun 15 2022 jslaby@suse.cz
  - Linux 5.18.4 (bsc#1012628).
  - pcmcia: db1xxx_ss: restrict to MIPS_DB1XXX boards (bsc#1012628).
  - staging: greybus: codecs: fix type confusion of list iterator
    variable (bsc#1012628).
  - iio: adc: ad7124: Remove shift from scan_type (bsc#1012628).
  - soundwire: qcom: fix an error message in
    swrm_wait_for_frame_gen_enabled() (bsc#1012628).
  - remoteproc: mediatek: Fix side effect of mt8195 sram power on
    (bsc#1012628).
  - remoteproc: mtk_scp: Fix a potential double free (bsc#1012628).
  - lkdtm/bugs: Check for the NULL pointer after calling kmalloc
    (bsc#1012628).
  - lkdtm/bugs: Don't expect thread termination without
    CONFIG_UBSAN_TRAP (bsc#1012628).
  - tty: goldfish: Use tty_port_destroy() to destroy port
    (bsc#1012628).
  - tty: serial: owl: Fix missing clk_disable_unprepare() in
    owl_uart_probe (bsc#1012628).
  - tty: n_tty: Restore EOF push handling behavior (bsc#1012628).
  - serial: 8250_aspeed_vuart: Fix potential NULL dereference in
    aspeed_vuart_probe (bsc#1012628).
  - tty: serial: fsl_lpuart: fix potential bug when using both
    of_alias_get_id and ida_simple_get (bsc#1012628).
  - remoteproc: imx_rproc: Ignore create mem entry for resource
    table (bsc#1012628).
  - phy: rockchip-inno-usb2: Fix muxed interrupt support
    (bsc#1012628).
  - staging: r8188eu: fix struct rt_firmware_hdr (bsc#1012628).
  - usb: usbip: fix a refcount leak in stub_probe() (bsc#1012628).
  - usb: usbip: add missing device lock on tweak configuration cmd
    (bsc#1012628).
  - USB: storage: karma: fix rio_karma_init return (bsc#1012628).
  - usb: musb: Fix missing of_node_put() in omap2430_probe
    (bsc#1012628).
  - staging: fieldbus: Fix the error handling path in
    anybuss_host_common_probe() (bsc#1012628).
  - pwm: lp3943: Fix duty calculation in case period was clamped
    (bsc#1012628).
  - pwm: raspberrypi-poe: Fix endianness in firmware struct
    (bsc#1012628).
  - rpmsg: qcom_smd: Fix irq_of_parse_and_map() return value
    (bsc#1012628).
  - usb: dwc3: gadget: Replace list_for_each_entry_safe() if using
    giveback (bsc#1012628).
  - usb: dwc3: pci: Fix pm_runtime_get_sync() error checking
    (bsc#1012628).
  - scripts/get_abi: Fix wrong script file name in the help message
    (bsc#1012628).
  - misc: fastrpc: fix an incorrect NULL check on list iterator
    (bsc#1012628).
  - firmware: stratix10-svc: fix a missing check on list iterator
    (bsc#1012628).
  - usb: typec: mux: Check dev_set_name() return value
    (bsc#1012628).
  - rpmsg: virtio: Fix possible double free in rpmsg_probe()
    (bsc#1012628).
  - rpmsg: virtio: Fix possible double free in
    rpmsg_virtio_add_ctrl_dev() (bsc#1012628).
  - rpmsg: virtio: Fix the unregistration of the device rpmsg_ctrl
    (bsc#1012628).
  - iio: adc: stmpe-adc: Fix wait_for_completion_timeout return
    value check (bsc#1012628).
  - iio: proximity: vl53l0x: Fix return value check of
    wait_for_completion_timeout (bsc#1012628).
  - iio: adc: sc27xx: fix read big scale voltage not right
    (bsc#1012628).
  - iio: adc: sc27xx: Fine tune the scale calibration values
    (bsc#1012628).
  - rpmsg: qcom_smd: Fix returning 0 if irq_of_parse_and_map()
    fails (bsc#1012628).
  - misc/pvpanic: Convert regular spinlock into trylock on panic
    path (bsc#1012628).
  - phy: qcom-qmp: fix pipe-clock imbalance on power-on failure
    (bsc#1012628).
  - power: supply: core: Initialize struct to zero (bsc#1012628).
  - power: supply: axp288_fuel_gauge: Fix battery reporting on
    the One Mix 1 (bsc#1012628).
  - power: supply: axp288_fuel_gauge: Drop BIOS version check from
    "T3 MRD" DMI quirk (bsc#1012628).
  - power: supply: ab8500_fg: Allocate wq in probe (bsc#1012628).
  - serial: sifive: Report actual baud base rather than fixed 115200
    (bsc#1012628).
  - export: fix string handling of namespace in EXPORT_SYMBOL_NS
    (bsc#1012628).
  - watchdog: rzg2l_wdt: Fix 32bit overflow issue (bsc#1012628).
  - watchdog: rzg2l_wdt: Fix Runtime PM usage (bsc#1012628).
  - watchdog: rzg2l_wdt: Fix 'BUG: Invalid wait context'
    (bsc#1012628).
  - watchdog: rzg2l_wdt: Fix reset control imbalance (bsc#1012628).
  - soundwire: intel: prevent pm_runtime resume prior to system
    suspend (bsc#1012628).
  - soundwire: qcom: return error when pm_runtime_get_sync fails
    (bsc#1012628).
  - coresight: cpu-debug: Replace mutex with mutex_trylock on
    panic notifier (bsc#1012628).
  - ksmbd: fix reference count leak in smb_check_perm_dacl()
    (bsc#1012628).
  - extcon: ptn5150: Add queue work sync before driver release
    (bsc#1012628).
  - dt-bindings: remoteproc: mediatek: Make l1tcm reg exclusive
    to mt819x (bsc#1012628).
  - soc: rockchip: Fix refcount leak in rockchip_grf_init
    (bsc#1012628).
  - clocksource/drivers/riscv: Events are stopped during CPU suspend
    (bsc#1012628).
  - ARM: dts: aspeed: ast2600-evb: Enable RX delay for MAC0/MAC1
    (bsc#1012628).
  - rtc: mt6397: check return value after calling
    platform_get_resource() (bsc#1012628).
  - rtc: ftrtc010: Fix error handling in ftrtc010_rtc_probe
    (bsc#1012628).
  - staging: r8188eu: add check for kzalloc (bsc#1012628).
  - serial: meson: acquire port->lock in startup() (bsc#1012628).
  - Revert "serial: 8250_mtk: Make sure to select the right
    FEATURE_SEL" (bsc#1012628).
  - serial: 8250_fintek: Check SER_RS485_RTS_* only with RS485
    (bsc#1012628).
  - serial: cpm_uart: Fix build error without
    CONFIG_SERIAL_CPM_CONSOLE (bsc#1012628).
  - serial: uartlite: Fix BRKINT clearing (bsc#1012628).
  - serial: digicolor-usart: Don't allow CS5-6 (bsc#1012628).
  - serial: rda-uart: Don't allow CS5-6 (bsc#1012628).
  - serial: txx9: Don't allow CS5-6 (bsc#1012628).
  - serial: sh-sci: Don't allow CS5-6 (bsc#1012628).
  - serial: sifive: Sanitize CSIZE and c_iflag (bsc#1012628).
  - serial: st-asc: Sanitize CSIZE and correct PARENB for CS7
    (bsc#1012628).
  - serial: stm32-usart: Correct CSIZE, bits, and parity
    (bsc#1012628).
  - firmware: dmi-sysfs: Fix memory leak in
    dmi_sysfs_register_handle (bsc#1012628).
  - bus: ti-sysc: Fix warnings for unbind for serial (bsc#1012628).
  - driver: base: fix UAF when driver_attach failed (bsc#1012628).
  - driver core: fix deadlock in __device_attach (bsc#1012628).
  - watchdog: rti-wdt: Fix pm_runtime_get_sync() error checking
    (bsc#1012628).
  - watchdog: ts4800_wdt: Fix refcount leak in ts4800_wdt_probe
    (bsc#1012628).
  - blk-mq: don't touch ->tagset in blk_mq_get_sq_hctx
    (bsc#1012628).
  - ASoC: fsl_sai: Fix FSL_SAI_xDR/xFR definition (bsc#1012628).
  - scsi: sd: Don't call blk_cleanup_disk() in sd_probe()
    (bsc#1012628).
  - clocksource/drivers/oxnas-rps: Fix irq_of_parse_and_map()
    return value (bsc#1012628).
  - s390/crypto: fix scatterwalk_unmap() callers in AES-GCM
    (bsc#1012628).
  - amt: fix return value of amt_update_handler() (bsc#1012628).
  - amt: fix possible memory leak in amt_rcv() (bsc#1012628).
  - net: ethernet: ti: am65-cpsw: Fix fwnode passed to
    phylink_create() (bsc#1012628).
  - net/smc: set ini->smcrv2.ib_dev_v2 to NULL if SMC-Rv2 is
    unavailable (bsc#1012628).
  - spi: fsi: Fix spurious timeout (bsc#1012628).
  - drm/amdgpu: Off by one in dm_dmub_outbox1_low_irq()
    (bsc#1012628).
  - net: lan966x: check devm_of_phy_get() for -EDEFER_PROBE
    (bsc#1012628).
  - net: sched: fixed barrier to prevent skbuff sticking in qdisc
    backlog (bsc#1012628).
  - net: ethernet: mtk_eth_soc: out of bounds read in
    mtk_hwlro_get_fdir_entry() (bsc#1012628).
  - net: ethernet: ti: am65-cpsw-nuss: Fix some refcount leaks
    (bsc#1012628).
  - net: dsa: mv88e6xxx: Fix refcount leak in
    mv88e6xxx_mdios_register (bsc#1012628).
  - modpost: fix removing numeric suffixes (bsc#1012628).
  - block, loop: support partitions without scanning (bsc#1012628).
  - ep93xx: clock: Do not return the address of the freed memory
    (bsc#1012628).
  - jffs2: fix memory leak in jffs2_do_fill_super (bsc#1012628).
  - ubi: fastmap: Fix high cpu usage of ubi_bgt by making sure
    wl_pool not empty (bsc#1012628).
  - ubi: ubi_create_volume: Fix use-after-free when volume creation
    failed (bsc#1012628).
  - selftests/bpf: fix stacktrace_build_id with missing
    kprobe/urandom_read (bsc#1012628).
  - bpf: Fix probe read error in ___bpf_prog_run() (bsc#1012628).
  - block: take destination bvec offsets into account in
    bio_copy_data_iter (bsc#1012628).
  - nbd: don't clear 'NBD_CMD_INFLIGHT' flag if request is not
    completed (bsc#1012628).
  - nbd: fix possible overflow on 'first_minor' in nbd_dev_add()
    (bsc#1012628).
  - riscv: read-only pages should not be writable (bsc#1012628).
  - net/smc: fixes for converting from "struct smc_cdc_tx_pend **"
    to "struct smc_wr_tx_pend_priv *" (bsc#1012628).
  - tcp: add accessors to read/set tp->snd_cwnd (bsc#1012628).
  - nfp: only report pause frame configuration for physical device
    (bsc#1012628).
  - block: use bio_queue_enter instead of blk_queue_enter in
    bio_poll (bsc#1012628).
  - bonding: NS target should accept link local address
    (bsc#1012628).
  - sfc: fix considering that all channels have TX queues
    (bsc#1012628).
  - sfc: fix wrong tx channel offset with efx_separate_tx_channels
    (bsc#1012628).
  - block: make bioset_exit() fully resilient against being called
    twice (bsc#1012628).
  - sched/autogroup: Fix sysctl move (bsc#1012628).
  - blk-mq: do not update io_ticks with passthrough requests
    (bsc#1012628).
  - net: phy: at803x: disable WOL at probe (bsc#1012628).
  - bonding: show NS IPv6 targets in proc master info (bsc#1012628).
  - erofs: fix 'backmost' member of z_erofs_decompress_frontend
    (bsc#1012628).
  - vdpa: Fix error logic in vdpa_nl_cmd_dev_get_doit (bsc#1012628).
  - virtio: pci: Fix an error handling path in vp_modern_probe()
    (bsc#1012628).
  - net/mlx5: Don't use already freed action pointer (bsc#1012628).
  - net/mlx5e: TC NIC mode, fix tc chains miss table (bsc#1012628).
  - net/mlx5: CT: Fix header-rewrite re-use for tupels
    (bsc#1012628).
  - net/mlx5e: Disable softirq in mlx5e_activate_rq to avoid race
    condition (bsc#1012628).
  - net/mlx5: correct ECE offset in query qp output (bsc#1012628).
  - net/mlx5e: Update netdev features after changing XDP state
    (bsc#1012628).
  - net: sched: add barrier to fix packet stuck problem for lockless
    qdisc (bsc#1012628).
  - tcp: tcp_rtx_synack() can be called from process context
    (bsc#1012628).
  - vdpa: ifcvf: set pci driver data in probe (bsc#1012628).
  - bonding: guard ns_targets by CONFIG_IPV6 (bsc#1012628).
  - octeontx2-af: fix error code in is_valid_offset() (bsc#1012628).
  - s390/mcck: isolate SIE instruction when setting CIF_MCCK_GUEST
    flag (bsc#1012628).
  - regulator: mt6315-regulator: fix invalid allowed mode
    (bsc#1012628).
  - net: ping6: Fix ping -6 with interface name (bsc#1012628).
  - net/sched: act_api: fix error code in
    tcf_ct_flow_table_fill_tuple_ipv6() (bsc#1012628).
  - gpio: pca953x: use the correct register address to do regcache
    sync (bsc#1012628).
  - afs: Fix infinite loop found by xfstest generic/676
    (bsc#1012628).
  - drm/msm/dp: Always clear mask bits to disable interrupts at
    dp_ctrl_reset_irq_ctrl() (bsc#1012628).
  - scsi: sd: Fix potential NULL pointer dereference (bsc#1012628).
  - ax25: Fix ax25 session cleanup problems (bsc#1012628).
  - nfp: remove padding in nfp_nfdk_tx_desc (bsc#1012628).
  - tipc: check attribute length for bearer name (bsc#1012628).
  - driver core: Fix wait_for_device_probe() &
    deferred_probe_timeout interaction (bsc#1012628).
  - perf evsel: Fixes topdown events in a weak group for the hybrid
    platform (bsc#1012628).
  - perf parse-events: Move slots event for the hybrid platform too
    (bsc#1012628).
  - perf record: Support sample-read topdown metric group for
    hybrid platforms (bsc#1012628).
  - perf c2c: Fix sorting in percent_rmt_hitm_cmp() (bsc#1012628).
  - Bluetooth: MGMT: Add conditions for setting
    HCI_CONN_FLAG_REMOTE_WAKEUP (bsc#1012628).
  - Bluetooth: hci_sync: Fix attempting to suspend with unfiltered
    passive scan (bsc#1012628).
  - bluetooth: don't use bitmaps for random flag accesses
    (bsc#1012628).
  - dmaengine: idxd: set DMA_INTERRUPT cap bit (bsc#1012628).
  - mips: cpc: Fix refcount leak in mips_cpc_default_phys_base
    (bsc#1012628).
  - bootconfig: Make the bootconfig.o as a normal object file
    (bsc#1012628).
  - tracing: Make tp_printk work on syscall tracepoints
    (bsc#1012628).
  - tracing: Fix sleeping function called from invalid context on
    RT kernel (bsc#1012628).
  - tracing: Avoid adding tracer option before update_tracer_options
    (bsc#1012628).
  - i2c: mediatek: Optimize master_xfer() and avoid circular locking
    (bsc#1012628).
  - iommu/arm-smmu: fix possible null-ptr-deref in
    arm_smmu_device_probe() (bsc#1012628).
  - iommu/arm-smmu-v3: check return value after calling
    platform_get_resource() (bsc#1012628).
  - f2fs: remove WARN_ON in f2fs_is_valid_blkaddr (bsc#1012628).
  - f2fs: avoid infinite loop to flush node pages (bsc#1012628).
  - i2c: cadence: Increase timeout per message if necessary
    (bsc#1012628).
  - m68knommu: set ZERO_PAGE() to the allocated zeroed page
    (bsc#1012628).
  - m68knommu: fix undefined reference to `_init_sp' (bsc#1012628).
  - dmaengine: zynqmp_dma: In struct zynqmp_dma_chan fix desc_size
    data type (bsc#1012628).
  - NFSv4: Don't hold the layoutget locks across multiple RPC calls
    (bsc#1012628).
  - video: fbdev: hyperv_fb: Allow resolutions with size > 64 MB
    for Gen1 (bsc#1012628).
  - video: fbdev: pxa3xx-gcu: release the resources correctly in
    pxa3xx_gcu_probe/remove() (bsc#1012628).
  - RISC-V: use memcpy for kexec_file mode (bsc#1012628).
  - m68knommu: fix undefined reference to `mach_get_rtc_pll'
    (bsc#1012628).
  - rtla/Makefile: Properly handle dependencies (bsc#1012628).
  - f2fs: fix to tag gcing flag on page during file defragment
    (bsc#1012628).
  - xprtrdma: treat all calls not a bcall when bc_serv is NULL
    (bsc#1012628).
  - drm/bridge: ti-sn65dsi83: Handle dsi_lanes == 0 as invalid
    (bsc#1012628).
  - drm/panfrost: Job should reference MMU not file_priv
    (bsc#1012628).
  - powerpc/papr_scm: don't requests stats with '0' sized stats
    buffer (bsc#1012628).
  - netfilter: nat: really support inet nat without l3 address
    (bsc#1012628).
  - netfilter: nf_tables: use kfree_rcu(ptr, rcu) to release hooks
    in clean_net path (bsc#1012628).
  - netfilter: nf_tables: delete flowtable hooks via transaction
    list (bsc#1012628).
  - powerpc/kasan: Force thread size increase with KASAN
    (bsc#1012628).
  - NFSD: Fix potential use-after-free in nfsd_file_put()
    (bsc#1012628).
  - SUNRPC: Trap RDMA segment overflows (bsc#1012628).
  - netfilter: nf_tables: always initialize flowtable hook list
    in transaction (bsc#1012628).
  - ata: pata_octeon_cf: Fix refcount leak in octeon_cf_probe
    (bsc#1012628).
  - netfilter: nf_tables: release new hooks on unsupported flowtable
    flags (bsc#1012628).
  - netfilter: nf_tables: memleak flow rule from commit path
    (bsc#1012628).
  - netfilter: nf_tables: bail out early if hardware offload is
    not supported (bsc#1012628).
  - amt: fix wrong usage of pskb_may_pull() (bsc#1012628).
  - amt: fix possible null-ptr-deref in amt_rcv() (bsc#1012628).
  - amt: fix wrong type string definition (bsc#1012628).
  - net: ethernet: bgmac: Fix refcount leak in
    bcma_mdio_mii_register (bsc#1012628).
  - xen: unexport __init-annotated xen_xlate_map_ballooned_pages()
    (bsc#1012628).
  - stmmac: intel: Fix an error handling path in
    intel_eth_pci_probe() (bsc#1012628).
  - af_unix: Fix a data-race in unix_dgram_peer_wake_me()
    (bsc#1012628).
  - selftests net: fix bpf build error (bsc#1012628).
  - x86: drop bogus "cc" clobber from __try_cmpxchg_user_asm()
    (bsc#1012628).
  - bpf, arm64: Clear prog->jited_len along prog->jited
    (bsc#1012628).
  - net: dsa: lantiq_gswip: Fix refcount leak in gswip_gphy_fw_list
    (bsc#1012628).
  - net/mlx4_en: Fix wrong return value on ioctl EEPROM query
    failure (bsc#1012628).
  - xsk: Fix handling of invalid descriptors in XSK TX batching API
    (bsc#1012628).
  - drm/amdgpu: fix limiting AV1 to the first instance on VCN3
    (bsc#1012628).
  - SUNRPC: Fix the calculation of xdr->end in
    xdr_get_next_encode_buffer() (bsc#1012628).
  - net: mdio: unexport __init-annotated mdio_bus_init()
    (bsc#1012628).
  - net: xfrm: unexport __init-annotated xfrm4_protocol_init()
    (bsc#1012628).
  - net: ipv6: unexport __init-annotated seg6_hmac_init()
    (bsc#1012628).
  - net/mlx5e: CT: Fix cleanup of CT before cleanup of TC ct rules
    (bsc#1012628).
  - net/mlx5: Lag, filter non compatible devices (bsc#1012628).
  - net/mlx5: Fix mlx5_get_next_dev() peer device matching
    (bsc#1012628).
  - net/mlx5: Rearm the FW tracer after each tracer event
    (bsc#1012628).
  - net/mlx5: fs, fail conflicting actions (bsc#1012628).
  - ip_gre: test csum_start instead of transport header
    (bsc#1012628).
  - net: altera: Fix refcount leak in altera_tse_mdio_create
    (bsc#1012628).
  - net: dsa: mv88e6xxx: use BMSR_ANEGCOMPLETE bit for filling
    an_complete (bsc#1012628).
  - net: dsa: realtek: rtl8365mb: fix GMII caps for ports with
    internal PHY (bsc#1012628).
  - tcp: use alloc_large_system_hash() to allocate table_perturb
    (bsc#1012628).
  - drm: imx: fix compiler warning with gcc-12 (bsc#1012628).
  - nfp: flower: restructure flow-key for gre+vlan combination
    (bsc#1012628).
  - net: seg6: fix seg6_lookup_any_nexthop() to handle VRFs using
    flowi_l3mdev (bsc#1012628).
  - iov_iter: Fix iter_xarray_get_pages{,_alloc}() (bsc#1012628).
  - iio: dummy: iio_simple_dummy: check the return value of
    kstrdup() (bsc#1012628).
  - staging: rtl8712: fix a potential memory leak in
    r871xu_drv_init() (bsc#1012628).
  - iio: st_sensors: Add a local lock for protecting odr
    (bsc#1012628).
  - lkdtm/usercopy: Expand size of "out of frame" object
    (bsc#1012628).
  - drivers: staging: rtl8723bs: Fix deadlock in
    rtw_surveydone_event_callback() (bsc#1012628).
  - drivers: staging: rtl8192bs: Fix deadlock in
    rtw_joinbss_event_prehandle() (bsc#1012628).
  - drivers: staging: rtl8192eu: Fix deadlock in
    rtw_joinbss_event_prehandle (bsc#1012628).
  - tty: synclink_gt: Fix null-pointer-dereference in slgt_clean()
    (bsc#1012628).
  - tty: Fix a possible resource leak in icom_probe (bsc#1012628).
  - thunderbolt: Use different lane for second DisplayPort tunnel
    (bsc#1012628).
  - drivers: staging: rtl8192u: Fix deadlock in
    ieee80211_beacons_stop() (bsc#1012628).
  - drivers: staging: rtl8192e: Fix deadlock in
    rtllib_beacons_stop() (bsc#1012628).
  - USB: host: isp116x: check return value after calling
    platform_get_resource() (bsc#1012628).
  - drivers: tty: serial: Fix deadlock in sa1100_set_termios()
    (bsc#1012628).
  - drivers: usb: host: Fix deadlock in oxu_bus_suspend()
    (bsc#1012628).
  - USB: hcd-pci: Fully suspend across freeze/thaw cycle
    (bsc#1012628).
  - char: xillybus: fix a refcount leak in cleanup_dev()
    (bsc#1012628).
  - sysrq: do not omit current cpu when showing backtrace of all
    active CPUs (bsc#1012628).
  - usb: dwc2: gadget: don't reset gadget's driver->bus
    (bsc#1012628).
  - usb: dwc3: host: Stop setting the ACPI companion (bsc#1012628).
  - usb: dwc3: gadget: Only End Transfer for ep0 data phase
    (bsc#1012628).
  - soundwire: qcom: adjust autoenumeration timeout (bsc#1012628).
  - misc: rtsx: set NULL intfdata when probe fails (bsc#1012628).
  - extcon: Fix extcon_get_extcon_dev() error handling
    (bsc#1012628).
  - extcon: Modify extcon device to be created after driver data
    is set (bsc#1012628).
  - clocksource/drivers/sp804: Avoid error on multiple instances
    (bsc#1012628).
  - staging: rtl8712: fix uninit-value in usb_read8() and friends
    (bsc#1012628).
  - staging: rtl8712: fix uninit-value in r871xu_drv_init()
    (bsc#1012628).
  - serial: msm_serial: disable interrupts in __msm_console_write()
    (bsc#1012628).
  - kernfs: Separate kernfs_pr_cont_buf and rename_lock
    (bsc#1012628).
  - watchdog: wdat_wdt: Stop watchdog when rebooting the system
    (bsc#1012628).
  - ksmbd: smbd: fix connection dropped issue (bsc#1012628).
  - md: protect md_unregister_thread from reentrancy (bsc#1012628).
  - ASoC: SOF: amd: Fixed Build error (bsc#1012628).
  - scsi: myrb: Fix up null pointer access on myrb_cleanup()
    (bsc#1012628).
  - ASoC: rt5640: Do not manipulate pin "Platform Clock" if the
    "Platform Clock" is not in the DAPM (bsc#1012628).
  - ceph: allow ceph.dir.rctime xattr to be updatable (bsc#1012628).
  - ceph: flush the mdlog for filesystem sync (bsc#1012628).
  - ceph: fix possible deadlock when holding Fwb to get inline_data
    (bsc#1012628).
  - net, neigh: Set lower cap for neigh_managed_work rearming
    (bsc#1012628).
  - drm/amd/display: Check if modulo is 0 before dividing
    (bsc#1012628).
  - drm/amd/display: Check zero planes for OTG disable W/A on
    clock change (bsc#1012628).
  - drm/radeon: fix a possible null pointer dereference
    (bsc#1012628).
  - drm/amd/pm: fix a potential gpu_metrics_table memory leak
    (bsc#1012628).
  - drm/amd/pm: Fix missing thermal throttler status (bsc#1012628).
  - drm/amd/pm: correct the metrics version for SMU 11.0.11/12/13
    (bsc#1012628).
  - um: line: Use separate IRQs per line (bsc#1012628).
  - modpost: fix undefined behavior of is_arm_mapping_symbol()
    (bsc#1012628).
  - objtool: Mark __ubsan_handle_builtin_unreachable() as noreturn
    (bsc#1012628).
  - x86/cpu: Elide KCSAN for cpu_has() and friends (bsc#1012628).
  - jump_label,noinstr: Avoid instrumentation for JUMP_LABEL=n
    builds (bsc#1012628).
  - nbd: call genl_unregister_family() first in nbd_cleanup()
    (bsc#1012628).
  - nbd: fix race between nbd_alloc_config() and module removal
    (bsc#1012628).
  - nbd: fix io hung while disconnecting device (bsc#1012628).
  - Revert "PCI: brcmstb: Do not turn off WOL regulators on suspend"
    (bsc#1012628).
  - Revert "PCI: brcmstb: Add control of subdevice voltage
    regulators" (bsc#1012628).
  - Revert "PCI: brcmstb: Add mechanism to turn on subdev
    regulators" (bsc#1012628).
  - Revert "PCI: brcmstb: Split brcm_pcie_setup() into two funcs"
    (bsc#1012628).
  - cifs: fix potential deadlock in direct reclaim (bsc#1012628).
  - s390/gmap: voluntarily schedule during key setting
    (bsc#1012628).
  - cifs: version operations for smb20 unneeded when legacy support
    disabled (bsc#1012628).
  - drm/amd/pm: use bitmap_{from,to}_arr32 where appropriate
    (bsc#1012628).
  - nodemask: Fix return values to be unsigned (bsc#1012628).
  - scsi: lpfc: Correct BDE type for XMIT_SEQ64_WQE in
    lpfc_ct_reject_event() (bsc#1012628).
  - vringh: Fix loop descriptors check in the indirect cases
    (bsc#1012628).
  - platform/x86: barco-p50-gpio: Add check for
    platform_driver_register (bsc#1012628).
  - scripts/gdb: change kernel config dumping method (bsc#1012628).
  - platform/x86: hp-wmi: Resolve WMI query failures on some devices
    (bsc#1012628).
  - platform/x86: hp-wmi: Use zero insize parameter only when
    supported (bsc#1012628).
  - ALSA: usb-audio: Skip generic sync EP parse for secondary EP
    (bsc#1012628).
  - ALSA: usb-audio: Set up (implicit) sync for Saffire 6
    (bsc#1012628).
  - ALSA: hda/conexant - Fix loopback issue with CX20632
    (bsc#1012628).
  - ALSA: hda/realtek: Fix for quirk to enable speaker output on
    the Lenovo Yoga DuetITL 2021 (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for HP Dev One (bsc#1012628).
  - cifs: return errors during session setup during reconnects
    (bsc#1012628).
  - cifs: fix reconnect on smb3 mount types (bsc#1012628).
  - cifs: populate empty hostnames for extra channels (bsc#1012628).
  - scsi: sd: Fix interpretation of VPD B9h length (bsc#1012628).
  - scsi: lpfc: Resolve some cleanup issues following abort path
    refactoring (bsc#1012628).
  - scsi: lpfc: Resolve some cleanup issues following SLI path
    refactoring (bsc#1012628).
  - scsi: lpfc: Address NULL pointer dereference after
    starget_to_rport() (bsc#1012628).
  - KVM: x86/mmu: Check every prev_roots in
    __kvm_mmu_free_obsolete_roots() (bsc#1012628).
  - KVM: SVM: fix tsc scaling cache logic (bsc#1012628).
  - filemap: Cache the value of vm_flags (bsc#1012628).
  - KEYS: trusted: tpm2: Fix migratable logic (bsc#1012628).
  - libata: fix reading concurrent positioning ranges log
    (bsc#1012628).
  - libata: fix translation of concurrent positioning ranges
    (bsc#1012628).
  - ata: libata-transport: fix {dma|pio|xfer}_mode sysfs files
    (bsc#1012628).
  - mmc: sdhci-pci-gli: Fix GL9763E runtime PM when the system
    resumes from suspend (bsc#1012628).
  - mmc: block: Fix CQE recovery reset success (bsc#1012628).
  - net: phy: dp83867: retrigger SGMII AN when link change
    (bsc#1012628).
  - net: openvswitch: fix misuse of the cached connection on tuple
    changes (bsc#1012628).
  - writeback: Fix inode->i_io_list not be protected by
    inode->i_lock error (bsc#1012628).
  - nfc: st21nfca: fix incorrect validating logic in EVT_TRANSACTION
    (bsc#1012628).
  - nfc: st21nfca: fix memory leaks in EVT_TRANSACTION handling
    (bsc#1012628).
  - nfc: st21nfca: fix incorrect sizing calculations in
    EVT_TRANSACTION (bsc#1012628).
  - ixgbe: fix bcast packets Rx on VF after promisc removal
    (bsc#1012628).
  - ixgbe: fix unexpected VLAN Rx in promisc mode on VF
    (bsc#1012628).
  - Input: bcm5974 - set missing URB_NO_TRANSFER_DMA_MAP urb flag
    (bsc#1012628).
  - vduse: Fix NULL pointer dereference on sysfs access
    (bsc#1012628).
  - cpuidle,intel_idle: Fix CPUIDLE_FLAG_IRQ_ENABLE (bsc#1012628).
  - mm/huge_memory: Fix xarray node memory leak (bsc#1012628).
  - powerpc: Don't select HAVE_IRQ_EXIT_ON_IRQ_STACK (bsc#1012628).
  - drm/amdkfd:Fix fw version for 10.3.6 (bsc#1012628).
  - drm/bridge: analogix_dp: Support PSR-exit to disable transition
    (bsc#1012628).
  - drm/atomic: Force bridge self-refresh-exit on CRTC switch
    (bsc#1012628).
  - drm/amdgpu/jpeg2: Add jpeg vmid update under IB submit
    (bsc#1012628).
  - drm/amd/display: remove stale config guards (bsc#1012628).
  - drm/amdgpu: update VCN codec support for Yellow Carp
    (bsc#1012628).
  - virtio-rng: make device ready before making request
    (bsc#1012628).
  - powerpc/32: Fix overread/overwrite of thread_struct via ptrace
    (bsc#1012628).
  - random: avoid checking crng_ready() twice in random_init()
    (bsc#1012628).
  - random: mark bootloader randomness code as __init (bsc#1012628).
  - random: account for arch randomness in bits (bsc#1012628).
  - md/raid0: Ignore RAID0 layout if the second zone has only one
    device (bsc#1012628).
  - zonefs: fix handling of explicit_open option on mount
    (bsc#1012628).
  - iov_iter: fix build issue due to possible type mis-match
    (bsc#1012628).
  - dmaengine: idxd: add missing callback function to support
    DMA_INTERRUPT (bsc#1012628).
  - tcp: fix tcp_mtup_probe_success vs wrong snd_cwnd (bsc#1012628).
  - net/mlx5: E-Switch, pair only capable devices (bsc#1012628).
  - Update config files.
  - commit c6d8e6e
* Tue Jun 14 2022 mkubecek@suse.cz
  - kernel-binary.spec: check s390x vmlinux location
    As a side effect of mainline commit edd4a8667355 ("s390/boot: get rid of
    startup archive"), vmlinux on s390x moved from "compressed" subdirectory
    directly into arch/s390/boot. As the specfile is shared among branches,
    check both locations and let objcopy use one that exists.
  - commit cd15543
* Tue Jun 14 2022 tiwai@suse.de
  - Add missing recommends of kernel-install-tools to kernel-source-vanilla (bsc#1200442)
  - commit 93b1375
* Mon Jun 13 2022 tzimmermann@suse.de
  - drm/format-helper: Add RGB565-to-XRGB8888 conversion (boo#1193472)
  - commit b55db46
* Mon Jun 13 2022 tzimmermann@suse.de
  - drm/format-helper: Add RGB888-to-XRGB8888 conversion (boo#1193472)
  - commit 24daa98
* Mon Jun 13 2022 tzimmermann@suse.de
  - drm/format-helper: Print warning on missing format conversion (boo#1193472)
  - commit 4895b27
* Mon Jun 13 2022 mkubecek@suse.cz
  - config: add CC_NO_ARRAY_BOUNDS=y
    Mainline commit f0be87c42cbd ("gcc-12: disable '-Warray-bounds' universally
    for now") adds new config option CONFIG_CC_NO_ARRAY_BOUNDS which is only
    present for gcc12 (and not future gcc >= 13). Therefore it is not added
    with dummy gcc which pretends to be gcc20 but it is with Factory gcc12,
    resulting in failed "missing config option" check.
    As a quick hack, add CONFIG_CC_NO_ARRAY_BOUNDS=y to all full configs until
    we have a more robust solution (manually added config option won't survive
    a config update with run_oldconfig.sh).
  - commit b2fb712
* Mon Jun 13 2022 mkubecek@suse.cz
  - config: refresh
  - commit dbcb5bd
* Mon Jun 13 2022 mkubecek@suse.cz
  - Update to 5.19-rc2
  - drop obsolete patch
    - patches.suse/drm-amdgpu-always-flush-the-TLB-on-gfx8.patch
  - update configs
    - XEN_VIRTIO=y (x86 only)
  - commit 02193c9
* Fri Jun 10 2022 tzimmermann@suse.de
  - Add parameter to disable simple-framebuffer devices (boo#1193472)
    Temporary workaround for simpledrm bugs.
  - commit 1d1dbce
* Fri Jun 10 2022 tzimmermann@suse.de
  - drivers/firmware: skip simpledrm if nvidia-drm.modeset=1 is set (boo#1193472)
    Temporary workaround for nvidia.ko with simpledrm.
  - commit c35bbe0
* Fri Jun 10 2022 tzimmermann@suse.de
  - drm/client: Don't add new command-line mode (boo#1193472)
    Backported for simpledrm support.
  - commit 141a4fc
* Fri Jun 10 2022 tzimmermann@suse.de
  - drm/client: Look for command-line modes first (boo#1193472)
    Backported for simpledrm support.
  - commit 1bf947f
* Fri Jun 10 2022 tzimmermann@suse.de
  - drm: Always warn if user-defined modes are not supported (boo#1193472)
    Backported for simpledrm support.
  - commit 95c4112
* Thu Jun 09 2022 jslaby@suse.cz
  - Linux 5.18.3 (bsc#1012628).
  - binfmt_flat: do not stop relocating GOT entries prematurely
    on riscv (bsc#1012628).
  - parisc: fix a crash with multicore scheduler (bsc#1012628).
  - parisc/stifb: Implement fb_is_primary_device() (bsc#1012628).
  - parisc/stifb: Keep track of hardware path of graphics card
    (bsc#1012628).
  - RISC-V: Mark IORESOURCE_EXCLUSIVE for reserved mem instead of
    IORESOURCE_BUSY (bsc#1012628).
  - riscv: Initialize thread pointer before calling C functions
    (bsc#1012628).
  - riscv: Fix irq_work when SMP is disabled (bsc#1012628).
  - riscv: Wire up memfd_secret in UAPI header (bsc#1012628).
  - riscv: Move alternative length validation into subsection
    (bsc#1012628).
  - ALSA: hda/realtek - Add new type for ALC245 (bsc#1012628).
  - ALSA: hda/realtek: Enable 4-speaker output for Dell XPS 15
    9520 laptop (bsc#1012628).
  - ALSA: hda/realtek - Fix microphone noise on ASUS TUF B550M-PLUS
    (bsc#1012628).
  - ALSA: usb-audio: Cancel pending work at closing a MIDI substream
    (bsc#1012628).
  - USB: serial: pl2303: fix type detection for odd device
    (bsc#1012628).
  - USB: serial: option: add Quectel BG95 modem (bsc#1012628).
  - USB: new quirk for Dell Gen 2 devices (bsc#1012628).
  - usb: isp1760: Fix out-of-bounds array access (bsc#1012628).
  - usb: dwc3: gadget: Move null pinter check to proper place
    (bsc#1012628).
  - usb: core: hcd: Add support for deferring roothub registration
    (bsc#1012628).
  - fs/ntfs3: provide block_invalidate_folio to fix memory leak
    (bsc#1012628).
  - fs/ntfs3: Update valid size if -EIOCBQUEUED (bsc#1012628).
  - fs/ntfs3: Fix fiemap + fix shrink file size (to remove
    preallocated space) (bsc#1012628).
  - fs/ntfs3: Keep preallocated only if option prealloc enabled
    (bsc#1012628).
  - fs/ntfs3: Check new size for limits (bsc#1012628).
  - fs/ntfs3: In function ntfs_set_acl_ex do not change
    inode->i_mode if called from function ntfs_init_acl
    (bsc#1012628).
  - fs/ntfs3: Fix some memory leaks in an error handling path of
    'log_replay()' (bsc#1012628).
  - fs/ntfs3: Update i_ctime when xattr is added (bsc#1012628).
  - fs/ntfs3: Restore ntfs_xattr_get_acl and ntfs_xattr_set_acl
    functions (bsc#1012628).
  - cifs: don't call cifs_dfs_query_info_nonascii_quirk() if nodfs
    was set (bsc#1012628).
  - cifs: fix ntlmssp on old servers (bsc#1012628).
  - cifs: fix potential double free during failed mount
    (bsc#1012628).
  - cifs: when extending a file with falloc we should make files
    not-sparse (bsc#1012628).
  - xhci: Set HCD flag to defer primary roothub registration
    (bsc#1012628).
  - xhci: Allow host runtime PM as default for Intel Alder Lake
    N xHCI (bsc#1012628).
  - platform/x86: intel-hid: fix _DSM function index handling
    (bsc#1012628).
  - x86/MCE/AMD: Fix memory leak when threshold_create_bank()
    fails (bsc#1012628).
  - perf/x86/intel: Fix event constraints for ICL (bsc#1012628).
  - x86/kexec: fix memory leak of elf header buffer (bsc#1012628).
  - x86/sgx: Set active memcg prior to shmem allocation
    (bsc#1012628).
  - kthread: Don't allocate kthread_struct for init and umh
    (bsc#1012628).
  - ptrace/um: Replace PT_DTRACE with TIF_SINGLESTEP (bsc#1012628).
  - ptrace/xtensa: Replace PT_SINGLESTEP with TIF_SINGLESTEP
    (bsc#1012628).
  - ptrace: Reimplement PTRACE_KILL by always sending SIGKILL
    (bsc#1012628).
  - btrfs: add "0x" prefix for unsupported optional features
    (bsc#1012628).
  - btrfs: return correct error number for __extent_writepage_io()
    (bsc#1012628).
  - btrfs: repair super block num_devices automatically
    (bsc#1012628).
  - btrfs: fix the error handling for submit_extent_page() for
    btrfs_do_readpage() (bsc#1012628).
  - btrfs: fix deadlock between concurrent dio writes when low on
    free data space (bsc#1012628).
  - btrfs: zoned: properly finish block group on metadata write
    (bsc#1012628).
  - btrfs: zoned: zone finish unused block group (bsc#1012628).
  - btrfs: zoned: finish block group when there are no more
    allocatable bytes left (bsc#1012628).
  - btrfs: zoned: fix comparison of alloc_offset vs
    meta_write_pointer (bsc#1012628).
  - iommu/vt-d: Add RPLS to quirk list to skip TE disabling
    (bsc#1012628).
  - drm/selftests: fix a shift-out-of-bounds bug (bsc#1012628).
  - drm/vmwgfx: validate the screen formats (bsc#1012628).
  - ath11k: fix the warning of dev_wake in
    mhi_pm_disable_transition() (bsc#1012628).
  - drm/virtio: fix NULL pointer dereference in
    virtio_gpu_conn_get_modes (bsc#1012628).
  - selftests/bpf: Fix vfs_link kprobe definition (bsc#1012628).
  - selftests/bpf: Fix parsing of prog types in UAPI hdr for
    bpftool sync (bsc#1012628).
  - ath11k: Change max no of active probe SSID and BSSID to fw
    capability (bsc#1012628).
  - selftests/bpf: Fix file descriptor leak in load_kallsyms()
    (bsc#1012628).
  - rtw89: ser: fix CAM leaks occurring in L2 reset (bsc#1012628).
  - rtw89: fix misconfiguration on hw_scan channel time
    (bsc#1012628).
  - mwifiex: add mutex lock for call in
    mwifiex_dfs_chan_sw_work_queue (bsc#1012628).
  - b43legacy: Fix assigning negative value to unsigned variable
    (bsc#1012628).
  - b43: Fix assigning negative value to unsigned variable
    (bsc#1012628).
  - ipw2x00: Fix potential NULL dereference in libipw_xmit()
    (bsc#1012628).
  - ipv6: fix locking issues with loops over idev->addr_list
    (bsc#1012628).
  - fbcon: Consistently protect deferred_takeover with
    console_lock() (bsc#1012628).
  - x86/platform/uv: Update TSC sync state for UV5 (bsc#1012628).
  - ACPICA: Avoid cache flush inside virtual machines (bsc#1012628).
  - libbpf: Fix a bug with checking bpf_probe_read_kernel()
    support in old kernels (bsc#1012628).
  - mac80211: minstrel_ht: fix where rate stats are stored (fixes
    debugfs output) (bsc#1012628).
  - drm/komeda: return early if drm_universal_plane_init() fails
    (bsc#1012628).
  - drm/amd/display: Disabling Z10 on DCN31 (bsc#1012628).
  - rcu-tasks: Fix race in schedule and flush work (bsc#1012628).
  - rcu-tasks: Handle sparse cpu_possible_mask in
    rcu_tasks_invoke_cbs() (bsc#1012628).
  - rcu: Make TASKS_RUDE_RCU select IRQ_WORK (bsc#1012628).
  - sfc: ef10: Fix assigning negative value to unsigned variable
    (bsc#1012628).
  - ALSA: jack: Access input_dev under mutex (bsc#1012628).
  - rtw88: fix incorrect frequency reported (bsc#1012628).
  - rtw88: 8821c: fix debugfs rssi value (bsc#1012628).
  - spi: spi-rspi: Remove setting {src,dst}_{addr,addr_width}
    based on DMA direction (bsc#1012628).
  - tools/power turbostat: fix ICX DRAM power numbers (bsc#1012628).
  - tcp: consume incoming skb leading to a reset (bsc#1012628).
  - loop: implement ->free_disk (bsc#1012628).
  - scsi: lpfc: Move cfg_log_verbose check before calling
    lpfc_dmp_dbg() (bsc#1012628).
  - scsi: lpfc: Fix SCSI I/O completion and abort handler deadlock
    (bsc#1012628).
  - scsi: lpfc: Fix null pointer dereference after failing to
    issue FLOGI and PLOGI (bsc#1012628).
  - scsi: lpfc: Protect memory leak for NPIV ports sending PLOGI_RJT
    (bsc#1012628).
  - scsi: lpfc: Fix call trace observed during I/O with CMF enabled
    (bsc#1012628).
  - cpuidle: PSCI: Improve support for suspend-to-RAM for PSCI
    OSI mode (bsc#1012628).
  - drm/amdgpu/pm: fix the null pointer while the smu is disabled
    (bsc#1012628).
  - drm/amd/pm: fix double free in si_parse_power_table()
    (bsc#1012628).
  - ASoC: rsnd: care default case on
    rsnd_ssiu_busif_err_status_clear() (bsc#1012628).
  - ASoC: rsnd: care return value from rsnd_node_fixed_index()
    (bsc#1012628).
  - net: macb: In ZynqMP initialization make SGMII phy configuration
    optional (bsc#1012628).
  - ath9k: fix QCA9561 PA bias level (bsc#1012628).
  - media: Revert "media: dw9768: activate runtime PM and turn
    off device" (bsc#1012628).
  - media: i2c: dw9714: Disable the regulator when the driver
    fails to probe (bsc#1012628).
  - media: venus: hfi: avoid null dereference in deinit
    (bsc#1012628).
  - media: venus: do not queue internal buffers from previous
    sequence (bsc#1012628).
  - media: pci: cx23885: Fix the error handling in cx23885_initdev()
    (bsc#1012628).
  - media: cx25821: Fix the warning when removing the module
    (bsc#1012628).
  - md/bitmap: don't set sb values if can't pass sanity check
    (bsc#1012628).
  - mmc: jz4740: Apply DMA engine limits to maximum segment size
    (bsc#1012628).
  - drivers: mmc: sdhci_am654: Add the quirk to set TESTCD bit
    (bsc#1012628).
  - scsi: megaraid: Fix error check return value of
    register_chrdev() (bsc#1012628).
  - drm/amdgpu/sdma: Fix incorrect calculations of the wptr of
    the doorbells (bsc#1012628).
  - scsi: ufs: Use pm_runtime_resume_and_get() instead of
    pm_runtime_get_sync() (bsc#1012628).
  - scsi: lpfc: Fix resource leak in lpfc_sli4_send_seq_to_ulp()
    (bsc#1012628).
  - ath11k: disable spectral scan during spectral deinit
    (bsc#1012628).
  - ASoC: Intel: bytcr_rt5640: Add quirk for the HP Pro Tablet 408
    (bsc#1012628).
  - drm/plane: Move range check for format_count earlier
    (bsc#1012628).
  - drm/amdkfd: Fix circular lock dependency warning (bsc#1012628).
  - drm/amd/pm: fix the compile warning (bsc#1012628).
  - ath10k: skip ath10k_halt during suspend for driver state
    RESTARTING (bsc#1012628).
  - arm64: compat: Do not treat syscall number as ESR_ELx for a
    bad syscall (bsc#1012628).
  - drm: msm: fix error check return value of irq_of_parse_and_map()
    (bsc#1012628).
  - drm/msm/dpu: Clean up CRC debug logs (bsc#1012628).
  - xtensa: move trace_hardirqs_off call back to entry.S
    (bsc#1012628).
  - ath11k: fix warning of not found station for bssid in message
    (bsc#1012628).
  - scsi: target: tcmu: Fix possible data corruption (bsc#1012628).
  - ipv6: Don't send rs packets to the interface of ARPHRD_TUNNEL
    (bsc#1012628).
  - net/mlx5: use kvfree() for kvzalloc() in
    mlx5_ct_fs_smfs_matcher_create (bsc#1012628).
  - net/mlx5: fs, delete the FTE when there are no rules attached
    to it (bsc#1012628).
  - ASoC: dapm: Don't fold register value changes into notifications
    (bsc#1012628).
  - ASoC: SOF: ipc3-topology: Correct get_control_data for non
    bytes payload (bsc#1012628).
  - mlxsw: spectrum_dcb: Do not warn about priority changes
    (bsc#1012628).
  - mlxsw: Treat LLDP packets as control (bsc#1012628).
  - drm/amdgpu/psp: move PSP memory alloc from hw_init to sw_init
    (bsc#1012628).
  - drm/amdgpu/ucode: Remove firmware load type check in
    amdgpu_ucode_free_bo (bsc#1012628).
  - regulator: mt6315: Enforce regulator-compatible, not name
    (bsc#1012628).
  - ice: always check VF VSI pointer values (bsc#1012628).
  - HID: bigben: fix slab-out-of-bounds Write in bigben_probe
    (bsc#1012628).
  - drm/tegra: gem: Do not try to dereference ERR_PTR()
    (bsc#1012628).
  - of: Support more than one crash kernel regions for kexec -s
    (bsc#1012628).
  - ASoC: tscs454: Add endianness flag in snd_soc_component_driver
    (bsc#1012628).
  - net/mlx5: Increase FW pre-init timeout for health recovery
    (bsc#1012628).
  - ASoC: Intel: sof_ssp_amp: fix no DMIC BE Link on Chromebooks
    (bsc#1012628).
  - scsi: hisi_sas: Undo RPM resume for failed notify phy event
    for v3 HW (bsc#1012628).
  - scsi: lpfc: Inhibit aborts if external loopback plug is inserted
    (bsc#1012628).
  - scsi: lpfc: Alter FPIN stat accounting logic (bsc#1012628).
  - net: remove two BUG() from skb_checksum_help() (bsc#1012628).
  - s390/preempt: disable __preempt_count_add() optimization for
    PROFILE_ALL_BRANCHES (bsc#1012628).
  - perf/amd/ibs: Cascade pmu init functions' return value
    (bsc#1012628).
  - sched/core: Avoid obvious double update_rq_clock warning
    (bsc#1012628).
  - spi: stm32-qspi: Fix wait_cmd timeout in APM mode (bsc#1012628).
  - dma-debug: change allocation mode from GFP_NOWAIT to GFP_ATIOMIC
    (bsc#1012628).
  - fs: hold writers when changing mount's idmapping (bsc#1012628).
  - ASoC: SOF: amd: add missing platform_device_unregister in
    acp_pci_rn_probe (bsc#1012628).
  - ACPI: PM: Block ASUS B1400CEAE from suspend to idle by default
    (bsc#1012628).
  - ipmi:ssif: Check for NULL msg when handling events and messages
    (bsc#1012628).
  - ipmi: Add an intializer for ipmi_smi_msg struct (bsc#1012628).
  - ipmi: Fix pr_fmt to avoid compilation issues (bsc#1012628).
  - kunit: bail out of test filtering logic quicker if OOM
    (bsc#1012628).
  - rtlwifi: Use pr_warn instead of WARN_ONCE (bsc#1012628).
  - mt76: mt7915: accept rx frames with non-standard VHT MCS10-11
    (bsc#1012628).
  - mt76: mt7921: accept rx frames with non-standard VHT MCS10-11
    (bsc#1012628).
  - mt76: fix encap offload ethernet type check (bsc#1012628).
  - media: rga: fix possible memory leak in rga_probe (bsc#1012628).
  - media: coda: limit frame interval enumeration to supported
    encoder frame sizes (bsc#1012628).
  - media: hantro: HEVC: unconditionnaly set pps_{cb/cr}_qp_offset
    values (bsc#1012628).
  - media: ccs-core.c: fix failure to call clk_disable_unprepare
    (bsc#1012628).
  - media: imon: reorganize serialization (bsc#1012628).
  - media: cec-adap.c: fix is_configuring state (bsc#1012628).
  - usbnet: Run unregister_netdev() before unbind() again
    (bsc#1012628).
  - Bluetooth: HCI: Add HCI_QUIRK_BROKEN_ENHANCED_SETUP_SYNC_CONN
    quirk (bsc#1012628).
  - Bluetooth: btusb: Set HCI_QUIRK_BROKEN_ENHANCED_SETUP_SYNC_CONN
    for QCA (bsc#1012628).
  - Bluetooth: btusb: Set HCI_QUIRK_BROKEN_ERR_DATA_REPORTING for
    QCA (bsc#1012628).
  - bnxt_en: Configure ptp filters during bnxt open (bsc#1012628).
  - media: mediatek: vcodec: prevent kernel crash when rmmod
    mtk-vcodec-dec.ko (bsc#1012628).
  - openrisc: start CPU timer early in boot (bsc#1012628).
  - nvme-pci: fix a NULL pointer dereference in
    nvme_alloc_admin_tags (bsc#1012628).
  - ASoC: rt5645: Fix errorenous cleanup order (bsc#1012628).
  - nbd: Fix hung on disconnect request if socket is closed before
    (bsc#1012628).
  - drm/amd/pm: update smartshift powerboost calc for smu12
    (bsc#1012628).
  - drm/amd/pm: update smartshift powerboost calc for smu13
    (bsc#1012628).
  - drm/amdgpu: Move mutex_init(&smu->message_lock) to
    smu_early_init() (bsc#1012628).
  - btrfs: fix anon_dev leak in create_subvol() (bsc#1012628).
  - kunit: tool: make parser stop overwriting status of suites w/
    no_tests (bsc#1012628).
  - net: phy: micrel: Allow probing without .driver_data
    (bsc#1012628).
  - media: exynos4-is: Fix compile warning (bsc#1012628).
  - media: hantro: Stop using H.264 parameter pic_num (bsc#1012628).
  - rtw89: cfo: check mac_id to avoid out-of-bounds (bsc#1012628).
  - of/fdt: Ignore disabled memory nodes (bsc#1012628).
  - blk-throttle: Set BIO_THROTTLED when bio has been throttled
    (bsc#1012628).
  - ASoC: max98357a: remove dependency on GPIOLIB (bsc#1012628).
  - ASoC: rt1015p: remove dependency on GPIOLIB (bsc#1012628).
  - ACPI: CPPC: Assume no transition latency if no PCCT
    (bsc#1012628).
  - nvme: set non-mdts limits in nvme_scan_work (bsc#1012628).
  - can: mcp251xfd: silence clang's -Wunaligned-access warning
    (bsc#1012628).
  - x86/microcode: Add explicit CPU vendor dependency (bsc#1012628).
  - net: ipa: ignore endianness if there is no header (bsc#1012628).
  - selftests/bpf: Add missing trampoline program type to
    trampoline_count test (bsc#1012628).
  - m68k: atari: Make Atari ROM port I/O write macros return void
    (bsc#1012628).
  - hwmon: (pmbus) Add get_voltage/set_voltage ops (bsc#1012628).
  - rxrpc: Return an error to sendmsg if call failed (bsc#1012628).
  - rxrpc, afs: Fix selection of abort codes (bsc#1012628).
  - afs: Adjust ACK interpretation to try and cope with NAT
    (bsc#1012628).
  - eth: tg3: silence the GCC 12 array-bounds warning (bsc#1012628).
  - char: tpm: cr50_i2c: Suppress duplicated error message in
    .remove() (bsc#1012628).
  - selftests/bpf: fix btf_dump/btf_dump due to recent clang change
    (bsc#1012628).
  - gfs2: use i_lock spin_lock for inode qadata (bsc#1012628).
  - linux/types.h: reinstate "__bitwise__" macro for user space use
    (bsc#1012628).
  - scsi: target: tcmu: Avoid holding XArray lock when calling
    lock_page (bsc#1012628).
  - kunit: fix executor OOM error handling logic on non-UML
    (bsc#1012628).
  - IB/rdmavt: add missing locks in rvt_ruc_loopback (bsc#1012628).
  - PCI/ASPM: Make Intel DG2 L1 acceptable latency unlimited
    (bsc#1012628).
  - ARM: dts: ox820: align interrupt controller node name with
    dtschema (bsc#1012628).
  - ARM: dts: socfpga: align interrupt controller node name with
    dtschema (bsc#1012628).
  - ARM: dts: s5pv210: align DMA channels with dtschema
    (bsc#1012628).
  - ASoC: amd: Add driver data to acp6x machine driver
    (bsc#1012628).
  - arm64: dts: qcom: msm8994: Fix the cont_splash_mem address
    (bsc#1012628).
  - arm64: dts: qcom: msm8994: Fix BLSP[12]_DMA channels count
    (bsc#1012628).
  - PM / devfreq: rk3399_dmc: Disable edev on remove()
    (bsc#1012628).
  - crypto: ccree - use fine grained DMA mapping dir (bsc#1012628).
  - crypto: qat - fix off-by-one error in PFVF debug print
    (bsc#1012628).
  - soc: ti: ti_sci_pm_domains: Check for null return of
    devm_kcalloc (bsc#1012628).
  - fs: jfs: fix possible NULL pointer dereference in dbFree()
    (bsc#1012628).
  - arm64: dts: qcom: sdm845-xiaomi-beryllium: fix typo in panel's
    vddio-supply property (bsc#1012628).
  - ALSA: usb-audio: Add quirk bits for enabling/disabling generic
    implicit fb (bsc#1012628).
  - ALSA: usb-audio: Move generic implicit fb quirk entries into
    quirks.c (bsc#1012628).
  - ARM: OMAP1: clock: Fix UART rate reporting algorithm
    (bsc#1012628).
  - powerpc/fadump: Fix fadump to work with a different endian
    capture kernel (bsc#1012628).
  - fat: add ratelimit to fat*_ent_bread() (bsc#1012628).
  - pinctrl: renesas: rzn1: Fix possible null-ptr-deref in
    sh_pfc_map_resources() (bsc#1012628).
  - ARM: versatile: Add missing of_node_put in dcscb_init
    (bsc#1012628).
  - ARM: dts: exynos: add atmel,24c128 fallback to Samsung EEPROM
    (bsc#1012628).
  - arm64: dts: qcom: sc7280-idp: Configure CTS pin to bias-bus-hold
    for bluetooth (bsc#1012628).
  - arm64: dts: qcom: sc7280-qcard: Configure CTS pin to
    bias-bus-hold for bluetooth (bsc#1012628).
  - ARM: hisi: Add missing of_node_put after of_find_compatible_node
    (bsc#1012628).
  - cpufreq: Avoid unnecessary frequency updates due to mismatch
    (bsc#1012628).
  - PCI: microchip: Add missing chained_irq_enter()/exit() calls
    (bsc#1012628).
  - powerpc/rtas: Keep MSR[RI] set when calling RTAS (bsc#1012628).
  - PCI: Avoid pci_dev_lock() AB/BA deadlock with
    sriov_numvfs_store() (bsc#1012628).
  - PCI: cadence: Clear FLR in device capabilities register
    (bsc#1012628).
  - KVM: PPC: Book3S HV Nested: L2 LPCR should inherit L1 LPES
    setting (bsc#1012628).
  - alpha: fix alloc_zeroed_user_highpage_movable() (bsc#1012628).
  - tracing: incorrect isolate_mote_t cast in mm_vmscan_lru_isolate
    (bsc#1012628).
  - cifs: return ENOENT for DFS lookup_cache_entry() (bsc#1012628).
  - powerpc/powernv/vas: Assign real address to rx_fifo in
    vas_rx_win_attr (bsc#1012628).
  - powerpc/xics: fix refcount leak in icp_opal_init()
    (bsc#1012628).
  - powerpc/powernv: fix missing of_node_put in uv_init()
    (bsc#1012628).
  - macintosh/via-pmu: Fix build failure when CONFIG_INPUT is
    disabled (bsc#1012628).
  - powerpc/iommu: Add missing of_node_put in iommu_init_early_dart
    (bsc#1012628).
  - fanotify: fix incorrect fmode_t casts (bsc#1012628).
  - smb3: check for null tcon (bsc#1012628).
  - RDMA/hfi1: Prevent panic when SDMA is disabled (bsc#1012628).
  - cifs: do not use tcpStatus after negotiate completes
    (bsc#1012628).
  - Input: gpio-keys - cancel delayed work only in case of GPIO
    (bsc#1012628).
  - drm: fix EDID struct for old ARM OABI format (bsc#1012628).
  - drm/bridge_connector: enable HPD by default if supported
    (bsc#1012628).
  - drm/selftests: missing error code in igt_buddy_alloc_smoke()
    (bsc#1012628).
  - drm/omap: fix NULL but dereferenced coccicheck error
    (bsc#1012628).
  - dt-bindings: display: sitronix, st7735r: Fix backlight in
    example (bsc#1012628).
  - drm/bridge: anx7625: check the return on anx7625_aux_trans
    (bsc#1012628).
  - drm: ssd130x: Fix COM scan direction register mask
    (bsc#1012628).
  - drm: ssd130x: Always apply segment remap setting (bsc#1012628).
  - drm/solomon: Make DRM_SSD130X depends on MMU (bsc#1012628).
  - drm/format-helper: Rename drm_fb_xrgb8888_to_mono_reversed()
    (bsc#1012628).
  - drm/format-helper: Fix XRGB888 to monochrome conversion
    (bsc#1012628).
  - drm/ssd130x: Fix rectangle updates (bsc#1012628).
  - drm/ssd130x: Reduce temporary buffer sizes (bsc#1012628).
  - fbdev: defio: fix the pagelist corruption (bsc#1012628).
  - drm/vmwgfx: Fix an invalid read (bsc#1012628).
  - ath11k: acquire ab->base_lock in unassign when finding the
    peer by addr (bsc#1012628).
  - drm: bridge: it66121: Fix the register page length
    (bsc#1012628).
  - drm/bridge: it6505: Fix build error (bsc#1012628).
  - ath9k: fix ar9003_get_eepmisc (bsc#1012628).
  - drm/edid: fix invalid EDID extension block filtering
    (bsc#1012628).
  - drm/bridge: anx7625: add missing destroy_workqueue() in
    anx7625_i2c_probe() (bsc#1012628).
  - drm/bridge: adv7511: clean up CEC adapter when probe fails
    (bsc#1012628).
  - drm: bridge: icn6211: Fix register layout (bsc#1012628).
  - drm: bridge: icn6211: Fix HFP_HSW_HBP_HI and HFP_MIN handling
    (bsc#1012628).
  - mtd: spinand: gigadevice: fix Quad IO for GD5F1GQ5UExxG
    (bsc#1012628).
  - spi: qcom-qspi: Add minItems to interconnect-names
    (bsc#1012628).
  - ASoC: codecs: Fix error handling in power domain init and exit
    handlers (bsc#1012628).
  - ASoC: cs35l41: Fix an out-of-bounds access in
    otp_packed_element_t (bsc#1012628).
  - ASoC: SOF: ipc3-topology: Set scontrol->priv to NULL after
    freeing it (bsc#1012628).
  - ASoC: mediatek: Fix error handling in mt8173_max98090_dev_probe
    (bsc#1012628).
  - ASoC: mediatek: Fix missing of_node_put in
    mt2701_wm8960_machine_probe (bsc#1012628).
  - docs: driver-api/thermal/intel_dptf: Use copyright symbol
    (bsc#1012628).
  - x86/delay: Fix the wrong asm constraint in delay_loop()
    (bsc#1012628).
  - drm/mediatek: Add vblank register/unregister callback functions
    (bsc#1012628).
  - drm/mediatek: Fix DPI component detection for MT8192
    (bsc#1012628).
  - drm/vc4: kms: Take old state core clock rate into account
    (bsc#1012628).
  - drm/vc4: hvs: Fix frame count register readout (bsc#1012628).
  - drm/mediatek: Fix mtk_cec_mask() (bsc#1012628).
  - drm/amd/amdgpu: Only reserve vram for firmware with vega9
    MS_HYPERV host (bsc#1012628).
  - drm/vc4: hvs: Reset muxes at probe time (bsc#1012628).
  - drm/vc4: txp: Don't set TXP_VSTART_AT_EOF (bsc#1012628).
  - drm/vc4: txp: Force alpha to be 0xff if it's disabled
    (bsc#1012628).
  - libbpf: Don't error out on CO-RE relos for overriden weak
    subprogs (bsc#1012628).
  - x86/PCI: Fix ALi M1487 (IBC) PIRQ router link value
    interpretation (bsc#1012628).
  - mptcp: optimize release_cb for the common case (bsc#1012628).
  - mptcp: reset the packet scheduler on incoming MP_PRIO
    (bsc#1012628).
  - mptcp: reset the packet scheduler on PRIO change (bsc#1012628).
  - nl80211: show SSID for P2P_GO interfaces (bsc#1012628).
  - drm/komeda: Fix an undefined behavior bug in komeda_plane_add()
    (bsc#1012628).
  - drm: mali-dp: potential dereference of null pointer
    (bsc#1012628).
  - drm/amd/amdgpu: Fix asm/hypervisor.h build error (bsc#1012628).
  - spi: spi-ti-qspi: Fix return value handling of
    wait_for_completion_timeout (bsc#1012628).
  - scftorture: Fix distribution of short handler delays
    (bsc#1012628).
  - net: ethernet: ti: am65-cpsw: Fix build error without PHYLINK
    (bsc#1012628).
  - net: dsa: mt7530: 1G can also support 1000BASE-X link mode
    (bsc#1012628).
  - ixp4xx_eth: fix error check return value of platform_get_irq()
    (bsc#1012628).
  - NFC: NULL out the dev->rfkill to prevent UAF (bsc#1012628).
  - cpufreq: governor: Use kobject release() method to free dbs_data
    (bsc#1012628).
  - efi: Allow to enable EFI runtime services by default on RT
    (bsc#1012628).
  - efi: Add missing prototype for efi_capsule_setup_info
    (bsc#1012628).
  - device property: Allow error pointer to be passed to fwnode APIs
    (bsc#1012628).
  - drm/amd/amdgpu: Remove static from variable in RLCG Reg RW
    (bsc#1012628).
  - net: dsa: qca8k: correctly handle mdio read error (bsc#1012628).
  - target: remove an incorrect unmap zeroes data deduction
    (bsc#1012628).
  - drbd: remove assign_p_sizes_qlim (bsc#1012628).
  - drbd: use bdev based limit helpers in drbd_send_sizes
    (bsc#1012628).
  - drbd: use bdev_alignment_offset instead of
    queue_alignment_offset (bsc#1012628).
  - drbd: fix duplicate array initializer (bsc#1012628).
  - EDAC/dmc520: Don't print an error for each unconfigured
    interrupt line (bsc#1012628).
  - bpf: Move rcu lock management out of BPF_PROG_RUN routines
    (bsc#1012628).
  - drm/bridge: anx7625: Use uint8 for lane-swing arrays
    (bsc#1012628).
  - mtd: rawnand: denali: Use managed device resources
    (bsc#1012628).
  - HID: hid-led: fix maximum brightness for Dream Cheeky
    (bsc#1012628).
  - HID: elan: Fix potential double free in elan_input_configured
    (bsc#1012628).
  - drm/bridge: Fix error handling in analogix_dp_probe
    (bsc#1012628).
  - regulator: da9121: Fix uninit-value in
    da9121_assign_chip_model() (bsc#1012628).
  - drm/mediatek: dpi: Use mt8183 output formats for mt8192
    (bsc#1012628).
  - signal: Deliver SIGTRAP on perf event asynchronously if blocked
    (bsc#1012628).
  - sched/fair: Fix cfs_rq_clock_pelt() for throttled cfs_rq
    (bsc#1012628).
  - sched/psi: report zeroes for CPU full at the system level
    (bsc#1012628).
  - spi: img-spfi: Fix pm_runtime_get_sync() error checking
    (bsc#1012628).
  - drm/bridge: Fix it6505 Kconfig DRM_DP_AUX_BUS dependency
    (bsc#1012628).
  - cpufreq: Fix possible race in cpufreq online error path
    (bsc#1012628).
  - printk: add missing memory barrier to wake_up_klogd()
    (bsc#1012628).
  - printk: wake waiters for safe and NMI contexts (bsc#1012628).
  - ath9k_htc: fix potential out of bounds access with invalid
    rxstatus->rs_keyix (bsc#1012628).
  - media: i2c: max9286: fix kernel oops when removing module
    (bsc#1012628).
  - media: amphion: fix decoder's interlaced field (bsc#1012628).
  - media: hantro: Implement support for encoder commands
    (bsc#1012628).
  - media: hantro: Empty encoder capture buffers by default
    (bsc#1012628).
  - media: imx: imx-mipi-csis: Rename csi_state to mipi_csis_device
    (bsc#1012628).
  - media: imx: imx-mipi-csis: Fix active format initialization
    on source pad (bsc#1012628).
  - drm/panel: simple: Add missing bus flags for Innolux G070Y2-L01
    (bsc#1012628).
  - ALSA: pcm: Check for null pointer of pointer substream before
    dereferencing it (bsc#1012628).
  - mtdblock: warn if opened on NAND (bsc#1012628).
  - inotify: show inotify mask flags in proc fdinfo (bsc#1012628).
  - fsnotify: fix wrong lockdep annotations (bsc#1012628).
  - spi: rockchip: fix missing error on unsupported SPI_CS_HIGH
    (bsc#1012628).
  - of: overlay: do not break notify on NOTIFY_{OK|STOP}
    (bsc#1012628).
  - selftests/damon: add damon to selftests root Makefile
    (bsc#1012628).
  - drm/msm: properly add and remove internal bridges (bsc#1012628).
  - drm/msm/dpu: adjust display_v_end for eDP and DP (bsc#1012628).
  - scsi: iscsi: Fix harmless double shift bug (bsc#1012628).
  - scsi: ufs: qcom: Fix ufs_qcom_resume() (bsc#1012628).
  - scsi: ufs: core: Exclude UECxx from SFR dump list (bsc#1012628).
  - drm/v3d: Fix null pointer dereference of pointer perfmon
    (bsc#1012628).
  - selftests/resctrl: Fix null pointer dereference on open failed
    (bsc#1012628).
  - libbpf: Fix logic for finding matching program for CO-RE
    relocation (bsc#1012628).
  - mtd: spi-nor: core: Check written SR value in
    spi_nor_write_16bit_sr_and_check() (bsc#1012628).
  - x86/pm: Fix false positive kmemleak report in
    msr_build_context() (bsc#1012628).
  - mtd: rawnand: cadence: fix possible null-ptr-deref in
    cadence_nand_dt_probe() (bsc#1012628).
  - mtd: rawnand: intel: fix possible null-ptr-deref in
    ebu_nand_probe() (bsc#1012628).
  - x86/speculation: Add missing prototype for unpriv_ebpf_notify()
    (bsc#1012628).
  - ASoC: rk3328: fix disabling mclk on pclk probe failure
    (bsc#1012628).
  - perf tools: Add missing headers needed by util/data.h
    (bsc#1012628).
  - drm/msm/disp/dpu1: set vbif hw config to NULL to avoid use
    after memory free during pm runtime resume (bsc#1012628).
  - drm/msm/dp: stop event kernel thread when DP unbind
    (bsc#1012628).
  - drm/msm/dp: fix error check return value of
    irq_of_parse_and_map() (bsc#1012628).
  - drm/msm/dp: reset DP controller before transmit phy test pattern
    (bsc#1012628).
  - drm/msm/dp: do not stop transmitting phy test pattern during
    DP phy compliance test (bsc#1012628).
  - drm/msm/dsi: fix error checks and return values for DSI xmit
    functions (bsc#1012628).
  - drm/msm/hdmi: check return value after calling
    platform_get_resource_byname() (bsc#1012628).
  - drm/msm/hdmi: fix error check return value of
    irq_of_parse_and_map() (bsc#1012628).
  - drm/msm: add missing include to msm_drv.c (bsc#1012628).
  - drm/panel: panel-simple: Fix proper bpc for
    AM-1280800N3TZQW-T00H (bsc#1012628).
  - drm/bridge: it6505: Send DPCD SET_POWER to downstream
    (bsc#1012628).
  - drm/msm: Fix null pointer dereferences without iommu
    (bsc#1012628).
  - kunit: fix debugfs code to use enum kunit_status, not bool
    (bsc#1012628).
  - drm/rockchip: vop: fix possible null-ptr-deref in vop_bind()
    (bsc#1012628).
  - spi: cadence-quadspi: fix Direct Access Mode disable for SoCFPGA
    (bsc#1012628).
  - perf tools: Use Python devtools for version autodetection
    rather than runtime (bsc#1012628).
  - virtio_blk: fix the discard_granularity and discard_alignment
    queue limits (bsc#1012628).
  - nl80211: don't hold RTNL in color change request (bsc#1012628).
  - x86: Fix return value of __setup handlers (bsc#1012628).
  - irqchip/exiu: Fix acknowledgment of edge triggered interrupts
    (bsc#1012628).
  - irqchip/aspeed-i2c-ic: Fix irq_of_parse_and_map() return value
    (bsc#1012628).
  - irqchip/aspeed-scu-ic: Fix irq_of_parse_and_map() return value
    (bsc#1012628).
  - x86/mm: Cleanup the control_va_addr_alignment() __setup handler
    (bsc#1012628).
  - arm64: fix types in copy_highpage() (bsc#1012628).
  - regulator: core: Fix enable_count imbalance with EXCLUSIVE_GET
    (bsc#1012628).
  - wl1251: dynamically allocate memory used for DMA (bsc#1012628).
  - linkage: Fix issue with missing symbol size (bsc#1012628).
  - ACPI: AGDI: Fix missing prototype warning for acpi_agdi_init()
    (bsc#1012628).
  - drm/msm/disp/dpu1: avoid clearing hw interrupts if hw_intr is
    null during drm uninit (bsc#1012628).
  - drm/msm/dsi: fix address for second DSI PHY on SDM660
    (bsc#1012628).
  - drm/msm/dp: fix event thread stuck in wait_event after
    kthread_stop() (bsc#1012628).
  - drm/msm/mdp5: Return error code in mdp5_pipe_release when
    deadlock is detected (bsc#1012628).
  - drm/msm/mdp5: Return error code in mdp5_mixer_release when
    deadlock is detected (bsc#1012628).
  - drm/msm: return an error pointer in msm_gem_prime_get_sg_table()
    (bsc#1012628).
  - media: uvcvideo: Fix missing check to determine if element is
    found in list (bsc#1012628).
  - arm64: stackleak: fix current_top_of_stack() (bsc#1012628).
  - iomap: iomap_write_failed fix (bsc#1012628).
  - spi: spi-fsl-qspi: check return value after calling
    platform_get_resource_byname() (bsc#1012628).
  - selftests/bpf: Prevent skeleton generation race (bsc#1012628).
  - Revert "cpufreq: Fix possible race in cpufreq online error path"
    (bsc#1012628).
  - regulator: qcom_smd: Fix up PM8950 regulator configuration
    (bsc#1012628).
  - samples: bpf: Don't fail for a missing VMLINUX_BTF when
    VMLINUX_H is provided (bsc#1012628).
  - perf/amd/ibs: Use interrupt regs ip for stack unwinding
    (bsc#1012628).
  - ath11k: Don't check arvif->is_started before sending management
    frames (bsc#1012628).
  - scsi: lpfc: Fix element offset in __lpfc_sli_release_iocbq_s4()
    (bsc#1012628).
  - scsi: lpfc: Fix dmabuf ptr assignment in lpfc_ct_reject_event()
    (bsc#1012628).
  - wilc1000: fix crash observed in AP mode with
    cfg80211_register_netdevice() (bsc#1012628).
  - HID: amd_sfh: Modify the bus name (bsc#1012628).
  - HID: amd_sfh: Modify the hid name (bsc#1012628).
  - ASoC: fsl: Fix refcount leak in imx_sgtl5000_probe
    (bsc#1012628).
  - ASoC: imx-hdmi: Fix refcount leak in imx_hdmi_probe
    (bsc#1012628).
  - ASoC: mxs-saif: Fix refcount leak in mxs_saif_probe
    (bsc#1012628).
  - regulator: pfuze100: Fix refcount leak in
    pfuze_parse_regulators_dt (bsc#1012628).
  - PM: EM: Decrement policy counter (bsc#1012628).
  - dma-direct: don't fail on highmem CMA pages in
    dma_direct_alloc_pages (bsc#1012628).
  - ASoC: samsung: Fix refcount leak in aries_audio_probe
    (bsc#1012628).
  - block: Fix the bio.bi_opf comment (bsc#1012628).
  - kselftest/cgroup: fix test_stress.sh to use OUTPUT dir
    (bsc#1012628).
  - scripts/faddr2line: Fix overlapping text section failures
    (bsc#1012628).
  - media: aspeed: Fix an error handling path in
    aspeed_video_probe() (bsc#1012628).
  - media: exynos4-is: Fix PM disable depth imbalance in
    fimc_is_probe (bsc#1012628).
  - mt76: mt7915: fix DBDC default band selection on MT7915D
    (bsc#1012628).
  - mt76: mt7921: honor pm user configuration in
    mt7921_sniffer_interface_iter (bsc#1012628).
  - mt76: mt7915: fix unbounded shift in mt7915_mcu_beacon_mbss
    (bsc#1012628).
  - mt76: mt7921: Fix the error handling path of mt7921_pci_probe()
    (bsc#1012628).
  - mt76: mt7915: fix possible uninitialized pointer dereference
    in mt7986_wmac_gpio_setup (bsc#1012628).
  - mt76: mt7915: fix possible NULL pointer dereference in
    mt7915_mac_fill_rx_vector (bsc#1012628).
  - mt76: mt7915: do not pass data pointer to
    mt7915_mcu_muru_debug_set (bsc#1012628).
  - mt76: mt7915: report rx mode value in mt7915_mac_fill_rx_rate
    (bsc#1012628).
  - mt76: fix antenna config missing in 6G cap (bsc#1012628).
  - mt76: mt7921: fix kernel crash at mt7921_pci_remove
    (bsc#1012628).
  - mt76: do not attempt to reorder received 802.3 packets without
    agg session (bsc#1012628).
  - mt76: fix tx status related use-after-free race on station
    removal (bsc#1012628).
  - mt76: mt7915: fix twt table_mask to u16 in mt7915_dev
    (bsc#1012628).
  - media: st-delta: Fix PM disable depth imbalance in delta_probe
    (bsc#1012628).
  - media: atmel: atmel-isc: Fix PM disable depth imbalance in
    atmel_isc_probe (bsc#1012628).
  - media: i2c: rdacm2x: properly set subdev entity function
    (bsc#1012628).
  - media: exynos4-is: Change clk_disable to clk_disable_unprepare
    (bsc#1012628).
  - media: pvrusb2: fix array-index-out-of-bounds in
    pvr2_i2c_core_init (bsc#1012628).
  - media: make RADIO_ADAPTERS tristate (bsc#1012628).
  - media: vsp1: Fix offset calculation for plane cropping
    (bsc#1012628).
  - media: atmel: atmel-sama5d2-isc: fix wrong mask in YUYV format
    check (bsc#1012628).
  - media: hantro: HEVC: Fix tile info buffer value computation
    (bsc#1012628).
  - Bluetooth: mt7921s: Fix the incorrect pointer check
    (bsc#1012628).
  - Bluetooth: fix dangling sco_conn and use-after-free in
    sco_sock_timeout (bsc#1012628).
  - Bluetooth: use hdev lock in activate_scan for
    hci_is_adv_monitoring (bsc#1012628).
  - Bluetooth: use hdev lock for accept_list and reject_list in
    conn req (bsc#1012628).
  - Bluetooth: protect le accept and resolv lists with hdev->lock
    (bsc#1012628).
  - Bluetooth: btmtksdio: fix use-after-free at btmtksdio_recv_event
    (bsc#1012628).
  - Bluetooth: btmtksdio: fix possible FW initialization failure
    (bsc#1012628).
  - Bluetooth: btmtksdio: fix the reset takes too long
    (bsc#1012628).
  - media: mediatek: vcodec: Fix v4l2 compliance decoder cmd test
    fail (bsc#1012628).
  - io_uring: avoid io-wq -EAGAIN looping for !IOPOLL (bsc#1012628).
  - io_uring: only wake when the correct events are set
    (bsc#1012628).
  - irqchip/gic-v3: Ensure pseudo-NMIs have an ISB between ack
    and handling (bsc#1012628).
  - irqchip/gic-v3: Refactor ISB + EOIR at ack time (bsc#1012628).
  - irqchip/gic-v3: Fix priority mask handling (bsc#1012628).
  - nvme: set dma alignment to dword (bsc#1012628).
  - m68k: math-emu: Fix dependencies of math emulation support
    (bsc#1012628).
  - net: annotate races around sk->sk_bound_dev_if (bsc#1012628).
  - sctp: read sk->sk_bound_dev_if once in sctp_rcv() (bsc#1012628).
  - net: hinic: add missing destroy_workqueue in
    hinic_pf_to_mgmt_init (bsc#1012628).
  - ASoC: ti: j721e-evm: Fix refcount leak in j721e_soc_probe_*
    (bsc#1012628).
  - kselftest/arm64: bti: force static linking (bsc#1012628).
  - media: ov7670: remove ov7670_power_off from ov7670_remove
    (bsc#1012628).
  - media: i2c: ov2640: Depend on V4L2_ASYNC (bsc#1012628).
  - media: i2c: ov5648: fix wrong pointer passed to IS_ERR()
    and PTR_ERR() (bsc#1012628).
  - media: rkvdec: h264: Fix dpb_valid implementation (bsc#1012628).
  - media: rkvdec: h264: Fix bit depth wrap in pps packet
    (bsc#1012628).
  - regulator: scmi: Fix refcount leak in scmi_regulator_probe
    (bsc#1012628).
  - blk-cgroup: always terminate io.stat lines (bsc#1012628).
  - erofs: fix buffer copy overflow of ztailpacking feature
    (bsc#1012628).
  - net/mlx5e: Correct the calculation of max channels for rep
    (bsc#1012628).
  - ext4: reject the 'commit' option on ext2 filesystems
    (bsc#1012628).
  - drm/msm/dsi: don't powerup at modeset time for parade-ps8640
    (bsc#1012628).
  - drm/msm/a6xx: Fix refcount leak in a6xx_gpu_init (bsc#1012628).
  - drm: msm: fix possible memory leak in mdp5_crtc_cursor_set()
    (bsc#1012628).
  - x86/sev: Annotate stack change in the #VC handler (bsc#1012628).
  - drm/msm: don't free the IRQ if it was not requested
    (bsc#1012628).
  - selftests/bpf: Add missed ima_setup.sh in Makefile
    (bsc#1012628).
  - drm/msm/dpu: handle pm_runtime_get_sync() errors in bind path
    (bsc#1012628).
  - drm/i915: Fix CFI violation with show_dynamic_id()
    (bsc#1012628).
  - thermal/drivers/bcm2711: Don't clamp temperature at zero
    (bsc#1012628).
  - thermal/drivers/broadcom: Fix potential NULL dereference in
    sr_thermal_probe (bsc#1012628).
  - thermal/core: Fix memory leak in
    __thermal_cooling_device_register() (bsc#1012628).
  - thermal/drivers/imx_sc_thermal: Fix refcount leak in
    imx_sc_thermal_probe (bsc#1012628).
  - bfq: Relax waker detection for shared queues (bsc#1012628).
  - bfq: Allow current waker to defend against a tentative one
    (bsc#1012628).
  - ASoC: codecs: lpass: Fix passing zero to 'PTR_ERR'
    (bsc#1012628).
  - ASoC: wm2000: fix missing clk_disable_unprepare() on error in
    wm2000_anc_transition() (bsc#1012628).
  - cpuidle: psci: Fix regression leading to no genpd governor
    (bsc#1012628).
  - cpuidle: riscv-sbi: Fix code to allow a genpd governor to be
    used (bsc#1012628).
  - platform/x86: intel_cht_int33fe: Set driver data (bsc#1012628).
  - PM: domains: Fix initialization of genpd's next_wakeup
    (bsc#1012628).
  - net: macb: Fix PTP one step sync support (bsc#1012628).
  - scsi: hisi_sas: Fix rescan after deleting a disk (bsc#1012628).
  - scsi: hisi_sas: Fix memory ordering in hisi_sas_task_deliver()
    (bsc#1012628).
  - NFC: hci: fix sleep in atomic context bugs in
    nfc_hci_hcp_message_tx (bsc#1012628).
  - bonding: fix missed rcu protection (bsc#1012628).
  - ASoC: max98090: Move check for invalid values before casting
    in max98090_put_enab_tlv() (bsc#1012628).
  - perf parse-events: Support different format of the topdown
    event name (bsc#1012628).
  - net: stmmac: fix out-of-bounds access in a selftest
    (bsc#1012628).
  - amt: fix gateway mode stuck (bsc#1012628).
  - amt: fix memory leak for advertisement message (bsc#1012628).
  - hv_netvsc: Fix potential dereference of NULL pointer
    (bsc#1012628).
  - hwmon: (dimmtemp) Fix bitmap handling (bsc#1012628).
  - hwmon: (pmbus) Check PEC support before reading other registers
    (bsc#1012628).
  - rxrpc: Fix locking issue (bsc#1012628).
  - rxrpc: Fix listen() setting the bar too high for the prealloc
    rings (bsc#1012628).
  - rxrpc: Don't try to resend the request if we're receiving the
    reply (bsc#1012628).
  - rxrpc: Fix overlapping ACK accounting (bsc#1012628).
  - rxrpc: Don't let ack.previousPacket regress (bsc#1012628).
  - rxrpc: Fix decision on when to generate an IDLE ACK
    (bsc#1012628).
  - hinic: Avoid some over memory allocation (bsc#1012628).
  - dpaa2-eth: retrieve the virtual address before dma_unmap
    (bsc#1012628).
  - dpaa2-eth: use the correct software annotation field
    (bsc#1012628).
  - dpaa2-eth: unmap the SGT buffer before accessing its contents
    (bsc#1012628).
  - net: dsa: restrict SMSC_LAN9303_I2C kconfig (bsc#1012628).
  - net/smc: postpone sk_refcnt increment in connect()
    (bsc#1012628).
  - net/smc: fix listen processing for SMC-Rv2 (bsc#1012628).
  - dma-direct: don't over-decrypt memory (bsc#1012628).
  - Bluetooth: hci_conn: Fix hci_connect_le_sync (bsc#1012628).
  - Revert "net/smc: fix listen processing for SMC-Rv2"
    (bsc#1012628).
  - media: lirc: revert removal of unused feature flags
    (bsc#1012628).
  - arm64: dts: rockchip: Move drive-impedance-ohm to emmc phy on
    rk3399 (bsc#1012628).
  - arm64: dts: mt8192: Fix nor_flash status disable typo
    (bsc#1012628).
  - PCI/ACPI: Allow D3 only if Root Port can signal and wake from D3
    (bsc#1012628).
  - memory: samsung: exynos5422-dmc: Avoid some over memory
    allocation (bsc#1012628).
  - ARM: dts: BCM5301X: Update pin controller node name
    (bsc#1012628).
  - ARM: dts: suniv: F1C100: fix watchdog compatible (bsc#1012628).
  - soc: qcom: smp2p: Fix missing of_node_put() in smp2p_parse_ipc
    (bsc#1012628).
  - soc: qcom: smsm: Fix missing of_node_put() in smsm_parse_ipc
    (bsc#1012628).
  - arm64: defconfig: reenable SM_DISPCC_8250 (bsc#1012628).
  - PCI: cadence: Fix find_first_zero_bit() limit (bsc#1012628).
  - PCI: rockchip: Fix find_first_zero_bit() limit (bsc#1012628).
  - PCI: mediatek: Fix refcount leak in mtk_pcie_subsys_powerup()
    (bsc#1012628).
  - PCI: dwc: Fix setting error return on MSI DMA mapping failure
    (bsc#1012628).
  - ARM: dts: ci4x10: Adapt to changes in imx6qdl.dtsi regarding
    fec clocks (bsc#1012628).
  - arm64: dts: qcom: sc7280: Fix sar1_irq_odl node name
    (bsc#1012628).
  - arm64: dts: qcom: sc7280-herobrine: Drop outputs on fpmcu pins
    (bsc#1012628).
  - soc: qcom: llcc: Add MODULE_DEVICE_TABLE() (bsc#1012628).
  - cxl/pci: Add debug for DVSEC range init failures (bsc#1012628).
  - cxl/pci: Make cxl_dvsec_ranges() failure not fatal to cxl_pci
    (bsc#1012628).
  - KVM: nVMX: Leave most VM-Exit info fields unmodified on failed
    VM-Entry (bsc#1012628).
  - KVM: nVMX: Clear IDT vectoring on nested VM-Exit for
    double/triple fault (bsc#1012628).
  - arm64: dts: juno: Fix SCMI power domain IDs for ETF and CS
    funnel (bsc#1012628).
  - crypto: qat - set CIPHER capability for DH895XCC (bsc#1012628).
  - crypto: qat - set COMPRESSION capability for DH895XCC
    (bsc#1012628).
  - platform/chrome: cros_ec: fix error handling in
    cros_ec_register() (bsc#1012628).
  - ARM: dts: imx6dl-colibri: Fix I2C pinmuxing (bsc#1012628).
  - platform/chrome: Re-introduce cros_ec_cmd_xfer and use it for
    ioctls (bsc#1012628).
  - can: xilinx_can: mark bit timing constants as const
    (bsc#1012628).
  - ARM: dts: stm32: Fix PHY post-reset delay on Avenger96
    (bsc#1012628).
  - dt-bindings: soc: qcom: smd-rpm: Fix missing MSM8936 compatible
    (bsc#1012628).
  - ARM: dts: qcom: sdx55: remove wrong unit address from RPMH
    RSC clocks (bsc#1012628).
  - arm64: dts: qcom: sm8450: Fix missing iommus for qup
    (bsc#1012628).
  - arm64: dts: qcom: sm8450: Fix missing iommus for qup1
    (bsc#1012628).
  - ARM: dts: bcm2835-rpi-zero-w: Fix GPIO line name for Wifi/BT
    (bsc#1012628).
  - ARM: dts: bcm2837-rpi-cm3-io3: Fix GPIO line names for SMPS I2C
    (bsc#1012628).
  - ARM: dts: bcm2837-rpi-3-b-plus: Fix GPIO line name of power LED
    (bsc#1012628).
  - ARM: dts: bcm2835-rpi-b: Fix GPIO line names (bsc#1012628).
  - misc: ocxl: fix possible double free in ocxl_file_register_afu
    (bsc#1012628).
  - hwrng: cn10k - Optimize cn10k_rng_read() (bsc#1012628).
  - hwrng: cn10k - Make check_rng_health() return an error code
    (bsc#1012628).
  - crypto: marvell/cesa - ECB does not IV (bsc#1012628).
  - gpiolib: of: Introduce hook for missing gpio-ranges
    (bsc#1012628).
  - pinctrl: bcm2835: implement hook for missing gpio-ranges
    (bsc#1012628).
  - drm/msm: simplify gpu_busy callback (bsc#1012628).
  - drm/msm: return the average load over the polling period
    (bsc#1012628).
  - arm: mediatek: select arch timer for mt7629 (bsc#1012628).
  - pinctrl/rockchip: support deferring other gpio params
    (bsc#1012628).
  - pinctrl: mediatek: mt8195: enable driver on mtk platforms
    (bsc#1012628).
  - arm64: dts: qcom: qrb5165-rb5: Fix can-clock node name
    (bsc#1012628).
  - Drivers: hv: vmbus: Fix handling of messages with transaction
    ID of zero (bsc#1012628).
  - powerpc/fadump: fix PT_LOAD segment for boot memory area
    (bsc#1012628).
  - mfd: ipaq-micro: Fix error check return value of
    platform_get_irq() (bsc#1012628).
  - scsi: fcoe: Fix Wstringop-overflow warnings in
    fcoe_wwn_from_mac() (bsc#1012628).
  - soc: bcm: Check for NULL return of devm_kzalloc() (bsc#1012628).
  - arm64: dts: ti: k3-am64-mcu: remove incorrect UART base clock
    rates (bsc#1012628).
  - ASoC: sh: rz-ssi: Propagate error codes returned from
    platform_get_irq_byname() (bsc#1012628).
  - ASoC: sh: rz-ssi: Release the DMA channels in rz_ssi_probe()
    error path (bsc#1012628).
  - firmware: arm_scmi: Fix list protocols enumeration in the base
    protocol (bsc#1012628).
  - nvdimm: Fix firmware activation deadlock scenarios
    (bsc#1012628).
  - nvdimm: Allow overwrite in the presence of disabled dimms
    (bsc#1012628).
  - pinctrl: mvebu: Fix irq_of_parse_and_map() return value
    (bsc#1012628).
  - crypto: ccp - Fix the INIT_EX data file open failure
    (bsc#1012628).
  - drivers/base/node.c: fix compaction sysfs file leak
    (bsc#1012628).
  - dax: fix cache flush on PMD-mapped pages (bsc#1012628).
  - drivers/base/memory: fix an unlikely reference counting issue
    in __add_memory_block() (bsc#1012628).
  - firmware: arm_ffa: Fix uuid parameter to ffa_partition_probe
    (bsc#1012628).
  - firmware: arm_ffa: Remove incorrect assignment of driver_data
    (bsc#1012628).
  - ocfs2: fix mounting crash if journal is not alloced
    (bsc#1012628).
  - list: fix a data-race around ep->rdllist (bsc#1012628).
  - drm/msm/dpu: fix error check return value of
    irq_of_parse_and_map() (bsc#1012628).
  - powerpc/8xx: export 'cpm_setbrg' for modules (bsc#1012628).
  - pinctrl: renesas: r8a779a0: Fix GPIO function on I2C-capable
    pins (bsc#1012628).
  - pinctrl: renesas: r8a779f0: Fix GPIO function on I2C-capable
    pins (bsc#1012628).
  - pinctrl: renesas: core: Fix possible null-ptr-deref in
    sh_pfc_map_resources() (bsc#1012628).
  - powerpc/idle: Fix return value of __setup() handler
    (bsc#1012628).
  - powerpc/4xx/cpm: Fix return value of __setup() handler
    (bsc#1012628).
  - RDMA/hns: Add the detection for CMDQ status in the device
    initialization process (bsc#1012628).
  - arm64: dts: marvell: espressobin-ultra: fix SPI-NOR config
    (bsc#1012628).
  - arm64: dts: marvell: espressobin-ultra: enable front USB3 port
    (bsc#1012628).
  - ASoC: atmel-pdmic: Remove endianness flag on pdmic component
    (bsc#1012628).
  - ASoC: atmel-classd: Remove endianness flag on class d component
    (bsc#1012628).
  - proc: fix dentry/inode overinstantiating under /proc/${pid}/net
    (bsc#1012628).
  - ipc/mqueue: use get_tree_nodev() in mqueue_get_tree()
    (bsc#1012628).
  - PCI: imx6: Fix PERST# start-up sequence (bsc#1012628).
  - PCI: mediatek-gen3: Assert resets to ensure expected init state
    (bsc#1012628).
  - module.h: simplify MODULE_IMPORT_NS (bsc#1012628).
  - module: fix [e_shstrndx].sh_size=0 OOB access (bsc#1012628).
  - tty: fix deadlock caused by calling printk() under
    tty_port->lock (bsc#1012628).
  - crypto: sun8i-ss - rework handling of IV (bsc#1012628).
  - crypto: sun8i-ss - handle zero sized sg (bsc#1012628).
  - crypto: cryptd - Protect per-CPU resource by disabling BH
    (bsc#1012628).
  - ARM: dts: at91: sama7g5: remove interrupt-parent from gic node
    (bsc#1012628).
  - ARM: dts: lan966x: swap dma channels for crypto node
    (bsc#1012628).
  - hugetlbfs: fix hugetlbfs_statfs() locking (bsc#1012628).
  - x86/mce: relocate set{clear}_mce_nospec() functions
    (bsc#1012628).
  - mce: fix set_mce_nospec to always unmap the whole page
    (bsc#1012628).
  - Input: sparcspkr - fix refcount leak in bbc_beep_probe
    (bsc#1012628).
  - PCI/AER: Clear MULTI_ERR_COR/UNCOR_RCV bits (bsc#1012628).
  - KVM: PPC: Book3S HV: Fix vcore_blocked tracepoint (bsc#1012628).
  - PCI: microchip: Fix potential race in interrupt handling
    (bsc#1012628).
  - cxl/mem: Drop mem_enabled check from wait_for_media()
    (bsc#1012628).
  - hwrng: omap3-rom - fix using wrong clk_disable() in
    omap_rom_rng_runtime_resume() (bsc#1012628).
  - perf evlist: Keep topdown counters in weak group (bsc#1012628).
  - perf stat: Always keep perf metrics topdown events in a group
    (bsc#1012628).
  - mailbox: pcc: Fix an invalid-load caught by the address
    sanitizer (bsc#1012628).
  - powerpc/64: Only WARN if __pa()/__va() called with bad addresses
    (bsc#1012628).
  - powerpc/powernv: Get L1D flush requirements from device-tree
    (bsc#1012628).
  - powerpc/powernv: Get STF barrier requirements from device-tree
    (bsc#1012628).
  - powerpc/perf: Fix the threshold compare group constraint for
    power10 (bsc#1012628).
  - powerpc/perf: Fix the threshold compare group constraint for
    power9 (bsc#1012628).
  - macintosh: via-pmu and via-cuda need RTC_LIB (bsc#1012628).
  - powerpc/xive: Fix refcount leak in xive_spapr_init
    (bsc#1012628).
  - powerpc/fsl_rio: Fix refcount leak in fsl_rio_setup
    (bsc#1012628).
  - powerpc/papr_scm: Fix leaking nvdimm_events_map elements
    (bsc#1012628).
  - powerpc/fsl_book3e: Don't set rodata RO too early (bsc#1012628).
  - gpio: sim: Use correct order for the parameters of
    devm_kcalloc() (bsc#1012628).
  - mfd: davinci_voicecodec: Fix possible null-ptr-deref
    davinci_vc_probe() (bsc#1012628).
  - nfsd: destroy percpu stats counters after reply cache shutdown
    (bsc#1012628).
  - mailbox: forward the hrtimer if not queued and under a lock
    (bsc#1012628).
  - RDMA/rxe: Fix an error handling path in rxe_get_mcg()
    (bsc#1012628).
  - RDMA/hfi1: Prevent use of lock before it is initialized
    (bsc#1012628).
  - pinctrl: apple: Use a raw spinlock for the regmap (bsc#1012628).
  - KVM: LAPIC: Drop pending LAPIC timer injection when canceling
    the timer (bsc#1012628).
  - Input: stmfts - do not leave device disabled in
    stmfts_input_open (bsc#1012628).
  - OPP: call of_node_put() on error path in _bandwidth_supported()
    (bsc#1012628).
  - dmaengine: ti: k3-psil-am62: Update PSIL thread for saul
    (bsc#1012628).
  - f2fs: fix to do sanity check on inline_dots inode (bsc#1012628).
  - f2fs: fix dereference of stale list iterator after loop body
    (bsc#1012628).
  - riscv: Fixup difference with defconfig (bsc#1012628).
  - iommu/amd: Enable swiotlb in all cases (bsc#1012628).
  - iommu/amd: Do not call sleep while holding spinlock
    (bsc#1012628).
  - iommu/mediatek: Fix 2 HW sharing pgtable issue (bsc#1012628).
  - iommu/mediatek: Add list_del in mtk_iommu_remove (bsc#1012628).
  - iommu/mediatek: Remove clk_disable in mtk_iommu_remove
    (bsc#1012628).
  - iommu/mediatek: Add mutex for m4u_group and m4u_dom in data
    (bsc#1012628).
  - i2c: at91: use dma safe buffers (bsc#1012628).
  - cpufreq: mediatek: Use module_init and add module_exit
    (bsc#1012628).
  - cpufreq: mediatek: Unregister platform device on exit
    (bsc#1012628).
  - iommu/arm-smmu-v3-sva: Fix mm use-after-free (bsc#1012628).
  - MIPS: Loongson: Use hwmon_device_register_with_groups() to
    register hwmon (bsc#1012628).
  - iommu/mediatek: Fix NULL pointer dereference when printing
    dev_name (bsc#1012628).
  - i2c: at91: Initialize dma_buf in at91_twi_xfer() (bsc#1012628).
  - dmaengine: idxd: Fix the error handling path in
    idxd_cdev_register() (bsc#1012628).
  - NFS: Do not report EINTR/ERESTARTSYS as mapping errors
    (bsc#1012628).
  - NFS: fsync() should report filesystem errors over
    EINTR/ERESTARTSYS (bsc#1012628).
  - NFS: Don't report ENOSPC write errors twice (bsc#1012628).
  - NFS: Do not report flush errors in nfs_write_end()
    (bsc#1012628).
  - NFS: Don't report errors from nfs_pageio_complete() more than
    once (bsc#1012628).
  - NFSv4/pNFS: Do not fail I/O when we fail to allocate the pNFS
    layout (bsc#1012628).
  - NFS: Further fixes to the writeback error handling
    (bsc#1012628).
  - NFS: Pass i_size to fscache_unuse_cookie() when a file is
    released (bsc#1012628).
  - video: fbdev: clcdfb: Fix refcount leak in clcdfb_of_vram_setup
    (bsc#1012628).
  - dmaengine: stm32-mdma: remove GISR1 register (bsc#1012628).
  - dmaengine: stm32-mdma: fix chan initialization in
    stm32_mdma_irq_handler() (bsc#1012628).
  - i2c: npcm: Fix timeout calculation (bsc#1012628).
  - i2c: npcm: Correct register access width (bsc#1012628).
  - i2c: npcm: Handle spurious interrupts (bsc#1012628).
  - i2c: rcar: fix PM ref counts in probe error paths (bsc#1012628).
  - tracing: Reset the function filter after completing
    trampoline/graph selftest (bsc#1012628).
  - RISC-V: Split out the XIP fixups into their own file
    (bsc#1012628).
  - RISC-V: Fix the XIP build (bsc#1012628).
  - MIPS: RALINK: Define pci_remap_iospace under
    CONFIG_PCI_DRIVERS_GENERIC (bsc#1012628).
  - perf build: Fix btf__load_from_kernel_by_id() feature check
    (bsc#1012628).
  - perf c2c: Use stdio interface if slang is not supported
    (bsc#1012628).
  - rtla: Avoid record NULL pointer dereference (bsc#1012628).
  - rtla: Don't overwrite existing directory mode (bsc#1012628).
  - rtla: Minor grammar fix for rtla README (bsc#1012628).
  - rtla: Fix __set_sched_attr error message (bsc#1012628).
  - rtla: Remove procps-ng dependency (bsc#1012628).
  - tracing/timerlat: Notify IRQ new max latency only if stop
    tracing is set (bsc#1012628).
  - perf jevents: Fix event syntax error caused by ExtSel
    (bsc#1012628).
  - video: fbdev: vesafb: Fix a use-after-free due early fb_info
    cleanup (bsc#1012628).
  - NFSv4: Fix free of uninitialized nfs4_label on referral lookup
    (bsc#1012628).
  - NFSv4.1 mark qualified async operations as MOVEABLE tasks
    (bsc#1012628).
  - f2fs: fix to avoid f2fs_bug_on() in dec_valid_node_count()
    (bsc#1012628).
  - f2fs: fix to do sanity check on block address in
    f2fs_do_zero_range() (bsc#1012628).
  - f2fs: fix to clear dirty inode in f2fs_evict_inode()
    (bsc#1012628).
  - f2fs: fix deadloop in foreground GC (bsc#1012628).
  - f2fs: don't need inode lock for system hidden quota
    (bsc#1012628).
  - f2fs: fix to do sanity check on total_data_blocks (bsc#1012628).
  - f2fs: don't use casefolded comparison for "." and
    ".." (bsc#1012628).
  - f2fs: fix fallocate to use file_modified to update permissions
    consistently (bsc#1012628).
  - f2fs: fix to do sanity check for inline inode (bsc#1012628).
  - objtool: Fix objtool regression on x32 systems (bsc#1012628).
  - objtool: Fix symbol creation (bsc#1012628).
  - wifi: mac80211: fix use-after-free in chanctx code
    (bsc#1012628).
  - iwlwifi: fw: init SAR GEO table only if data is present
    (bsc#1012628).
  - iwlwifi: mvm: fix assert 1F04 upon reconfig (bsc#1012628).
  - iwlwifi: mei: clear the sap data header before sending
    (bsc#1012628).
  - iwlwifi: mei: fix potential NULL-ptr deref (bsc#1012628).
  - ipmi:ipmb: Fix refcount leak in ipmi_ipmb_probe (bsc#1012628).
  - =?UTF-8?q?fs-writeback:=20writeback=5Fsb=5Finodes?=
    =?UTF-8?q?=EF=BC=9ARecalculate=20'wrote'=20according=20skipped=20pages?=
    (bsc#1012628).
  - efi: Do not import certificates from UEFI Secure Boot for T2
    Macs (bsc#1012628).
  - bfq: Avoid false marking of bic as stably merged (bsc#1012628).
  - bfq: Avoid merging queues with different parents (bsc#1012628).
  - bfq: Split shared queues on move between cgroups (bsc#1012628).
  - bfq: Update cgroup information before merging bio (bsc#1012628).
  - bfq: Drop pointless unlock-lock pair (bsc#1012628).
  - bfq: Remove pointless bfq_init_rq() calls (bsc#1012628).
  - bfq: Track whether bfq_group is still online (bsc#1012628).
  - bfq: Get rid of __bio_blkcg() usage (bsc#1012628).
  - bfq: Make sure bfqg for which we are queueing requests is online
    (bsc#1012628).
  - ext4: mark group as trimmed only if it was fully scanned
    (bsc#1012628).
  - ext4: fix use-after-free in ext4_rename_dir_prepare
    (bsc#1012628).
  - ext4: fix journal_ioprio mount option handling (bsc#1012628).
  - ext4: fix race condition between ext4_write and
    ext4_convert_inline_data (bsc#1012628).
  - ext4: fix warning in ext4_handle_inode_extension (bsc#1012628).
  - ext4: fix memory leak in parse_apply_sb_mount_options()
    (bsc#1012628).
  - ext4: fix bug_on in ext4_writepages (bsc#1012628).
  - ext4: filter out EXT4_FC_REPLAY from on-disk superblock field
    s_state (bsc#1012628).
  - ext4: fix bug_on in __es_tree_search (bsc#1012628).
  - ext4: verify dir block before splitting it (bsc#1012628).
  - ext4: avoid cycles in directory h-tree (bsc#1012628).
  - ACPI: property: Release subnode properties with data nodes
    (bsc#1012628).
  - tty: goldfish: Introduce gf_ioread32()/gf_iowrite32()
    (bsc#1012628).
  - tracing: Have event format check not flag %p* on
    __get_dynamic_array() (bsc#1012628).
  - tracing: Fix potential double free in create_var_ref()
    (bsc#1012628).
  - tracing: Fix return value of trace_pid_write() (bsc#1012628).
  - tracing: Initialize integer variable to prevent garbage return
    value (bsc#1012628).
  - drm/amdgpu: add beige goby PCI ID (bsc#1012628).
  - PCI/PM: Fix bridge_d3_blacklist[] Elo i2 overwrite of Gigabyte
    X299 (bsc#1012628).
  - PCI: qcom: Fix pipe clock imbalance (bsc#1012628).
  - PCI: qcom: Fix runtime PM imbalance on probe errors
    (bsc#1012628).
  - PCI: qcom: Fix unbalanced PHY init on probe errors
    (bsc#1012628).
  - staging: r8188eu: prevent ->Ssid overflow in rtw_wx_set_scan()
    (bsc#1012628).
  - block: Fix potential deadlock in blk_ia_range_sysfs_show()
    (bsc#1012628).
  - mm, compaction: fast_find_migrateblock() should return pfn in
    the target zone (bsc#1012628).
  - s390/perf: obtain sie_block from the right address
    (bsc#1012628).
  - s390/stp: clock_delta should be signed (bsc#1012628).
  - dlm: fix plock invalid read (bsc#1012628).
  - dlm: uninitialized variable on error in dlm_listen_for_all()
    (bsc#1012628).
  - dlm: fix wake_up() calls for pending remove (bsc#1012628).
  - dlm: fix missing lkb refcount handling (bsc#1012628).
  - ocfs2: dlmfs: fix error handling of user_dlm_destroy_lock
    (bsc#1012628).
  - scsi: dc395x: Fix a missing check on list iterator
    (bsc#1012628).
  - scsi: ufs: qcom: Add a readl() to make sure ref_clk gets enabled
    (bsc#1012628).
  - landlock: Add clang-format exceptions (bsc#1012628).
  - landlock: Format with clang-format (bsc#1012628).
  - selftests/landlock: Add clang-format exceptions (bsc#1012628).
  - selftests/landlock: Normalize array assignment (bsc#1012628).
  - selftests/landlock: Format with clang-format (bsc#1012628).
  - samples/landlock: Add clang-format exceptions (bsc#1012628).
  - samples/landlock: Format with clang-format (bsc#1012628).
  - landlock: Fix landlock_add_rule(2) documentation (bsc#1012628).
  - selftests/landlock: Make tests build with old libc
    (bsc#1012628).
  - selftests/landlock: Extend tests for minimal valid attribute
    size (bsc#1012628).
  - selftests/landlock: Add tests for unknown access rights
    (bsc#1012628).
  - selftests/landlock: Extend access right tests to directories
    (bsc#1012628).
  - selftests/landlock: Fully test file rename with "remove" access
    (bsc#1012628).
  - selftests/landlock: Add tests for O_PATH (bsc#1012628).
  - landlock: Change landlock_add_rule(2) argument check ordering
    (bsc#1012628).
  - landlock: Change landlock_restrict_self(2) check ordering
    (bsc#1012628).
  - selftests/landlock: Test landlock_create_ruleset(2) argument
    check ordering (bsc#1012628).
  - landlock: Define access_mask_t to enforce a consistent access
    mask size (bsc#1012628).
  - landlock: Reduce the maximum number of layers to 16
    (bsc#1012628).
  - landlock: Create find_rule() from unmask_layers() (bsc#1012628).
  - landlock: Fix same-layer rule unions (bsc#1012628).
  - drm/amdgpu/cs: make commands with 0 chunks illegal behaviour
    (bsc#1012628).
  - drm/nouveau/subdev/bus: Ratelimit logging for fault errors
    (bsc#1012628).
  - drm/etnaviv: check for reaped mapping in etnaviv_iommu_unmap_gem
    (bsc#1012628).
  - drm/nouveau/clk: Fix an incorrect NULL check on list iterator
    (bsc#1012628).
  - drm/nouveau/kms/nv50-: atom: fix an incorrect NULL check on
    list iterator (bsc#1012628).
  - drm/bridge: analogix_dp: Grab runtime PM reference for DP-AUX
    (bsc#1012628).
  - drm/i915/dsi: fix VBT send packet port selection for ICL+
    (bsc#1012628).
  - md: fix an incorrect NULL check in does_sb_need_changing
    (bsc#1012628).
  - md: fix an incorrect NULL check in md_reload_sb (bsc#1012628).
  - mtd: cfi_cmdset_0002: Move and rename
    chip_check/chip_ready/chip_good_for_write (bsc#1012628).
  - mtd: cfi_cmdset_0002: Use chip_ready() for write on S29GL064N
    (bsc#1012628).
  - media: coda: Fix reported H264 profile (bsc#1012628).
  - media: coda: Add more H264 levels for CODA960 (bsc#1012628).
  - ima: remove the IMA_TEMPLATE Kconfig option (bsc#1012628).
  - Kconfig: Add option for asm goto w/ tied outputs to workaround
    clang-13 bug (bsc#1012628).
  - lib/string_helpers: fix not adding strarray to device's resource
    list (bsc#1012628).
  - RDMA/hfi1: Fix potential integer multiplication overflow errors
    (bsc#1012628).
  - mmc: core: Allows to override the timeout value for ioctl()
    path (bsc#1012628).
  - csky: patch_text: Fixup last cpu should be master (bsc#1012628).
  - irqchip/armada-370-xp: Do not touch Performance Counter Overflow
    on A375, A38x, A39x (bsc#1012628).
  - irqchip: irq-xtensa-mx: fix initial IRQ affinity (bsc#1012628).
  - thermal: devfreq_cooling: use local ops instead of global ops
    (bsc#1012628).
  - mt76: fix use-after-free by removing a non-RCU wcid pointer
    (bsc#1012628).
  - cfg80211: declare MODULE_FIRMWARE for regulatory.db
    (bsc#1012628).
  - mac80211: upgrade passive scan to active scan on DFS channels
    after beacon rx (bsc#1012628).
  - um: virtio_uml: Fix broken device handling in time-travel
    (bsc#1012628).
  - um: Use asm-generic/dma-mapping.h (bsc#1012628).
  - um: chan_user: Fix winch_tramp() return value (bsc#1012628).
  - um: Fix out-of-bounds read in LDT setup (bsc#1012628).
  - MIPS: IP27: Remove incorrect `cpu_has_fpu' override
    (bsc#1012628).
  - MIPS: IP30: Remove incorrect `cpu_has_fpu' override
    (bsc#1012628).
  - kexec_file: drop weak attribute from
    arch_kexec_apply_relocations[_add] (bsc#1012628).
  - ftrace: Clean up hash direct_functions on register failures
    (bsc#1012628).
  - ksmbd: fix outstanding credits related bugs (bsc#1012628).
  - iommu/msm: Fix an incorrect NULL check on list iterator
    (bsc#1012628).
  - iommu/dma: Fix iova map result check bug (bsc#1012628).
  - kprobes: Fix build errors with CONFIG_KRETPROBES=n
    (bsc#1012628).
  - Revert "mm/cma.c: remove redundant cma_mutex lock"
    (bsc#1012628).
  - mm/page_owner: use strscpy() instead of strlcpy() (bsc#1012628).
  - mm/page_alloc: always attempt to allocate at least one page
    during bulk allocation (bsc#1012628).
  - nodemask.h: fix compilation error with GCC12 (bsc#1012628).
  - hugetlb: fix huge_pmd_unshare address update (bsc#1012628).
  - mm/memremap: fix missing call to untrack_pfn() in
    pagemap_range() (bsc#1012628).
  - xtensa/simdisk: fix proc_read_simdisk() (bsc#1012628).
  - rtl818x: Prevent using not initialized queues (bsc#1012628).
  - ASoC: rt5514: Fix event generation for "DSP Voice Wake Up"
    control (bsc#1012628).
  - carl9170: tx: fix an incorrect use of list iterator
    (bsc#1012628).
  - stm: ltdc: fix two incorrect NULL checks on list iterator
    (bsc#1012628).
  - bcache: improve multithreaded bch_btree_check() (bsc#1012628).
  - bcache: improve multithreaded bch_sectors_dirty_init()
    (bsc#1012628).
  - bcache: remove incremental dirty sector counting for
    bch_sectors_dirty_init() (bsc#1012628).
  - bcache: avoid journal no-space deadlock by reserving 1 journal
    bucket (bsc#1012628).
  - serial: pch: don't overwrite xmit->buf[0] by x_char
    (bsc#1012628).
  - tilcdc: tilcdc_external: fix an incorrect NULL check on list
    iterator (bsc#1012628).
  - gma500: fix an incorrect NULL check on list iterator
    (bsc#1012628).
  - arm64: dts: qcom: ipq8074: fix the sleep clock frequency
    (bsc#1012628).
  - arm64: tegra: Add missing DFLL reset on Tegra210 (bsc#1012628).
  - clk: tegra: Add missing reset deassertion (bsc#1012628).
  - phy: qcom-qmp: fix struct clk leak on probe errors
    (bsc#1012628).
  - ARM: dts: s5pv210: Remove spi-cs-high on panel in Aries
    (bsc#1012628).
  - ARM: pxa: maybe fix gpio lookup tables (bsc#1012628).
  - ceph: fix decoding of client session messages flags
    (bsc#1012628).
  - misc: fastrpc: fix list iterator in fastrpc_req_mem_unmap_impl
    (bsc#1012628).
  - SMB3: EBADF/EIO errors in rename/open caused by race condition
    in smb2_compound_op (bsc#1012628).
  - docs/conf.py: Cope with removal of language=None in Sphinx 5.0.0
    (bsc#1012628).
  - dt-bindings: gpio: altera: correct interrupt-cells
    (bsc#1012628).
  - vdpasim: allow to enable a vq repeatedly (bsc#1012628).
  - blk-iolatency: Fix inflight count imbalances and IO hangs on
    offline (bsc#1012628).
  - coresight: core: Fix coresight device probe failure issue
    (bsc#1012628).
  - phy: qcom-qmp: fix reset-controller leak on probe errors
    (bsc#1012628).
  - net: ipa: fix page free in ipa_endpoint_trans_release()
    (bsc#1012628).
  - net: ipa: fix page free in ipa_endpoint_replenish_one()
    (bsc#1012628).
  - media: lirc: add missing exceptions for lirc uapi header file
    (bsc#1012628).
  - kseltest/cgroup: Make test_stress.sh work if run interactively
    (bsc#1012628).
  - perf evlist: Extend arch_evsel__must_be_in_group to support
    hybrid systems (bsc#1012628).
  - Revert "random: use static branch for crng_ready()"
    (bsc#1012628).
  - staging: r8188eu: delete rtw_wx_read/write32() (bsc#1012628).
  - binder: fix sender_euid type in uapi header (bsc#1012628).
  - RDMA/hns: Remove the num_cqc_timer variable (bsc#1012628).
  - RDMA/rxe: Generate a completion for unsupported/invalid opcode
    (bsc#1012628).
  - ext4: only allow test_dummy_encryption when supported
    (bsc#1012628).
  - fs: add two trivial lookup helpers (bsc#1012628).
  - exportfs: support idmapped mounts (bsc#1012628).
  - md: Don't set mddev private to NULL in raid0 pers->free
    (bsc#1012628).
  - md: fix double free of io_acct_set bioset (bsc#1012628).
  - md: bcache: check the return value of kzalloc() in
    detached_dev_do_request() (bsc#1012628).
  - macsec: fix UAF bug for real_dev (bsc#1012628).
  - tty: n_gsm: Fix packet data hex dump output (bsc#1012628).
  - pinctrl/rockchip: support setting input-enable param
    (bsc#1012628).
  - block: fix bio_clone_blkg_association() to associate with
    proper blkcg_gq (bsc#1012628).
  - Update config files.
    * EFI_DISABLE_RUNTIME=n -- the default.
    * the rest is non-configurable.
  - Refresh patches.suse/vfs-add-super_operations-get_inode_dev.
  - commit b06f595
* Wed Jun 08 2022 tiwai@suse.de
  - Update config files: disable CONFIG_NET_DSA_REALTEK_* on x86_64 (bsc#1200254)
  - commit 262234b
* Wed Jun 08 2022 tiwai@suse.de
  - fs/ntfs3: Fix invalid free in log_replay (CVE-2022-1973
    bsc#1200023).
  - commit 3433bd9
* Tue Jun 07 2022 tiwai@suse.de
  - Update config files: restore CONFIG_I8K=y (bsc#1199958)
  - commit 04cadbf
* Tue Jun 07 2022 mkubecek@suse.cz
  - update CVE and bugzilla references
  - patches.kernel.org/5.18.2-001-netfilter-nf_tables-disallow-non-stateful-expr.patch
    - add CVE-2022-1966 bsc#1200015
  - patches.kernel.org/5.18.2-010-netfilter-nf_tables-sanitize-nft_set_desc_conc.patch
    - add CVE-2022-1972 bsc#1200019
  - commit 6d13af9
* Tue Jun 07 2022 jslaby@suse.cz
  - Update config files (only run_oldconfig.sh).
  - commit 695cfee
* Mon Jun 06 2022 mkubecek@suse.cz
  - Update to 5.19-rc1
  - eliminate 54 patches (48 stable, 5 mainline, 1 other)
    - patches.kernel.org/*
    - patches.rpmify/scripts-dummy-tools-add-pahole.patch
    - patches.suse/KVM-x86-avoid-calling-x86-emulator-without-a-decoded-instruction
    - patches.suse/Revert-net-af_key-add-check-for-pfkey_broadcast-in-f.patch
    - patches.suse/iommu-amd-Increase-timeout-waiting-for-GA-log-enablement
    - patches.suse/simplefb-Enable-boot-time-VESA-graphic-mode-selectio.patch
    - patches.rpmify/powerpc-64-BE-option-to-use-ELFv2-ABI-for-big-endian.patch
  - refresh
    - patches.suse/add-suse-supported-flag.patch
    - patches.suse/genksyms-add-override-flag.diff
    - patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch
    - patches.suse/vfs-add-super_operations-get_inode_dev
  - 5.19-rc1 regression fix
    - patches.suse/drm-amdgpu-always-flush-the-TLB-on-gfx8.patch
  - disable ARM architectures (need config update)
  - new config options
    - General setup
    - CONFIG_BOOT_CONFIG_EMBED=n
    - CONFIG_INITRAMFS_PRESERVE_MTIME=y
    - Processor type and features
    - CONFIG_INTEL_TDX_GUEST=y
    - CONFIG_PERF_EVENTS_AMD_BRS=y
    - CONFIG_MICROCODE_LATE_LOADING=n
    - Enable loadable module support
    - CONFIG_MODULE_UNLOAD_TAINT_TRACKING=y
    - Memory Management options
    - CONFIG_PTE_MARKER_UFFD_WP=y
    - Networking support
    - CONFIG_CAN_CTUCANFD_PCI=m
    - File systems
    - CONFIG_CACHEFILES_ONDEMAND=n
    - CONFIG_HUGETLB_PAGE_OPTIMIZE_VMEMMAP_DEFAULT_ON=n
    - Security options
    - CONFIG_TRUSTED_KEYS_TPM=y
    - CONFIG_TRUSTED_KEYS_TEE=y
    - CONFIG_RANDSTRUCT_NONE=y
    - Cryptographic API
    - CONFIG_CRYPTO_SM3_GENERIC=m
    - CONFIG_CRYPTO_SM4_GENERIC=m
    - CONFIG_SYSTEM_BLACKLIST_AUTH_UPDATE=y
    - Kernel hacking
    - CONFIG_DEBUG_NET=n
    - CONFIG_RCU_EXP_CPU_STALL_TIMEOUT=0
    - Generic Driver Options
    - CONFIG_FW_LOADER_COMPRESS_XZ=y
    - CONFIG_FW_LOADER_COMPRESS_ZSTD=y
    - CONFIG_FW_UPLOAD=y
    - Firmware Drivers
    - CONFIG_EFI_DXE_MEM_ATTRIBUTES=y
    - CONFIG_EFI_DISABLE_RUNTIME=n
    - CONFIG_EFI_COCO_SECRET=y
    - Network device support
    - CONFIG_OCTEON_EP=m
    - CONFIG_SFC_SIENA=m
    - CONFIG_SFC_SIENA_MTD=y
    - CONFIG_SFC_SIENA_MCDI_MON=y
    - CONFIG_SFC_SIENA_SRIOV=y
    - CONFIG_SFC_SIENA_MCDI_LOGGING=y
    - CONFIG_ADIN1100_PHY=m
    - CONFIG_DP83TD510_PHY=m
    - CONFIG_WLAN_VENDOR_PURELIFI=y
    - CONFIG_PLFXLC=m
    - CONFIG_RTW89_8852CE=m
    - CONFIG_WLAN_VENDOR_SILABS=y
    - CONFIG_MTK_T7XX=m
    - Input device support
    - CONFIG_JOYSTICK_SENSEHAT=m
    - CONFIG_INPUT_IQS7222=m
    - Hardware Monitoring support
    - CONFIG_SENSORS_NCT6775_I2C=m
    - CONFIG_SENSORS_XDPE152=m
    - Sound card support
    - CONFIG_SND_SOC_CS35L45_SPI=m
    - CONFIG_SND_SOC_CS35L45_I2C=m
    - CONFIG_SND_SOC_MAX98396=m
    - CONFIG_SND_SOC_WM8731_I2C=n
    - CONFIG_SND_SOC_WM8731_SPI=n
    - CONFIG_SND_SOC_WM8940=n
    - Virtualization drivers
    - CONFIG_EFI_SECRET=m
    - CONFIG_SEV_GUEST=m
    - X86 Platform Specific Device Drivers
    - CONFIG_INTEL_IFS=m
    - CONFIG_WINMATE_FM07_KEYS=m
    - Industrial I/O support
    - CONFIG_DMARD06=n
    - CONFIG_IIO_RESCALE=m
    - CONFIG_DPOT_DAC=n
    - CONFIG_VF610_DAC=n
    - CONFIG_CM3605=n
    - CONFIG_AK8974=n
    - CONFIG_IIO_MUX=m
    - CONFIG_HTE=y
    - CONFIG_HTE=y
    - Misc devices
    - CONFIG_INTEL_MEI_GSC=m
    - CONFIG_MHI_BUS_EP=m
    - CONFIG_REGULATOR_RT5759=m
    - CONFIG_HID_MEGAWORLD_FF=m
    - CONFIG_TYPEC_MUX_FSA4480=m
    - CONFIG_LEDS_PWM_MULTICOLOR=m
    - CONFIG_CHROMEOS_ACPI=m
    - CONFIG_NVSW_SN2201=m
    - OF dependent (i386, ppc64/ppc64le, riscv64)
    - DRM_PANEL_NEWVISION_NV3052C=n
    - DRM_FSL_LDB=n
    - DRM_LONTIUM_LT9211=n
    - SND_SERIAL_GENERIC=m
    - LEDS_QCOM_LPG=m
    - OMAP_GPMC=m
    - OMAP_GPMC_DEBUG=n
    - PWM_XILINX=m
    - i386
    - CAN_CTUCANFD_PLATFORM=m
    - ppc64/ppc64le
    - KASAN=n
    - s390x
    - S390_UV_UAPI=m
    - MUX_ADG792A=n
    - riscv64
    - ERRATA_THEAD=y
    - ERRATA_THEAD_PBMT=y
    - RISCV_ISA_SVPBMT=y
    - KEXEC_FILE=y
    - COMPAT=y
    - ARCH_MMAP_RND_COMPAT_BITS=8 (default)
    - NETFILTER_XTABLES_COMPAT=y
    - CAN_CTUCANFD_PLATFORM=m
    - HW_RANDOM_POLARFIRE_SOC=m
    - DRM_DW_HDMI_GP_AUDIO=n
    - IMA_KEXEC=y
    - STACK_HASH_ORDER=20 (default)
    - PAGE_TABLE_CHECK=y
    - PAGE_TABLE_CHECK_ENFORCED=n
    - */debug
    - DEBUG_NET=y
  - commit 515f42c
* Mon Jun 06 2022 jslaby@suse.cz
  - Linux 5.18.2 (bsc#1012628).
  - netfilter: nf_tables: disallow non-stateful expression in sets
    earlier (bsc#1012628).
  - i2c: ismt: prevent memory corruption in ismt_access()
    (bsc#1012628).
  - assoc_array: Fix BUG_ON during garbage collect (bsc#1012628).
  - pipe: make poll_usage boolean and annotate its access
    (bsc#1012628).
  - pipe: Fix missing lock in pipe_resize_ring() (bsc#1012628).
  - net: ipa: compute proper aggregation limit (bsc#1012628).
  - drm/i915: Fix -Wstringop-overflow warning in call to
    intel_read_wm_latency() (bsc#1012628).
  - exfat: check if cluster num is valid (bsc#1012628).
  - netfilter: nft_limit: Clone packet limits' cost value
    (bsc#1012628).
  - netfilter: nf_tables: sanitize nft_set_desc_concat_parse()
    (bsc#1012628).
  - netfilter: nf_tables: hold mutex on netns pre_exit path
    (bsc#1012628).
  - netfilter: nf_tables: double hook unregistration in netns path
    (bsc#1012628).
  - netfilter: conntrack: re-fetch conntrack after insertion
    (bsc#1012628).
  - KVM: PPC: Book3S HV: fix incorrect NULL check on list iterator
    (bsc#1012628).
  - x86/fpu: KVM: Set the base guest FPU uABI size to sizeof(struct
    kvm_xsave) (bsc#1012628).
  - x86/kvm: Alloc dummy async #PF token outside of raw spinlock
    (bsc#1012628).
  - x86, kvm: use correct GFP flags for preemption disabled
    (bsc#1012628).
  - x86/uaccess: Implement macros for CMPXCHG on user addresses
    (bsc#1012628).
  - KVM: x86: Use __try_cmpxchg_user() to update guest PTE A/D bits
    (bsc#1012628).
  - KVM: x86: Use __try_cmpxchg_user() to emulate atomic accesses
    (bsc#1012628).
  - KVM: x86: fix typo in __try_cmpxchg_user causing non-atomicness
    (bsc#1012628).
  - KVM: x86: avoid loading a vCPU after .vm_destroy was called
    (bsc#1012628).
  - KVM: x86: Fix the intel_pt PMI handling wrongly considered
    from guest (bsc#1012628).
  - KVM: x86: Drop WARNs that assert a triple fault never "escapes"
    from L2 (bsc#1012628).
  - KVM: x86/mmu: Don't rebuild page when the page is synced and
    no tlb flushing is required (bsc#1012628).
  - KVM: SVM: Use kzalloc for sev ioctl interfaces to prevent
    kernel data leak (bsc#1012628).
  - crypto: caam - fix i.MX6SX entropy delay value (bsc#1012628).
  - crypto: ecrdsa - Fix incorrect use of vli_cmp (bsc#1012628).
  - crypto: qat - rework the VF2PF interrupt handling logic
    (bsc#1012628).
  - zsmalloc: fix races between asynchronous zspage free and page
    migration (bsc#1012628).
  - tools/memory-model/README: Update klitmus7 compat table
    (bsc#1012628).
  - ALSA: usb-audio: Workaround for clock setup on TEAC devices
    (bsc#1012628).
  - ALSA: usb-audio: Add missing ep_idx in fixed EP quirks
    (bsc#1012628).
  - ALSA: usb-audio: Configure sync endpoints before data
    (bsc#1012628).
  - Bluetooth: hci_qca: Use del_timer_sync() before freeing
    (bsc#1012628).
  - ARM: dts: s5pv210: Correct interrupt name for bluetooth in Aries
    (bsc#1012628).
  - dm integrity: fix error code in dm_integrity_ctr()
    (bsc#1012628).
  - dm crypt: make printing of the key constant-time (bsc#1012628).
  - dm stats: add cond_resched when looping over entries
    (bsc#1012628).
  - dm verity: set DM_TARGET_IMMUTABLE feature flag (bsc#1012628).
  - raid5: introduce MD_BROKEN (bsc#1012628).
  - fs/ntfs3: validate BOOT sectors_per_clusters (bsc#1012628).
  - HID: multitouch: Add support for Google Whiskers Touchpad
    (bsc#1012628).
  - HID: multitouch: add quirks to enable Lenovo X12 trackpoint
    (bsc#1012628).
  - x86/sgx: Disconnect backing page references from dirty status
    (bsc#1012628).
  - x86/sgx: Mark PCMD page as dirty when modifying contents
    (bsc#1012628).
  - x86/sgx: Obtain backing storage page with enclave mutex held
    (bsc#1012628).
  - x86/sgx: Fix race between reclaimer and page fault handler
    (bsc#1012628).
  - x86/sgx: Ensure no data in PCMD page after truncate
    (bsc#1012628).
  - media: i2c: imx412: Fix reset GPIO polarity (bsc#1012628).
  - media: i2c: imx412: Fix power_off ordering (bsc#1012628).
  - tpm: Fix buffer access in tpm2_get_tpm_pt() (bsc#1012628).
  - tpm: ibmvtpm: Correct the return value in tpm_ibmvtpm_probe()
    (bsc#1012628).
  - docs: submitting-patches: Fix crossref to 'The canonical patch
    format' (bsc#1012628).
  - NFS: Memory allocation failures are not server fatal errors
    (bsc#1012628).
  - NFSD: Fix possible sleep during nfsd4_release_lockowner()
    (bsc#1012628).
  - bpf: Fill new bpf_prog_pack with illegal instructions
    (bsc#1012628).
  - bpf: Fix potential array overflow in bpf_trampoline_get_progs()
    (bsc#1012628).
  - bpf: Fix combination of jit blinding and pointers to bpf
    subprogs (bsc#1012628).
  - bpf: Enlarge offset check value to INT_MAX in
    bpf_skb_{load,store}_bytes (bsc#1012628).
  - bpf: Fix usage of trace RCU in local storage (bsc#1012628).
  - bpf: Fix excessive memory allocation in stack_map_alloc()
    (bsc#1012628).
  - bpf: Reject writes for PTR_TO_MAP_KEY in check_helper_mem_access
    (bsc#1012628).
  - bpf: Check PTR_TO_MEM | MEM_RDONLY in check_helper_mem_access
    (bsc#1012628).
  - bpf: Do write access check for kfunc and global func
    (bsc#1012628).
  - ALSA: usb-audio: Optimize TEAC clock quirk (bsc#1012628).
  - commit b7b9d3b
* Fri Jun 03 2022 jack@suse.cz
  - Remove mistakenly enabled CONFIG_JBD2_DEBUG.
  - commit 7534680
* Wed Jun 01 2022 jroedel@suse.de
  - iommu/amd: Increase timeout waiting for GA log enablement
    (bsc#1199052).
  - commit dfccb72
* Wed Jun 01 2022 jroedel@suse.de
  - iommu/amd: Increase timeout waiting for GA log enablement
    (bsc#1199052).
  - commit 0578d76
* Wed Jun 01 2022 jroedel@suse.de
  - KVM: x86: avoid calling x86 emulator without a decoded
    instruction (CVE-2022-1852 bsc#1199875).
  - commit b4b07c8
* Wed Jun 01 2022 jroedel@suse.de
  - KVM: x86: avoid calling x86 emulator without a decoded
    instruction (CVE-2022-1852 bsc#1199875).
  - commit 01a406d
* Mon May 30 2022 jslaby@suse.cz
  - Linux 5.18.1 (bsc#1012628).
  - ALSA: ctxfi: Add SB046x PCI ID (bsc#1012628).
  - ACPI: sysfs: Fix BERT error region memory mapping (bsc#1012628).
  - random: check for signals after page of pool writes
    (bsc#1012628).
  - random: wire up fops->splice_{read,write}_iter() (bsc#1012628).
  - random: convert to using fops->write_iter() (bsc#1012628).
  - random: convert to using fops->read_iter() (bsc#1012628).
  - random: unify batched entropy implementations (bsc#1012628).
  - random: move randomize_page() into mm where it belongs
    (bsc#1012628).
  - random: move initialization functions out of hot pages
    (bsc#1012628).
  - random: make consistent use of buf and len (bsc#1012628).
  - random: use proper return types on get_random_{int,long}_wait()
    (bsc#1012628).
  - random: remove extern from functions in header (bsc#1012628).
  - random: use static branch for crng_ready() (bsc#1012628).
  - random: credit architectural init the exact amount
    (bsc#1012628).
  - random: handle latent entropy and command line from
    random_init() (bsc#1012628).
  - random: use proper jiffies comparison macro (bsc#1012628).
  - random: remove ratelimiting for in-kernel unseeded randomness
    (bsc#1012628).
  - random: move initialization out of reseeding hot path
    (bsc#1012628).
  - random: avoid initializing twice in credit race (bsc#1012628).
  - random: use symbolic constants for crng_init states
    (bsc#1012628).
  - siphash: use one source of truth for siphash permutations
    (bsc#1012628).
  - random: help compiler out with fast_mix() by using simpler
    arguments (bsc#1012628).
  - random: do not use input pool from hard IRQs (bsc#1012628).
  - random: order timer entropy functions below interrupt functions
    (bsc#1012628).
  - random: do not pretend to handle premature next security model
    (bsc#1012628).
  - random: use first 128 bits of input as fast init (bsc#1012628).
  - random: do not use batches when !crng_ready() (bsc#1012628).
  - random: insist on random_get_entropy() existing in order to
    simplify (bsc#1012628).
  - xtensa: use fallback for random_get_entropy() instead of zero
    (bsc#1012628).
  - sparc: use fallback for random_get_entropy() instead of zero
    (bsc#1012628).
  - um: use fallback for random_get_entropy() instead of zero
    (bsc#1012628).
  - x86/tsc: Use fallback for random_get_entropy() instead of zero
    (bsc#1012628).
  - nios2: use fallback for random_get_entropy() instead of zero
    (bsc#1012628).
  - arm: use fallback for random_get_entropy() instead of zero
    (bsc#1012628).
  - mips: use fallback for random_get_entropy() instead of just
    c0 random (bsc#1012628).
  - riscv: use fallback for random_get_entropy() instead of zero
    (bsc#1012628).
  - m68k: use fallback for random_get_entropy() instead of zero
    (bsc#1012628).
  - timekeeping: Add raw clock fallback for random_get_entropy()
    (bsc#1012628).
  - powerpc: define get_cycles macro for arch-override
    (bsc#1012628).
  - alpha: define get_cycles macro for arch-override (bsc#1012628).
  - parisc: define get_cycles macro for arch-override (bsc#1012628).
  - s390: define get_cycles macro for arch-override (bsc#1012628).
  - ia64: define get_cycles macro for arch-override (bsc#1012628).
  - init: call time_init() before rand_initialize() (bsc#1012628).
  - random: fix sysctl documentation nits (bsc#1012628).
  - HID: amd_sfh: Add support for sensor discovery (bsc#1012628).
  - lockdown: also lock down previous kgdb use (bsc#1012628).
  - commit df81444
* Fri May 27 2022 msuchanek@suse.de
  - Update config files -- DEBUG_INFO_DWARF5 (bsc#1199932)
    Set DEBUG_INFO_DWARF5 which makes use of dwarf5 on gcc-7 and newer.
  - commit d1b0a08
* Thu May 26 2022 mkubecek@suse.cz
  - Update patches.suse/Revert-net-af_key-add-check-for-pfkey_broadcast-in-f.patch
    Update to upstream version, update upstream reference and move into sorted
    section.
  - commit 3ae1db7
* Thu May 26 2022 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream reference and move into sorted section:
    - patches.suse/simplefb-Enable-boot-time-VESA-graphic-mode-selectio.patch
  - commit dc762c4
* Thu May 26 2022 msuchanek@suse.de
  - kernel-binary.spec: Support radio selection for debuginfo.
    To disable debuginfo on 5.18 kernel a radio selection needs to be
    switched to a different selection. This requires disabling the currently
    active option and selecting NONE as debuginfo type.
  - commit 43b5dd3
* Thu May 26 2022 jslaby@suse.cz
  - Update config files -- DEBUG_INFO_DWARF_TOOLCHAIN_DEFAULT (bsc#1199932)
    Set DEBUG_INFO_DWARF_TOOLCHAIN_DEFAULT which makes use of dwarf5 on
    gcc-11 and newer.
  - commit f439809
* Wed May 25 2022 jslaby@suse.cz
  - random: do not use input pool from hard IRQs (bsc#1199803).
  - commit 3352b92
* Mon May 23 2022 schwab@suse.de
  - Add dtb-starfive
  - commit 85335b1
* Mon May 23 2022 mkubecek@suse.cz
  - Revert "net: af_key: add check for pfkey_broadcast in function
    pfkey_process" (20220523022438.ofhehjievu2alj3h@lion.mk-sys.cz).
  - commit 2023975
* Sun May 22 2022 mkubecek@suse.cz
  - Update to 5.18 final
  - refresh configs (headers only)
  - commit d0f5e4b
* Wed May 18 2022 tonyj@suse.de
  - perf: Fix sys_perf_event_open() race against self
    (CVE-2022-1729, bsc#1199507).
  - commit c1eda89
* Wed May 18 2022 tiwai@suse.de
  - rpm/kernel-binary.spec.in: Fix missing kernel-preempt-devel and KMP Provides (bsc#1199046)
  - commit 84d7ba8
* Wed May 18 2022 jslaby@suse.cz
  - Linux 5.17.9 (bsc#1012628).
  - batman-adv: Don't skb_split skbuffs with frag_list
    (bsc#1012628).
  - iwlwifi: iwl-dbg: Use del_timer_sync() before freeing
    (bsc#1012628).
  - hwmon: (tmp401) Add OF device ID table (bsc#1012628).
  - mac80211: Reset MBSSID parameters upon connection (bsc#1012628).
  - net: rds: use maybe_get_net() when acquiring refcount on TCP
    sockets (bsc#1012628).
  - net: Fix features skip in for_each_netdev_feature()
    (bsc#1012628).
  - net: mscc: ocelot: fix last VCAP IS1/IS2 filter persisting in
    hardware when deleted (bsc#1012628).
  - net: mscc: ocelot: fix VCAP IS2 filters matching on both lookups
    (bsc#1012628).
  - net: mscc: ocelot: restrict tc-trap actions to VCAP IS2 lookup 0
    (bsc#1012628).
  - net: mscc: ocelot: avoid corrupting hardware counters when
    moving VCAP filters (bsc#1012628).
  - fbdev: simplefb: Cleanup fb_info in .fb_destroy rather than
    .remove (bsc#1012628).
  - fbdev: efifb: Cleanup fb_info in .fb_destroy rather than .remove
    (bsc#1012628).
  - fbdev: vesafb: Cleanup fb_info in .fb_destroy rather than
    .remove (bsc#1012628).
  - platform/surface: aggregator: Fix initialization order when
    compiling as builtin module (bsc#1012628).
  - ice: Fix race during aux device (un)plugging (bsc#1012628).
  - ice: clear stale Tx queue settings before configuring
    (bsc#1012628).
  - ice: fix PTP stale Tx timestamps cleanup (bsc#1012628).
  - ipv4: drop dst in multicast routing path (bsc#1012628).
  - drm/nouveau: Fix a potential theorical leak in
    nouveau_get_backlight_name() (bsc#1012628).
  - netlink: do not reset transport header in netlink_recvmsg()
    (bsc#1012628).
  - net: chelsio: cxgb4: Avoid potential negative array offset
    (bsc#1012628).
  - fbdev: efifb: Fix a use-after-free due early fb_info cleanup
    (bsc#1012628).
  - net: sfc: fix memory leak due to ptp channel (bsc#1012628).
  - fanotify: do not allow setting dirent events in mask of non-dir
    (bsc#1012628).
  - mac80211_hwsim: call ieee80211_tx_prepare_skb under RCU
    protection (bsc#1012628).
  - nfs: fix broken handling of the softreval mount option
    (bsc#1012628).
  - ionic: fix missing pci_release_regions() on error in
    ionic_probe() (bsc#1012628).
  - dim: initialize all struct fields (bsc#1012628).
  - hwmon: (ltq-cputemp) restrict it to SOC_XWAY (bsc#1012628).
  - procfs: prevent unprivileged processes accessing fdinfo dir
    (bsc#1012628).
  - selftests: vm: Makefile: rename TARGETS to VMTARGETS
    (bsc#1012628).
  - net: dsa: flush switchdev workqueue on bridge join error path
    (bsc#1012628).
  - arm64: vdso: fix makefile dependency on vdso.so (bsc#1012628).
  - virtio: fix virtio transitional ids (bsc#1012628).
  - s390/ctcm: fix variable dereferenced before check (bsc#1012628).
  - s390/ctcm: fix potential memory leak (bsc#1012628).
  - s390/lcs: fix variable dereferenced before check (bsc#1012628).
  - net/sched: act_pedit: really ensure the skb is writable
    (bsc#1012628).
  - net: ethernet: mediatek: ppe: fix wrong size passed to memset()
    (bsc#1012628).
  - net: bcmgenet: Check for Wake-on-LAN interrupt probe deferral
    (bsc#1012628).
  - drm/vc4: hdmi: Fix build error for implicit function declaration
    (bsc#1012628).
  - mlxsw: Avoid warning during ip6gre device removal (bsc#1012628).
  - net: dsa: bcm_sf2: Fix Wake-on-LAN with mac_link_down()
    (bsc#1012628).
  - net/smc: non blocking recvmsg() return -EAGAIN when no data
    and signal_pending (bsc#1012628).
  - net: sfc: ef10: fix memory leak in efx_ef10_mtd_probe()
    (bsc#1012628).
  - tls: Fix context leak on tls_device_down (bsc#1012628).
  - drm/vmwgfx: Fix fencing on SVGAv3 (bsc#1012628).
  - gfs2: Fix filesystem block deallocation for short writes
    (bsc#1012628).
  - hwmon: (asus_wmi_sensors) Fix CROSSHAIR VI HERO name
    (bsc#1012628).
  - hwmon: (f71882fg) Fix negative temperature (bsc#1012628).
  - RDMA/irdma: Fix deadlock in irdma_cleanup_cm_core()
    (bsc#1012628).
  - iommu: arm-smmu: disable large page mappings for Nvidia arm-smmu
    (bsc#1012628).
  - ASoC: max98090: Reject invalid values in custom control put()
    (bsc#1012628).
  - ASoC: max98090: Generate notifications on changes for custom
    control (bsc#1012628).
  - ASoC: ops: Validate input values in snd_soc_put_volsw_range()
    (bsc#1012628).
  - s390: disable -Warray-bounds (bsc#1012628).
  - ASoC: SOF: Fix NULL pointer exception in sof_pci_probe callback
    (bsc#1012628).
  - io_uring: assign non-fixed early for async work (bsc#1012628).
  - net: emaclite: Don't advertise 1000BASE-T and do auto
    negotiation (bsc#1012628).
  - net: sfp: Add tx-fault workaround for Huawei MA5671A SFP ONT
    (bsc#1012628).
  - secure_seq: use the 64 bits of the siphash for port offset
    calculation (bsc#1012628).
  - tcp: use different parts of the port_offset for index and offset
    (bsc#1012628).
  - tcp: resalt the secret every 10 seconds (bsc#1012628).
  - tcp: add small random increments to the source port
    (bsc#1012628).
  - tcp: dynamically allocate the perturb table used by source ports
    (bsc#1012628).
  - tcp: increase source port perturb table to 2^16 (bsc#1012628).
  - tcp: drop the hash_32() part from the index calculation
    (bsc#1012628).
  - block: Do not call folio_next() on an unreferenced folio
    (bsc#1012628).
  - interconnect: Restore sync state by ignoring ipa-virt in
    provider count (bsc#1012628).
  - perf tests: Fix coresight `perf test` failure (bsc#1012628).
  - firmware_loader: use kernel credentials when reading firmware
    (bsc#1012628).
  - KVM: PPC: Book3S PR: Enable MSR_DR for switch_mmu_context()
    (bsc#1012628).
  - usb: xhci-mtk: fix fs isoc's transfer error (bsc#1012628).
  - x86/mm: Fix marking of unused sub-pmd ranges (bsc#1012628).
  - tty/serial: digicolor: fix possible null-ptr-deref in
    digicolor_uart_probe() (bsc#1012628).
  - tty: n_gsm: fix buffer over-read in gsm_dlci_data()
    (bsc#1012628).
  - tty: n_gsm: fix mux activation issues in gsm_config()
    (bsc#1012628).
  - tty: n_gsm: fix invalid gsmtty_write_room() result
    (bsc#1012628).
  - usb: gadget: uvc: allow for application to cleanly shutdown
    (bsc#1012628).
  - usb: cdc-wdm: fix reading stuck on device close (bsc#1012628).
  - usb: typec: tcpci: Don't skip cleanup in .remove() on error
    (bsc#1012628).
  - usb: typec: tcpci_mt6360: Update for BMC PHY setting
    (bsc#1012628).
  - USB: serial: pl2303: add device id for HP LM930 Display
    (bsc#1012628).
  - USB: serial: qcserial: add support for Sierra Wireless EM7590
    (bsc#1012628).
  - USB: serial: option: add Fibocom L610 modem (bsc#1012628).
  - USB: serial: option: add Fibocom MA510 modem (bsc#1012628).
  - slimbus: qcom: Fix IRQ check in qcom_slim_probe (bsc#1012628).
  - fsl_lpuart: Don't enable interrupts too early (bsc#1012628).
  - genirq: Remove WARN_ON_ONCE() in generic_handle_domain_irq()
    (bsc#1012628).
  - serial: 8250_mtk: Fix UART_EFR register address (bsc#1012628).
  - serial: 8250_mtk: Fix register address for XON/XOFF character
    (bsc#1012628).
  - ceph: fix setting of xattrs on async created inodes
    (bsc#1012628).
  - Revert "mm/memory-failure.c: skip huge_zero_page in
    memory_failure()" (bsc#1012628).
  - mm/huge_memory: do not overkill when splitting huge_zero_page
    (bsc#1012628).
  - mm: mremap: fix sign for EFAULT error return value
    (bsc#1012628).
  - drm/vmwgfx: Disable command buffers on svga3 without gbobjects
    (bsc#1012628).
  - drm/nouveau/tegra: Stop using iommu_present() (bsc#1012628).
  - i40e: i40e_main: fix a missing check on list iterator
    (bsc#1012628).
  - net: phy: Fix race condition on link status change
    (bsc#1012628).
  - writeback: Avoid skipping inode writeback (bsc#1012628).
  - cgroup/cpuset: Remove cpus_allowed/mems_allowed setup in
    cpuset_init_smp() (bsc#1012628).
  - ping: fix address binding wrt vrf (bsc#1012628).
  - ath11k: reduce the wait time of 11d scan and hw scan while
    add interface (bsc#1012628).
  - arm[64]/memremap: don't abuse pfn_valid() to ensure presence
    of linear map (bsc#1012628).
  - net: phy: micrel: Do not use kszphy_suspend/resume for KSZ8061
    (bsc#1012628).
  - net: phy: micrel: Pass .probe for KS8737 (bsc#1012628).
  - SUNRPC: Ensure that the gssproxy client can start in a connected
    state (bsc#1012628).
  - drm/vmwgfx: Initialize drm_mode_fb_cmd2 (bsc#1012628).
  - Revert "drm/amd/pm: keep the BACO feature enabled for suspend"
    (bsc#1012628).
  - dma-buf: call dma_buf_stats_setup after dmabuf is in valid list
    (bsc#1012628).
  - mm/hwpoison: use pr_err() instead of dump_page() in
    get_any_page() (bsc#1012628).
  - net: phy: micrel: Fix incorrect variable type in micrel
    (bsc#1012628).
  - mm/kfence: reset PG_slab and memcg_data before freeing
    __kfence_pool (bsc#1012628).
  - commit eab1a2c
* Mon May 16 2022 jslaby@suse.cz
  - Linux 5.17.8 (bsc#1012628).
  - mm: fix invalid page pointer returned with FOLL_PIN gups
    (bsc#1012628).
  - mm,migrate: fix establishing demotion target (bsc#1012628).
  - mm/mlock: fix potential imbalanced rlimit ucounts adjustment
    (bsc#1012628).
  - mm/hwpoison: fix error page recovered but reported "not
    recovered" (bsc#1012628).
  - mm: userfaultfd: fix missing cache flush in mcopy_atomic_pte()
    and __mcopy_atomic() (bsc#1012628).
  - mm: shmem: fix missing cache flush in shmem_mfill_atomic_pte()
    (bsc#1012628).
  - mm: hugetlb: fix missing cache flush in
    hugetlb_mcopy_atomic_pte() (bsc#1012628).
  - mm: hugetlb: fix missing cache flush in
    copy_huge_page_from_user() (bsc#1012628).
  - mm: fix missing cache flush for all tail pages of compound page
    (bsc#1012628).
  - udf: Avoid using stale lengthOfImpUse (bsc#1012628).
  - rfkill: uapi: fix RFKILL_IOCTL_MAX_SIZE ioctl request definition
    (bsc#1012628).
  - Bluetooth: Fix the creation of hdev->name (bsc#1012628).
  - commit 718e8e9
* Mon May 16 2022 mkubecek@suse.cz
  - Update to 5.18-rc7
  - commit 1778f40
* Thu May 12 2022 jslaby@suse.cz
  - Linux 5.17.7 (bsc#1012628).
  - PCI: aardvark: Update comment about link going down after
    link-up (bsc#1012628).
  - PCI: aardvark: Drop __maybe_unused from advk_pcie_disable_phy()
    (bsc#1012628).
  - PCI: aardvark: Don't mask irq when mapping (bsc#1012628).
  - PCI: aardvark: Remove irq_mask_ack() callback for INTx
    interrupts (bsc#1012628).
  - PCI: aardvark: Use separate INTA interrupt for emulated root
    bridge (bsc#1012628).
  - PCI: aardvark: Fix support for PME requester on emulated bridge
    (bsc#1012628).
  - PCI: aardvark: Add support for PME interrupts (bsc#1012628).
  - PCI: aardvark: Optimize writing PCI_EXP_RTCTL_PMEIE and
    PCI_EXP_RTSTA_PME on emulated bridge (bsc#1012628).
  - PCI: aardvark: Add support for ERR interrupt on emulated bridge
    (bsc#1012628).
  - PCI: aardvark: Enable MSI-X support (bsc#1012628).
  - PCI: aardvark: Fix setting MSI address (bsc#1012628).
  - PCI: aardvark: Add support for masking MSI interrupts
    (bsc#1012628).
  - PCI: aardvark: Refactor unmasking summary MSI interrupt
    (bsc#1012628).
  - PCI: aardvark: Use dev_fwnode() instead of
    of_node_to_fwnode(dev->of_node) (bsc#1012628).
  - PCI: aardvark: Make msi_domain_info structure a static driver
    structure (bsc#1012628).
  - PCI: aardvark: Make MSI irq_chip structures static driver
    structures (bsc#1012628).
  - PCI: aardvark: Check return value of generic_handle_domain_irq()
    when processing INTx IRQ (bsc#1012628).
  - PCI: aardvark: Rewrite IRQ code to chained IRQ handler
    (bsc#1012628).
  - PCI: aardvark: Replace custom PCIE_CORE_INT_* macros with
    PCI_INTERRUPT_* (bsc#1012628).
  - mmc: rtsx: add 74 Clocks in power on flow (bsc#1012628).
  - selftest/vm: verify remap destination address in mremap_test
    (bsc#1012628).
  - selftest/vm: verify mmap addr in mremap_test (bsc#1012628).
  - KVM: LAPIC: Enable timer posted-interrupt only when mwait/hlt
    is advertised (bsc#1012628).
  - KVM: x86/mmu: avoid NULL-pointer dereference on page freeing
    bugs (bsc#1012628).
  - KVM: x86: Do not change ICR on write to APIC_SELF_IPI
    (bsc#1012628).
  - x86/kvm: Preserve BSP MSR_KVM_POLL_CONTROL across suspend/resume
    (bsc#1012628).
  - KVM: selftests: Silence compiler warning in the
    kvm_page_table_test (bsc#1012628).
  - kvm: selftests: do not use bitfields larger than 32-bits for
    PTEs (bsc#1012628).
  - KVM: VMX: Exit to userspace if vCPU has injected exception
    and invalid state (bsc#1012628).
  - KVM: SEV: Mark nested locking of vcpu->lock (bsc#1012628).
  - iommu/dart: Add missing module owner to ops structure
    (bsc#1012628).
  - fbdev: Make fb_release() return -ENODEV if fbdev was
    unregistered (bsc#1012628).
  - kvm: x86/cpuid: Only provide CPUID leaf 0xA if host has
    architectural PMU (bsc#1012628).
  - net: rds: acquire refcount on TCP sockets (bsc#1012628).
  - gpio: mvebu: drop pwm base assignment (bsc#1012628).
  - parisc: Mark cr16 clock unstable on all SMP machines
    (bsc#1012628).
  - btrfs: always log symlinks in full mode (bsc#1012628).
  - smsc911x: allow using IRQ0 (bsc#1012628).
  - selftests: ocelot: tc_flower_chains: specify conform-exceed
    action for policer (bsc#1012628).
  - bnxt_en: Fix unnecessary dropping of RX packets (bsc#1012628).
  - bnxt_en: Fix possible bnxt_open() failure caused by wrong RFS
    flag (bsc#1012628).
  - dt-bindings: pci: apple,pcie: Drop max-link-speed from example
    (bsc#1012628).
  - selftests: mirror_gre_bridge_1q: Avoid changing PVID while
    interface is operational (bsc#1012628).
  - rxrpc: Enable IPv6 checksums on transport socket (bsc#1012628).
  - mld: respect RCU rules in ip6_mc_source() and ip6_mc_msfilter()
    (bsc#1012628).
  - SUNRPC: Don't leak sockets in xs_local_connect() (bsc#1012628).
  - hinic: fix bug of wq out of bound access (bsc#1012628).
  - drm/msm/dp: remove fail safe mode related code (bsc#1012628).
  - selftests/net: so_txtime: usage(): fix documentation of default
    clock (bsc#1012628).
  - selftests/net: so_txtime: fix parsing of start time stamp on
    32 bit systems (bsc#1012628).
  - net: emaclite: Add error handling for of_address_to_resource()
    (bsc#1012628).
  - net: igmp: respect RCU rules in ip_mc_source() and
    ip_mc_msfilter() (bsc#1012628).
  - net: cpsw: add missing of_node_put() in cpsw_probe_dt()
    (bsc#1012628).
  - net: mdio: Fix ENOMEM return value in BCM6368 mux bus controller
    (bsc#1012628).
  - net: stmmac: dwmac-sun8i: add missing of_node_put() in
    sun8i_dwmac_register_mdio_mux() (bsc#1012628).
  - net: dsa: mt7530: add missing of_node_put() in mt7530_setup()
    (bsc#1012628).
  - net: ethernet: mediatek: add missing of_node_put() in
    mtk_sgmii_init() (bsc#1012628).
  - NFSv4: Don't invalidate inode attributes on delegation return
    (bsc#1012628).
  - RDMA/irdma: Fix possible crash due to NULL netdev in notifier
    (bsc#1012628).
  - RDMA/irdma: Reduce iWARP QP destroy time (bsc#1012628).
  - RDMA/irdma: Flush iWARP QP if modified to ERR from RTR state
    (bsc#1012628).
  - RDMA/siw: Fix a condition race issue in MPA request processing
    (bsc#1012628).
  - SUNRPC release the transport of a relocated task with an
    assigned transport (bsc#1012628).
  - ALSA: hda/realtek: Fix mute led issue on thinkpad with cs35l41
    s-codec (bsc#1012628).
  - selftests/seccomp: Don't call read() on TTY from background pgrp
    (bsc#1012628).
  - net/mlx5e: TC, fix decap fallback to uplink when int port not
    supported (bsc#1012628).
  - net/mlx5e: Lag, Don't skip fib events on current dst
    (bsc#1012628).
  - net/mlx5e: Lag, Fix fib_info pointer assignment (bsc#1012628).
  - net/mlx5e: Lag, Fix use-after-free in fib event handler
    (bsc#1012628).
  - net/mlx5: Fix deadlock in sync reset flow (bsc#1012628).
  - net/mlx5: Avoid double clear or set of sync reset requested
    (bsc#1012628).
  - net/mlx5: Fix matching on inner TTC (bsc#1012628).
  - net/mlx5e: Fix the calling of update_buffer_lossy() API
    (bsc#1012628).
  - net/mlx5e: CT: Fix queued up restore put() executing after
    relevant ft release (bsc#1012628).
  - net/mlx5e: Don't match double-vlan packets if cvlan is not set
    (bsc#1012628).
  - net/mlx5e: Fix wrong source vport matching on tunnel rule
    (bsc#1012628).
  - net/mlx5: Fix slab-out-of-bounds while reading resource dump
    menu (bsc#1012628).
  - net/mlx5e: Fix trust state reset in reload (bsc#1012628).
  - iommu/dart: check return value after calling
    platform_get_resource() (bsc#1012628).
  - iommu/vt-d: Drop stop marker messages (bsc#1012628).
  - ASoC: soc-ops: fix error handling (bsc#1012628).
  - ASoC: meson: axg-card: Fix nonatomic links (bsc#1012628).
  - ASoC: meson: axg-tdm-interface: Fix formatters in trigger"
    (bsc#1012628).
  - ASoC: dmaengine: Restore NULL prepare_slave_config() callback
    (bsc#1012628).
  - hwmon: (pmbus) disable PEC if not enabled (bsc#1012628).
  - hwmon: (adt7470) Fix warning on module removal (bsc#1012628).
  - gpio: pca953x: fix irq_stat not updated when irq is disabled
    (irq_mask not set) (bsc#1012628).
  - gpio: visconti: Fix fwnode of GPIO IRQ (bsc#1012628).
  - NFC: netlink: fix sleep in atomic bug when firmware download
    timeout (bsc#1012628).
  - nfc: nfcmrvl: main: reorder destructive operations in
    nfcmrvl_nci_unregister_dev to avoid bugs (bsc#1012628).
  - nfc: replace improper check device_is_registered() in netlink
    related functions (bsc#1012628).
  - can: grcan: only use the NAPI poll budget for RX (bsc#1012628).
  - can: grcan: grcan_probe(): fix broken system id check for
    errata workaround needs (bsc#1012628).
  - can: grcan: use ofdev->dev when allocating DMA memory
    (bsc#1012628).
  - can: isotp: remove re-binding of bound socket (bsc#1012628).
  - can: grcan: grcan_close(): fix deadlock (bsc#1012628).
  - s390/dasd: Fix read inconsistency for ESE DASD devices
    (bsc#1012628).
  - s390/dasd: Fix read for ESE with blksize < 4k (bsc#1012628).
  - s390/dasd: prevent double format of tracks for ESE devices
    (bsc#1012628).
  - s390/dasd: fix data corruption for ESE devices (bsc#1012628).
  - ASoC: meson: Fix event generation for AUI CODEC mux
    (bsc#1012628).
  - ASoC: meson: Fix event generation for G12A tohdmi mux
    (bsc#1012628).
  - ASoC: meson: Fix event generation for AUI ACODEC mux
    (bsc#1012628).
  - ASoC: wm8958: Fix change notifications for DSP controls
    (bsc#1012628).
  - ASoC: rt9120: Correct the reg 0x09 size to one byte
    (bsc#1012628).
  - ASoC: da7219: Fix change notifications for tone generator
    frequency (bsc#1012628).
  - genirq: Synchronize interrupt thread startup (bsc#1012628).
  - btrfs: skip compression property for anything other than files
    and dirs (bsc#1012628).
  - btrfs: do not allow compression on nodatacow files
    (bsc#1012628).
  - btrfs: export a helper for compression hard check (bsc#1012628).
  - btrfs: do not BUG_ON() on failure to update inode when setting
    xattr (bsc#1012628).
  - btrfs: force v2 space cache usage for subpage mount
    (bsc#1012628).
  - btrfs: sysfs: export the balance paused state of exclusive
    operation (bsc#1012628).
  - net: stmmac: disable Split Header (SPH) for Intel platforms
    (bsc#1012628).
  - firewire: core: extend card->lock in fw_core_handle_bus_reset
    (bsc#1012628).
  - firewire: remove check of list iterator against head past the
    loop body (bsc#1012628).
  - firewire: fix potential uaf in outbound_phy_packet_callback()
    (bsc#1012628).
  - timekeeping: Mark NMI safe time accessors as notrace
    (bsc#1012628).
  - Revert "SUNRPC: attempt AF_LOCAL connect on setup"
    (bsc#1012628).
  - hwmon: (pmbus) delta-ahe50dc-fan: work around hardware quirk
    (bsc#1012628).
  - RISC-V: relocate DTB if it's outside memory region
    (bsc#1012628).
  - drm/amdgpu: do not use passthrough mode in Xen dom0
    (bsc#1012628).
  - drm/amd/display: Avoid reading audio pattern past
    AUDIO_CHANNELS_COUNT (bsc#1012628).
  - iommu/arm-smmu-v3: Fix size calculation in
    arm_smmu_mm_invalidate_range() (bsc#1012628).
  - iommu/vt-d: Calculate mask for non-aligned flushes
    (bsc#1012628).
  - KVM: x86/svm: Account for family 17h event renumberings in
    amd_pmc_perf_hw_id (bsc#1012628).
  - x86/fpu: Prevent FPU state corruption (bsc#1012628).
  - gpiolib: of: fix bounds check for 'gpio-reserved-ranges'
    (bsc#1012628).
  - mmc: core: Set HS clock speed before sending HS CMD13
    (bsc#1012628).
  - mmc: sunxi-mmc: Fix DMA descriptors allocated above 32 bits
    (bsc#1012628).
  - mmc: sdhci-msm: Reset GCC_SDCC_BCR register for SDHC
    (bsc#1012628).
  - ALSA: fireworks: fix wrong return count shorter than expected
    by 4 bytes (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Yoga Duet 7 13ITL6 speakers
    (bsc#1012628).
  - parisc: Merge model and model name into one line in
    /proc/cpuinfo (bsc#1012628).
  - Revert "parisc: Mark sched_clock unstable only if clocks are
    not syncronized" (bsc#1012628).
  - Revert "parisc: Mark cr16 CPU clocksource unstable on all SMP
    machines" (bsc#1012628).
  - MIPS: Fix CP0 counter erratum detection for R4k CPUs
    (bsc#1012628).
  - ipmi:ipmi_ipmb: Fix null-ptr-deref in ipmi_unregister_smi()
    (bsc#1012628).
  - ipmi: When handling send message responses, don't process the
    message (bsc#1012628).
  - pci_irq_vector() can't be used in atomic context any
    longer. This conflicts with the usage of this function
    in nic_mbx_intr_handler(). age of this function in
    nic_mbx_intr_handler() (bsc#1012628).
  - commit c9a5fa1
* Mon May 09 2022 jslaby@suse.cz
  - Linux 5.17.6 (bsc#1012628).
  - usb: mtu3: fix USB 3.0 dual-role-switch from device to host
    (bsc#1012628).
  - floppy: disable FDRAWCMD by default (bsc#1012628).
  - USB: quirks: add a Realtek card reader (bsc#1012628).
  - USB: quirks: add STRING quirk for VCOM device (bsc#1012628).
  - USB: serial: whiteheat: fix heap overflow in
    WHITEHEAT_GET_DTR_RTS (bsc#1012628).
  - USB: serial: cp210x: add PIDs for Kamstrup USB Meter Reader
    (bsc#1012628).
  - USB: serial: option: add support for Cinterion MV32-WA/MV32-WB
    (bsc#1012628).
  - USB: serial: option: add Telit 0x1057, 0x1058, 0x1075
    compositions (bsc#1012628).
  - usb: xhci: tegra:Fix PM usage reference leak of
    tegra_xusb_unpowergate_partitions (bsc#1012628).
  - xhci: Enable runtime PM on second Alderlake controller
    (bsc#1012628).
  - xhci: stop polling roothubs after shutdown (bsc#1012628).
  - xhci: increase usb U3 -> U0 link resume timeout from 100ms to
    500ms (bsc#1012628).
  - iio: dac: ad5592r: Fix the missing return value (bsc#1012628).
  - iio: scd4x: check return of scd4x_write_and_fetch (bsc#1012628).
  - iio: dac: ad5446: Fix read_raw not returning set value
    (bsc#1012628).
  - iio: magnetometer: ak8975: Fix the error handling in
    ak8975_power_on() (bsc#1012628).
  - iio: imu: inv_icm42600: Fix I2C init possible nack
    (bsc#1012628).
  - usb: misc: fix improper handling of refcount in uss720_probe()
    (bsc#1012628).
  - usb: core: Don't hold the device lock while sleeping in
    do_proc_control() (bsc#1012628).
  - usb: typec: ucsi: Fix reuse of completion structure
    (bsc#1012628).
  - usb: typec: ucsi: Fix role swapping (bsc#1012628).
  - usb: gadget: uvc: Fix crash when encoding data for usb request
    (bsc#1012628).
  - usb: gadget: configfs: clear deactivation flag in
    configfs_composite_unbind() (bsc#1012628).
  - usb: dwc3: Try usb-role-switch first in dwc3_drd_init
    (bsc#1012628).
  - usb: dwc3: core: Fix tx/rx threshold settings (bsc#1012628).
  - usb: dwc3: core: Only handle soft-reset in DCTL (bsc#1012628).
  - usb: dwc3: gadget: Return proper request status (bsc#1012628).
  - usb: dwc3: pci: add support for the Intel Meteor Lake-P
    (bsc#1012628).
  - usb: cdns3: Fix issue for clear halt endpoint (bsc#1012628).
  - usb: phy: generic: Get the vbus supply (bsc#1012628).
  - kernfs: fix NULL dereferencing in kernfs_remove (bsc#1012628).
  - binder: Gracefully handle BINDER_TYPE_FDA objects with num_fds=0
    (bsc#1012628).
  - binder: Address corner cases in deferred copy and fixup
    (bsc#1012628).
  - serial: imx: fix overrun interrupts in DMA mode (bsc#1012628).
  - serial: amba-pl011: do not time out prematurely when draining
    tx fifo (bsc#1012628).
  - serial: 8250: Also set sticky MCR bits in console restoration
    (bsc#1012628).
  - serial: 8250: Correct the clock for EndRun PTP/1588 PCIe device
    (bsc#1012628).
  - eeprom: at25: Use DMA safe buffers (bsc#1012628).
  - arch_topology: Do not set llc_sibling if llc_id is invalid
    (bsc#1012628).
  - topology: make core_mask include at least cluster_siblings
    (bsc#1012628).
  - ceph: fix possible NULL pointer dereference for req->r_session
    (bsc#1012628).
  - bus: mhi: host: pci_generic: Add missing poweroff() PM callback
    (bsc#1012628).
  - bus: mhi: host: pci_generic: Flush recovery worker during freeze
    (bsc#1012628).
  - arm64: dts: imx8mm-venice: fix spi2 pin configuration
    (bsc#1012628).
  - pinctrl: samsung: fix missing GPIOLIB on ARM64 Exynos config
    (bsc#1012628).
  - f2fs: should not truncate blocks during roll-forward recovery
    (bsc#1012628).
  - hex2bin: make the function hex_to_bin constant-time
    (bsc#1012628).
  - hex2bin: fix access beyond string end (bsc#1012628).
  - bus: fsl-mc-msi: Fix MSI descriptor mutex lock for
    msi_first_desc() (bsc#1012628).
  - riscv: patch_text: Fixup last cpu should be master
    (bsc#1012628).
  - x86/cpu: Load microcode during restore_processor_state()
    (bsc#1012628).
  - x86/pci/xen: Disable PCI/MSI[-X] masking for XEN_HVM guests
    (bsc#1012628).
  - iocost: don't reset the inuse weight of under-weighted debtors
    (bsc#1012628).
  - virtio_net: fix wrong buf address calculation when using xdp
    (bsc#1012628).
  - cpufreq: qcom-hw: drop affinity hint before freeing the IRQ
    (bsc#1012628).
  - cpufreq: qcom-hw: fix the race between LMH worker and cpuhp
    (bsc#1012628).
  - cpufreq: qcom-hw: fix the opp entries refcounting (bsc#1012628).
  - cpufreq: qcom-cpufreq-hw: Fix throttle frequency value on EPSS
    platforms (bsc#1012628).
  - video: fbdev: udlfb: properly check endpoint type (bsc#1012628).
  - arm64: dts: meson: remove CPU opps below 1GHz for G12B boards
    (bsc#1012628).
  - arm64: dts: meson: remove CPU opps below 1GHz for SM1 boards
    (bsc#1012628).
  - iio: dac: ad3552r: fix signedness bug in ad3552r_reset()
    (bsc#1012628).
  - iio:imu:bmi160: disable regulator in error path (bsc#1012628).
  - iio:filter:admv8818: select REGMAP_SPI for ADMV8818
    (bsc#1012628).
  - mtd: rawnand: fix ecc parameters for mt7622 (bsc#1012628).
  - tee: optee: add missing mutext_destroy in optee_ffa_probe
    (bsc#1012628).
  - xsk: Fix l2fwd for copy mode + busy poll combo (bsc#1012628).
  - arm64: dts: imx8qm: Correct SCU clock controller's compatible
    property (bsc#1012628).
  - USB: Fix xhci event ring dequeue pointer ERDP update issue
    (bsc#1012628).
  - soc: imx: imx8m-blk-ctrl: Fix IMX8MN_DISPBLK_PD_ISI hang
    (bsc#1012628).
  - ARM: dts: imx6qdl-apalis: Fix sgtl5000 detection issue
    (bsc#1012628).
  - iio:dac:ad3552r: Fix an IS_ERR() vs NULL check (bsc#1012628).
  - arm64: dts: imx8mq-tqma8mq: change the spi-nor tx (bsc#1012628).
  - arm64: dts: imx8mn: Fix SAI nodes (bsc#1012628).
  - arm64: dts: meson-sm1-bananapi-m5: fix wrong GPIO pin labeling
    for CON1 (bsc#1012628).
  - phy: samsung: Fix missing of_node_put() in exynos_sata_phy_probe
    (bsc#1012628).
  - phy: samsung: exynos5250-sata: fix missing device put in probe
    error paths (bsc#1012628).
  - ARM: OMAP2+: Fix refcount leak in omap_gic_of_init
    (bsc#1012628).
  - bus: ti-sysc: Make omap3 gpt12 quirk handling SoC specific
    (bsc#1012628).
  - ARM: dts: dra7: Fix suspend warning for vpe powerdomain
    (bsc#1012628).
  - phy: ti: omap-usb2: Fix error handling in
    omap_usb2_enable_clocks (bsc#1012628).
  - ARM: dts: at91: Map MCLK for wm8731 on at91sam9g20ek
    (bsc#1012628).
  - ARM: dts: at91: sama5d4_xplained: fix pinctrl phandle name
    (bsc#1012628).
  - ARM: dts: at91: fix pinctrl phandles (bsc#1012628).
  - phy: mapphone-mdm6600: Fix PM error handling in
    phy_mdm6600_probe (bsc#1012628).
  - phy: ti: Add missing pm_runtime_disable() in serdes_am654_probe
    (bsc#1012628).
  - interconnect: qcom: sc7180: Drop IP0 interconnects
    (bsc#1012628).
  - interconnect: qcom: sdx55: Drop IP0 interconnects (bsc#1012628).
  - ARM: dts: Fix mmc order for omap3-gta04 (bsc#1012628).
  - ARM: dts: am33xx-l4: Add missing touchscreen clock properties
    (bsc#1012628).
  - ARM: dts: am3517-evm: Fix misc pinmuxing (bsc#1012628).
  - ARM: dts: logicpd-som-lv: Fix wrong pinmuxing on OMAP35
    (bsc#1012628).
  - pinctrl: qcom: sm6350: fix order of UFS & SDC pins
    (bsc#1012628).
  - ipvs: correctly print the memory size of ip_vs_conn_tab
    (bsc#1012628).
  - phy: amlogic: fix error path in phy_g12a_usb3_pcie_probe()
    (bsc#1012628).
  - pinctrl: mediatek: moore: Fix build error (bsc#1012628).
  - mtd: rawnand: Fix return value check of
    wait_for_completion_timeout (bsc#1012628).
  - mtd: fix 'part' field data corruption in mtd_info (bsc#1012628).
  - pinctrl: stm32: Do not call stm32_gpio_get() for edge triggered
    IRQs in EOI (bsc#1012628).
  - memory: renesas-rpc-if: Fix HF/OSPI data transfer in Manual Mode
    (bsc#1012628).
  - net: dsa: Add missing of_node_put() in dsa_port_link_register_of
    (bsc#1012628).
  - netfilter: nft_set_rbtree: overlap detection with element
    re-addition after deletion (bsc#1012628).
  - bpf, lwt: Fix crash when using bpf_skb_set_tunnel_key() from
    bpf_xmit lwt hook (bsc#1012628).
  - pinctrl: rockchip: fix RK3308 pinmux bits (bsc#1012628).
  - tcp: md5: incorrect tcp_header_len for incoming connections
    (bsc#1012628).
  - pinctrl: stm32: Keep pinctrl block clock enabled when LEVEL
    IRQ requested (bsc#1012628).
  - tcp: ensure to use the most recently sent skb when filling
    the rate sample (bsc#1012628).
  - wireguard: device: check for metadata_dst with skb_valid_dst()
    (bsc#1012628).
  - sctp: check asoc strreset_chunk in sctp_generate_reconf_event
    (bsc#1012628).
  - ARM: dts: imx6ull-colibri: fix vqmmc regulator (bsc#1012628).
  - arm64: dts: imx8mn-ddr4-evk: Describe the 32.768 kHz PMIC clock
    (bsc#1012628).
  - pinctrl: pistachio: fix use of irq_of_parse_and_map()
    (bsc#1012628).
  - cpufreq: fix memory leak in sun50i_cpufreq_nvmem_probe
    (bsc#1012628).
  - net: hns3: clear inited state and stop client after failed to
    register netdev (bsc#1012628).
  - net: hns3: fix error log of tx/rx tqps stats (bsc#1012628).
  - net: hns3: modify the return code of
    hclge_get_ring_chain_from_mbx (bsc#1012628).
  - net: hns3: add validity check for message data length
    (bsc#1012628).
  - net: hns3: add return value for mailbox handling in PF
    (bsc#1012628).
  - net/smc: sync err code when tcp connection was refused
    (bsc#1012628).
  - net: lan966x: fix a couple off by one bugs (bsc#1012628).
  - ip_gre: Make o_seqno start from 0 in native mode (bsc#1012628).
  - ip6_gre: Make o_seqno start from 0 in native mode (bsc#1012628).
  - ip_gre, ip6_gre: Fix race condition on o_seqno in collect_md
    mode (bsc#1012628).
  - tcp: fix potential xmit stalls caused by TCP_NOTSENT_LOWAT
    (bsc#1012628).
  - tcp: make sure treq->af_specific is initialized (bsc#1012628).
  - bus: sunxi-rsb: Fix the return value of
    sunxi_rsb_device_create() (bsc#1012628).
  - clk: sunxi: sun9i-mmc: check return value after calling
    platform_get_resource() (bsc#1012628).
  - cpufreq: qcom-cpufreq-hw: Clear dcvs interrupts (bsc#1012628).
  - mctp: defer the kfree of object mdev->addrs (bsc#1012628).
  - net: bcmgenet: hide status block before TX timestamping
    (bsc#1012628).
  - net: phy: marvell10g: fix return value on error (bsc#1012628).
  - net: dsa: mv88e6xxx: Fix port_hidden_wait to account for
    port_base_addr (bsc#1012628).
  - drm/sun4i: Remove obsolete references to PHYS_OFFSET
    (bsc#1012628).
  - ice: wait 5 s for EMP reset after firmware flash (bsc#1012628).
  - Bluetooth: hci_event: Fix checking for invalid handle on error
    status (bsc#1012628).
  - net: dsa: lantiq_gswip: Don't set GSWIP_MII_CFG_RMII_CLK
    (bsc#1012628).
  - io_uring: check reserved fields for send/sendmsg (bsc#1012628).
  - io_uring: check reserved fields for recv/recvmsg (bsc#1012628).
  - netfilter: nf_conntrack_tcp: re-init for syn packets only
    (bsc#1012628).
  - netfilter: conntrack: fix udp offload timeout sysctl
    (bsc#1012628).
  - platform/x86: asus-wmi: Potential buffer overflow in
    asus_wmi_evaluate_method_buf() (bsc#1012628).
  - platform/x86: asus-wmi: Fix driver not binding when fan curve
    control probe fails (bsc#1012628).
  - drm/amdkfd: Fix GWS queue count (bsc#1012628).
  - drm/amd/display: Fix memory leak in dcn21_clock_source_create
    (bsc#1012628).
  - tls: Skip tls_append_frag on zero copy size (bsc#1012628).
  - bnx2x: fix napi API usage sequence (bsc#1012628).
  - net: fec: add missing of_node_put() in fec_enet_init_stop_mode()
    (bsc#1012628).
  - gfs2: Minor retry logic cleanup (bsc#1012628).
  - gfs2: Make sure not to return short direct writes (bsc#1012628).
  - gfs2: No short reads or writes upon glock contention
    (bsc#1012628).
  - perf arm-spe: Fix addresses of synthesized SPE events
    (bsc#1012628).
  - ixgbe: ensure IPsec VF<->PF compatibility (bsc#1012628).
  - net: enetc: allow tc-etf offload even with NETIF_F_CSUM_MASK
    (bsc#1012628).
  - Revert "ibmvnic: Add ethtool private flag for driver-defined
    queue limits" (bsc#1012628).
  - tcp: fix F-RTO may not work correctly when receiving DSACK
    (bsc#1012628).
  - ASoC: soc-pcm: use GFP_KERNEL when the code is sleepable
    (bsc#1012628).
  - ASoC: cs35l41: Fix a shift-out-of-bounds warning found by UBSAN
    (bsc#1012628).
  - ASoC: rt711/5682: check if bus is active before deferred jack
    detection (bsc#1012628).
  - ASoC: Intel: soc-acpi: correct device endpoints for max98373
    (bsc#1012628).
  - ASoC: wm8731: Disable the regulator when probing fails
    (bsc#1012628).
  - Input: cypress-sf - register a callback to disable the
    regulators (bsc#1012628).
  - ext4: fix bug_on in start_this_handle during umount filesystem
    (bsc#1012628).
  - arch: xtensa: platforms: Fix deadlock in rs_close()
    (bsc#1012628).
  - ksmbd: increment reference count of parent fp (bsc#1012628).
  - ksmbd: set fixed sector size to FS_SECTOR_SIZE_INFORMATION
    (bsc#1012628).
  - erofs: fix use-after-free of on-stack io[] (bsc#1012628).
  - bonding: do not discard lowest hash bit for non layer3+4 hashing
    (bsc#1012628).
  - x86: __memcpy_flushcache: fix wrong alignment if size > 2^32
    (bsc#1012628).
  - cifs: destage any unwritten data to the server before calling
    copychunk_write (bsc#1012628).
  - drivers: net: hippi: Fix deadlock in rr_close() (bsc#1012628).
  - powerpc/perf: Fix 32bit compile (bsc#1012628).
  - ALSA: hda: intel-dsp-config: Add RaptorLake PCI IDs
    (bsc#1012628).
  - selftest/vm: verify mmap addr in mremap_test (bsc#1012628).
  - selftest/vm: verify remap destination address in mremap_test
    (bsc#1012628).
  - bfq: Fix warning in bfqq_request_over_limit() (bsc#1012628).
  - Revert "ACPI: processor: idle: fix lockup regression on 32-bit
    ThinkPad T40" (bsc#1012628).
  - Revert "block: inherit request start time from bio for
    BLK_CGROUP" (bsc#1012628).
  - zonefs: Fix management of open zones (bsc#1012628).
  - zonefs: Clear inode information flags on inode creation
    (bsc#1012628).
  - kasan: prevent cpu_quarantine corruption when CPU offline and
    cache shrink occur at same time (bsc#1012628).
  - mtd: rawnand: qcom: fix memory corruption that causes panic
    (bsc#1012628).
  - netfilter: Update ip6_route_me_harder to consider L3 domain
    (bsc#1012628).
  - drm/amdgpu: don't runtime suspend if there are displays attached
    (v3) (bsc#1012628).
  - drm/i915: Check EDID for HDR static metadata when choosing blc
    (bsc#1012628).
  - drm/i915: Fix SEL_FETCH_PLANE_*(PIPE_B+) register addresses
    (bsc#1012628).
  - net: ethernet: stmmac: fix write to sgmii_adapter_base
    (bsc#1012628).
  - ACPI: processor: idle: Avoid falling back to C3 type C-states
    (bsc#1012628).
  - thermal: int340x: Fix attr.show callback prototype
    (bsc#1012628).
  - btrfs: fix direct I/O read repair for split bios (bsc#1012628).
  - btrfs: fix direct I/O writes for split bios on zoned devices
    (bsc#1012628).
  - btrfs: fix leaked plug after failure syncing log on zoned
    filesystems (bsc#1012628).
  - btrfs: zoned: use dedicated lock for data relocation
    (bsc#1012628).
  - btrfs: fix assertion failure during scrub due to block group
    reallocation (bsc#1012628).
  - ARM: dts: at91: sama7g5ek: enable pull-up on flexcom3 console
    lines (bsc#1012628).
  - ARM: dts: imx8mm-venice-gw{71xx,72xx,73xx}: fix OTG controller
    OC mode (bsc#1012628).
  - perf symbol: Pass is_kallsyms to symbols__fixup_end()
    (bsc#1012628).
  - perf symbol: Update symbols__fixup_end() (bsc#1012628).
  - perf symbol: Remove arch__symbols__fixup_end() (bsc#1012628).
  - tty: n_gsm: fix missing mux reset on config change at responder
    (bsc#1012628).
  - tty: n_gsm: fix restart handling via CLD command (bsc#1012628).
  - tty: n_gsm: fix decoupled mux resource (bsc#1012628).
  - tty: n_gsm: fix mux cleanup after unregister tty device
    (bsc#1012628).
  - tty: n_gsm: fix wrong signal octet encoding in convergence
    layer type 2 (bsc#1012628).
  - tty: n_gsm: fix frame reception handling (bsc#1012628).
  - tty: n_gsm: fix malformed counter for out of frame data
    (bsc#1012628).
  - netfilter: nft_socket: only do sk lookups when indev is
    available (bsc#1012628).
  - tty: n_gsm: fix insufficient txframe size (bsc#1012628).
  - tty: n_gsm: fix wrong DLCI release order (bsc#1012628).
  - tty: n_gsm: fix missing explicit ldisc flush (bsc#1012628).
  - tty: n_gsm: fix wrong command retry handling (bsc#1012628).
  - tty: n_gsm: fix wrong command frame length field encoding
    (bsc#1012628).
  - tty: n_gsm: fix wrong signal octets encoding in MSC
    (bsc#1012628).
  - tty: n_gsm: fix missing tty wakeup in convergence layer type 2
    (bsc#1012628).
  - tty: n_gsm: fix reset fifo race condition (bsc#1012628).
  - tty: n_gsm: fix incorrect UA handling (bsc#1012628).
  - tty: n_gsm: fix missing update of modem controls after DLCI open
    (bsc#1012628).
  - tty: n_gsm: fix broken virtual tty handling (bsc#1012628).
  - tty: n_gsm: fix invalid use of MSC in advanced option
    (bsc#1012628).
  - tty: n_gsm: fix software flow control handling (bsc#1012628).
  - tty: n_gsm: fix sometimes uninitialized warning in
    gsm_dlci_modem_output() (bsc#1012628).
  - objtool: Fix code relocs vs weak symbols (bsc#1012628).
  - objtool: Fix type of reloc::addend (bsc#1012628).
  - powerpc/64: Add UADDR64 relocation support (bsc#1012628).
  - Update config files.
  - commit 35de487
* Mon May 09 2022 jslaby@suse.cz
  - net: atlantic: always deep reset on pm op, fixing up my null
    deref regression (resume crash).
  - commit e2300f2
* Sun May 08 2022 mkubecek@suse.cz
  - Update to 5.18-rc6
  - commit ed50f8f
* Fri May 06 2022 dmueller@suse.com
  - rpm/kernel-obs-build.spec.in: Also depend on dracut-systemd (bsc#1195775)
  - commit 5d4e32c
* Thu May 05 2022 jslaby@suse.cz
  - Revert "build initrd without systemd" (bsc#1195775)"
    This reverts commit 3a2140fa2acded48224e1438ac9b4775340c94c2. Again,
    this breaks many packages as:
    * iproute2 is missing, and
    * kernel-obs-qa fails with:
    Timed out waiting for device /dev/disk/by-id/virtio-0.
  - commit 15dd151
* Wed May 04 2022 jslaby@suse.cz
  - io_uring: fix uninitialized field in rw io_kiocb (bsc#1199087
    CVE-2022-29968).
  - commit 8ca9274
* Tue May 03 2022 jslaby@suse.cz
  - Revert "Revert "build initrd without systemd" (bsc#1195775)"
    This reverts commit e962fefb4c9cd553921cf49c24f9d0e1d16f90b3.
    d9a821b1f81a from packaging should fix this. So let's try.
  - commit 3a2140f
* Tue May 03 2022 jslaby@suse.cz
  - Revert "Revert "Revert "build initrd without systemd" (bsc#1195775)""
    This reverts commit ac62a28013491cd72dd4a81604454658314e4ba5. It's still
    not ready:
  - some packages need iproute2
  - osc shell is still defunct
  - commit e962fef
* Mon May 02 2022 msuchanek@suse.de
  - Update config files.
    No pmem support on s390 - no such device.
  - commit 9704fc2
* Mon May 02 2022 dmueller@suse.com
  - config.conf: reenable armv7hl configs
  - Update config files for armv7hl lpae/default
  - Inherit settings from x86_64
  - Use =m where available
  - stick with CONFIG_UNWINDER_FRAME_POINTER=y
  - commit 2821d72
* Sun May 01 2022 mkubecek@suse.cz
  - Update to 5.18-rc5
  - new config options:
    - BLK_DEV_FD_RAWCMD=n
  - commit da18d3b
* Fri Apr 29 2022 mkubecek@suse.cz
  - Update
    patches.kernel.org/5.17.2-0822-net-x25-Fix-null-ptr-deref-caused-by-x25_disc.patch
    references (add CVE-2022-1516 bsc#1199012).
  - commit af2638d
* Fri Apr 29 2022 msuchanek@suse.de
  - Update config files (bsc#1199024).
    arm, i386 LIBNVDIMM y->m
    i386 X86_PMEM_LEGACY y->m
  - commit ff4fa9f
* Wed Apr 27 2022 jslaby@suse.cz
  - Linux 5.17.5 (bsc#1012628).
  - etherdevice: Adjust ether_addr* prototypes to silence
    - Wstringop-overead (bsc#1012628).
  - perf tools: Fix segfault accessing sample_id xyarray
    (bsc#1012628).
  - drm/amd/display: Only set PSR version when valid (bsc#1012628).
  - block/compat_ioctl: fix range check in BLKGETSIZE (bsc#1012628).
  - gfs2: assign rgrp glock before compute_bitstructs (bsc#1012628).
  - scsi: ufs: core: scsi_get_lba() error fix (bsc#1012628).
  - net/sched: cls_u32: fix netns refcount changes in u32_change()
    (bsc#1012628).
  - ALSA: usb-audio: Clear MIDI port active flag after draining
    (bsc#1012628).
  - ALSA: usb-audio: add mapping for MSI MAG X570S Torpedo MAX
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Clevo NP70PNP (bsc#1012628).
  - ASoC: atmel: Remove system clock tree configuration for
    at91sam9g20ek (bsc#1012628).
  - ASoC: topology: Correct error handling in
    soc_tplg_dapm_widget_create() (bsc#1012628).
  - ASoC: rk817: Use devm_clk_get() in rk817_platform_probe
    (bsc#1012628).
  - ASoC: msm8916-wcd-digital: Check failure for
    devm_snd_soc_register_component (bsc#1012628).
  - ASoC: codecs: wcd934x: do not switch off SIDO Buck when codec
    is in use (bsc#1012628).
  - dmaengine: idxd: fix device cleanup on disable (bsc#1012628).
  - dmaengine: imx-sdma: Fix error checking in sdma_event_remap
    (bsc#1012628).
  - dmaengine: mediatek:Fix PM usage reference leak of
    mtk_uart_apdma_alloc_chan_resources (bsc#1012628).
  - dmaengine: dw-edma: Fix unaligned 64bit access (bsc#1012628).
  - spi: spi-mtk-nor: initialize spi controller after resume
    (bsc#1012628).
  - firmware: cs_dsp: Fix overrun of unterminated control name
    string (bsc#1012628).
  - esp: limit skb_page_frag_refill use to a single page
    (bsc#1012628).
  - spi: cadence-quadspi: fix incorrect supports_op() return value
    (bsc#1012628).
  - igc: Fix infinite loop in release_swfw_sync (bsc#1012628).
  - igc: Fix BUG: scheduling while atomic (bsc#1012628).
  - igc: Fix suspending when PTM is active (bsc#1012628).
  - ice: allow creating VFs for !CONFIG_NET_SWITCHDEV (bsc#1012628).
  - ice: fix crash in switchdev mode (bsc#1012628).
  - ice: Fix memory leak in ice_get_orom_civd_data() (bsc#1012628).
  - ALSA: hda/hdmi: fix warning about PCM count when used with SOF
    (bsc#1012628).
  - rxrpc: Restore removed timer deletion (bsc#1012628).
  - net/smc: Fix sock leak when release after smc_shutdown()
    (bsc#1012628).
  - net/packet: fix packet_sock xmit return value checking
    (bsc#1012628).
  - ip6_gre: Avoid updating tunnel->tun_hlen in __gre6_xmit()
    (bsc#1012628).
  - ip6_gre: Fix skb_under_panic in __gre6_xmit() (bsc#1012628).
  - net: restore alpha order to Ethernet devices in config
    (bsc#1012628).
  - net/sched: cls_u32: fix possible leak in u32_init_knode()
    (bsc#1012628).
  - l3mdev: l3mdev_master_upper_ifindex_by_index_rcu should be
    using netdev_master_upper_dev_get_rcu (bsc#1012628).
  - ipv6: make ip6_rt_gc_expire an atomic_t (bsc#1012628).
  - can: isotp: stop timeout monitoring when no first frame was sent
    (bsc#1012628).
  - net: dsa: hellcreek: Calculate checksums in tagger
    (bsc#1012628).
  - net: mscc: ocelot: fix broken IP multicast flooding
    (bsc#1012628).
  - netlink: reset network and mac headers in netlink_dump()
    (bsc#1012628).
  - drm/i915/display/psr: Unset enable_psr2_sel_fetch if other
    checks in intel_psr2_config_valid() fails (bsc#1012628).
  - RISC-V: KVM: Remove 's' & 'u' as valid ISA extension
    (bsc#1012628).
  - RISC-V: KVM: Restrict the extensions that can be disabled
    (bsc#1012628).
  - net: stmmac: Use readl_poll_timeout_atomic() in atomic state
    (bsc#1012628).
  - dmaengine: idxd: match type for retries var in idxd_enqcmds()
    (bsc#1012628).
  - dmaengine: idxd: fix retry value to be constant for duration
    of function call (bsc#1012628).
  - dmaengine: idxd: add RO check for wq max_batch_size write
    (bsc#1012628).
  - dmaengine: idxd: add RO check for wq max_transfer_size write
    (bsc#1012628).
  - dmaengine: idxd: skip clearing device context when device is
    read-only (bsc#1012628).
  - selftests: mlxsw: vxlan_flooding: Prevent flooding of unwanted
    packets (bsc#1012628).
  - selftests: mlxsw: vxlan_flooding_ipv6: Prevent flooding of
    unwanted packets (bsc#1012628).
  - userfaultfd: mark uffd_wp regardless of VM_WRITE flag
    (bsc#1012628).
  - arm64: mm: fix p?d_leaf() (bsc#1012628).
  - XArray: Disallow sibling entries of nodes (bsc#1012628).
  - drm/msm/gpu: Rename runtime suspend/resume functions
    (bsc#1012628).
  - drm/msm/gpu: Remove mutex from wait_event condition
    (bsc#1012628).
  - ARM: vexpress/spc: Avoid negative array index when !SMP
    (bsc#1012628).
  - reset: renesas: Check return value of reset_control_deassert()
    (bsc#1012628).
  - reset: tegra-bpmp: Restore Handle errors in BPMP response
    (bsc#1012628).
  - platform/x86: samsung-laptop: Fix an unsigned comparison which
    can never be negative (bsc#1012628).
  - ALSA: usb-audio: Fix undefined behavior due to shift overflowing
    the constant (bsc#1012628).
  - drm/msm/disp: check the return value of kzalloc() (bsc#1012628).
  - selftests: KVM: Free the GIC FD when cleaning up in arch_timer
    (bsc#1012628).
  - ALSA: hda: intel-dsp-config: update AlderLake PCI IDs
    (bsc#1012628).
  - arm64: dts: imx: Fix imx8*-var-som touchscreen property sizes
    (bsc#1012628).
  - vxlan: fix error return code in vxlan_fdb_append (bsc#1012628).
  - cifs: Check the IOCB_DIRECT flag, not O_DIRECT (bsc#1012628).
  - net: atlantic: Avoid out-of-bounds indexing (bsc#1012628).
  - mt76: Fix undefined behavior due to shift overflowing the
    constant (bsc#1012628).
  - brcmfmac: sdio: Fix undefined behavior due to shift overflowing
    the constant (bsc#1012628).
  - dpaa_eth: Fix missing of_node_put in dpaa_get_ts_info()
    (bsc#1012628).
  - drm/msm/mdp5: check the return of kzalloc() (bsc#1012628).
  - KVM: x86: hyper-v: Avoid writing to TSC page without an active
    vCPU (bsc#1012628).
  - net: macb: Restart tx only if queue pointer is lagging
    (bsc#1012628).
  - scsi: iscsi: Release endpoint ID when its freed (bsc#1012628).
  - scsi: iscsi: Merge suspend fields (bsc#1012628).
  - scsi: iscsi: Fix NOP handling during conn recovery
    (bsc#1012628).
  - scsi: qedi: Fix failed disconnect handling (bsc#1012628).
  - stat: fix inconsistency between struct stat and struct
    compat_stat (bsc#1012628).
  - VFS: filename_create(): fix incorrect intent (bsc#1012628).
  - nvme: add a quirk to disable namespace identifiers
    (bsc#1012628).
  - nvme-pci: disable namespace identifiers for the MAXIO
    MAP1002/1202 (bsc#1012628).
  - nvme-pci: disable namespace identifiers for Qemu controllers
    (bsc#1012628).
  - irq_work: use kasan_record_aux_stack_noalloc() record callstack
    (bsc#1012628).
  - EDAC/synopsys: Read the error count from the correct register
    (bsc#1012628).
  - mm/memory-failure.c: skip huge_zero_page in memory_failure()
    (bsc#1012628).
  - memcg: sync flush only if periodic flush is delayed
    (bsc#1012628).
  - mm, hugetlb: allow for "high" userspace addresses (bsc#1012628).
  - oom_kill.c: futex: delay the OOM reaper to allow time for
    proper futex cleanup (bsc#1012628).
  - mm/mmu_notifier.c: fix race in mmu_interval_notifier_remove()
    (bsc#1012628).
  - ata: pata_marvell: Check the 'bmdma_addr' beforing reading
    (bsc#1012628).
  - dma: at_xdmac: fix a missing check on list iterator
    (bsc#1012628).
  - dmaengine: imx-sdma: fix init of uart scripts (bsc#1012628).
  - net: atlantic: invert deep par in pm functions, preventing
    null derefs (bsc#1012628).
  - drm/radeon: fix logic inversion in radeon_sync_resv
    (bsc#1012628).
  - io_uring: free iovec if file assignment fails (bsc#1012628).
  - Input: omap4-keypad - fix pm_runtime_get_sync() error checking
    (bsc#1012628).
  - scsi: sr: Do not leak information in ioctl (bsc#1012628).
  - sched/pelt: Fix attach_entity_load_avg() corner case
    (bsc#1012628).
  - perf/core: Fix perf_mmap fail when CONFIG_PERF_USE_VMALLOC
    enabled (bsc#1012628).
  - drm/panel/raspberrypi-touchscreen: Avoid NULL deref if not
    initialised (bsc#1012628).
  - drm/panel/raspberrypi-touchscreen: Initialise the bridge in
    prepare (bsc#1012628).
  - powerpc/time: Always set decrementer in timer_interrupt()
    (bsc#1012628).
  - KVM: PPC: Fix TCE handling for VFIO (bsc#1012628).
  - drm/vc4: Use pm_runtime_resume_and_get to fix
    pm_runtime_get_sync() usage (bsc#1012628).
  - powerpc/perf: Fix power9 event alternatives (bsc#1012628).
  - powerpc/perf: Fix power10 event alternatives (bsc#1012628).
  - arm/xen: Fix some refcount leaks (bsc#1012628).
  - perf script: Always allow field 'data_src' for auxtrace
    (bsc#1012628).
  - perf report: Set PERF_SAMPLE_DATA_SRC bit for Arm SPE event
    (bsc#1012628).
  - fs: fix acl translation (bsc#1012628).
  - cifs: fix NULL ptr dereference in refresh_mounts()
    (bsc#1012628).
  - cifs: use correct lock type in cifs_reconnect() (bsc#1012628).
  - xtensa: patch_text: Fixup last cpu should be master
    (bsc#1012628).
  - xtensa: fix a7 clobbering in coprocessor context load/store
    (bsc#1012628).
  - openvswitch: fix OOB access in reserve_sfa_size() (bsc#1012628).
  - ASoC: rt5682: fix an incorrect NULL check on list iterator
    (bsc#1012628).
  - ASoC: soc-dapm: fix two incorrect uses of list iterator
    (bsc#1012628).
  - e1000e: Fix possible overflow in LTR decoding (bsc#1012628).
  - codecs: rt5682s: fix an incorrect NULL check on list iterator
    (bsc#1012628).
  - ARC: entry: fix syscall_trace_exit argument (bsc#1012628).
  - drm/vmwgfx: Fix gem refcounting and memory evictions
    (bsc#1012628).
  - arm_pmu: Validate single/group leader events (bsc#1012628).
  - KVM: x86/pmu: Update AMD PMC sample period to fix guest
    NMI-watchdog (bsc#1012628).
  - KVM: x86: Don't re-acquire SRCU lock in complete_emulated_io()
    (bsc#1012628).
  - KVM: x86: Pend KVM_REQ_APICV_UPDATE during vCPU creation to
    fix a race (bsc#1012628).
  - KVM: nVMX: Defer APICv updates while L2 is active until L1 is
    active (bsc#1012628).
  - KVM: SVM: Simplify and harden helper to flush SEV guest page(s)
    (bsc#1012628).
  - KVM: SVM: Flush when freeing encrypted pages even on
    SME_COHERENT CPUs (bsc#1012628).
  - ext4: fix fallocate to use file_modified to update permissions
    consistently (bsc#1012628).
  - ext4: fix symlink file size not match to file content
    (bsc#1012628).
  - ext4: fix use-after-free in ext4_search_dir (bsc#1012628).
  - ext4: limit length to bitmap_maxbytes - blocksize in punch_hole
    (bsc#1012628).
  - ext4, doc: fix incorrect h_reserved size (bsc#1012628).
  - ext4: fix overhead calculation to account for the reserved
    gdt blocks (bsc#1012628).
  - ext4: force overhead calculation if the s_overhead_cluster
    makes no sense (bsc#1012628).
  - ext4: update the cached overhead value in the superblock
    (bsc#1012628).
  - jbd2: fix a potential race while discarding reserved buffers
    after an abort (bsc#1012628).
  - spi: atmel-quadspi: Fix the buswidth adjustment between spi-mem
    and controller (bsc#1012628).
  - ASoC: SOF: topology: cleanup dailinks on widget unload
    (bsc#1012628).
  - io_uring: fix leaks on IOPOLL and CQE_SKIP (bsc#1012628).
  - arm64: dts: qcom: add IPA qcom,qmp property (bsc#1012628).
  - Update config files.
  - commit fd20f5f
* Wed Apr 27 2022 jslaby@suse.cz
  - Refresh
    patches.suse/simplefb-Enable-boot-time-VESA-graphic-mode-selectio.patch.
    Update upstream status.
  - commit 3b1b874
* Wed Apr 27 2022 jslaby@suse.cz
  - Refresh
    patches.suse/gpio-Request-interrupts-after-IRQ-is-initialized.patch.
    Update upstream status.
  - commit 90a0e50
* Mon Apr 25 2022 msuchanek@suse.de
  - pahole 1.22 required for full BTF features.
    also recommend pahole for kernel-source to make the kernel buildable
    with standard config
  - commit 364f54b
* Mon Apr 25 2022 jslaby@suse.cz
  - Update config files.
    Just running oldconfig after 5.17.4.
  - commit 2e251f8
* Mon Apr 25 2022 jslaby@suse.cz
  - Revert "Revert "build initrd without systemd" (bsc#1195775)"
    This reverts commit 5d1f5d2e7552fcd3d37c11eb714944859e92e7b4. A fix is
    to be merged via packaging.
  - commit ac62a28
* Mon Apr 25 2022 mkubecek@suse.cz
  - Update to 5.18-rc4
  - refresh configs
  - commit 4ddddbd
* Fri Apr 22 2022 tiwai@suse.de
  - gpio: Request interrupts after IRQ is initialized (bsc#1198697).
  - commit 283f2c7
* Fri Apr 22 2022 dmueller@suse.com
  - use jobs not processors in the constraints
    jobs is the number of vcpus available to the build, while processors
    is the total processor count of the machine the VM is running on.
  - commit a6e141d
* Thu Apr 21 2022 dmueller@suse.com
  - config.conf: reenable armv6hl config
    Uses same config settings like x86_64
  - commit 1fbebaa
* Thu Apr 21 2022 jslaby@suse.cz
  - scripts: dummy-tools, add pahole (bsc#1198388).
  - scripts: dummy-tools, add pahole (bsc#1198388).
  - Update config files.
    The config files now contain the dummy PAHOLE_VERSION (9999).
  - commit 1fe0032
* Wed Apr 20 2022 jslaby@suse.cz
  - Linux 5.17.4 (bsc#1012628).
  - drm/amd/display: Add pstate verification and recovery for DCN31
    (bsc#1012628).
  - drm/amd/display: Fix p-state allow debug index on dcn31
    (bsc#1012628).
  - cpuidle: PSCI: Move the `has_lpi` check to the beginning of
    the function (bsc#1012628).
  - ACPI: processor idle: Check for architectural support for LPI
    (bsc#1012628).
  - net: dsa: realtek: allow subdrivers to externally lock regmap
    (bsc#1012628).
  - net: dsa: realtek: rtl8365mb: serialize indirect PHY register
    access (bsc#1012628).
  - net: dsa: realtek: make interface drivers depend on OF
    (bsc#1012628).
  - btrfs: remove no longer used counter when reading data page
    (bsc#1012628).
  - btrfs: remove unused variable in
    btrfs_{start,write}_dirty_block_groups() (bsc#1012628).
  - RISC-V: KVM: Don't clear hgatp CSR in kvm_arch_vcpu_put()
    (bsc#1012628).
  - media: si2157: unknown chip version Si2147-A30 ROM 0x50
    (bsc#1012628).
  - uapi/linux/stddef.h: Add include guards (bsc#1012628).
  - drm/amdgpu: Ensure HDA function is suspended before ASIC reset
    (bsc#1012628).
  - btrfs: release correct delalloc amount in direct IO write path
    (bsc#1012628).
  - btrfs: fix btrfs_submit_compressed_write cgroup attribution
    (bsc#1012628).
  - btrfs: return allocated block group from do_chunk_alloc()
    (bsc#1012628).
  - ALSA: core: Add snd_card_free_on_error() helper (bsc#1012628).
  - ALSA: sis7019: Fix the missing error handling (bsc#1012628).
  - ALSA: ali5451: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: als300: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: als4000: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: atiixp: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: au88x0: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: aw2: Fix the missing snd_card_free() call at probe error
    (bsc#1012628).
  - ALSA: azt3328: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: bt87x: Fix the missing snd_card_free() call at probe error
    (bsc#1012628).
  - ALSA: ca0106: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: cmipci: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: cs4281: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: cs5535audio: Fix the missing snd_card_free() call at
    probe error (bsc#1012628).
  - ALSA: echoaudio: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: emu10k1x: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: ens137x: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: es1938: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: es1968: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: fm801: Fix the missing snd_card_free() call at probe error
    (bsc#1012628).
  - ALSA: galaxy: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: hdsp: Fix the missing snd_card_free() call at probe error
    (bsc#1012628).
  - ALSA: hdspm: Fix the missing snd_card_free() call at probe error
    (bsc#1012628).
  - ALSA: ice1724: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: intel8x0: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: intel_hdmi: Fix the missing snd_card_free() call at
    probe error (bsc#1012628).
  - ALSA: korg1212: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: lola: Fix the missing snd_card_free() call at probe error
    (bsc#1012628).
  - ALSA: lx6464es: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: maestro3: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: oxygen: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: riptide: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: rme32: Fix the missing snd_card_free() call at probe error
    (bsc#1012628).
  - ALSA: rme9652: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: rme96: Fix the missing snd_card_free() call at probe error
    (bsc#1012628).
  - ALSA: sc6000: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: sonicvibes: Fix the missing snd_card_free() call at
    probe error (bsc#1012628).
  - ALSA: via82xx: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: usb-audio: Cap upper limits of buffer/period bytes for
    implicit fb (bsc#1012628).
  - ALSA: nm256: Don't call card private_free at probe error path
    (bsc#1012628).
  - drm/msm: Add missing put_task_struct() in debugfs path
    (bsc#1012628).
  - nfsd: Fix a write performance regression (bsc#1012628).
  - firmware: arm_scmi: Remove clear channel call on the TX channel
    (bsc#1012628).
  - memory: atmel-ebi: Fix missing of_node_put in atmel_ebi_probe
    (bsc#1012628).
  - Revert "ath11k: mesh: add support for 256 bitmap in blockack
    frames in 11ax" (bsc#1012628).
  - firmware: arm_scmi: Fix sorting of retrieved clock rates
    (bsc#1012628).
  - media: rockchip/rga: do proper error checking in probe
    (bsc#1012628).
  - KVM: arm64: Generalise VM features into a set of flags
    (bsc#1012628).
  - KVM: arm64: mixed-width check should be skipped for
    uninitialized vCPUs (bsc#1012628).
  - SUNRPC: Fix the svc_deferred_event trace class (bsc#1012628).
  - net/sched: flower: fix parsing of ethertype following VLAN
    header (bsc#1012628).
  - veth: Ensure eth header is in skb's linear part (bsc#1012628).
  - gpiolib: acpi: use correct format characters (bsc#1012628).
  - cifs: release cached dentries only if mount is complete
    (bsc#1012628).
  - ice: arfs: fix use-after-free when freeing @rx_cpu_rmap
    (bsc#1012628).
  - Revert "iavf: Fix deadlock occurrence during resetting VF
    interface" (bsc#1012628).
  - net: mdio: don't defer probe forever if PHY IRQ provider is
    missing (bsc#1012628).
  - mlxsw: i2c: Fix initialization error flow (bsc#1012628).
  - sctp: use the correct skb for security_sctp_assoc_request
    (bsc#1012628).
  - net/sched: fix initialization order when updating chain 0 head
    (bsc#1012628).
  - cachefiles: unmark inode in use in error path (bsc#1012628).
  - cachefiles: Fix KASAN slab-out-of-bounds in
    cachefiles_set_volume_xattr (bsc#1012628).
  - net: dsa: felix: suppress -EPROBE_DEFER errors (bsc#1012628).
  - KVM: selftests: riscv: Set PTE A and D bits in VS-stage page
    table (bsc#1012628).
  - KVM: selftests: riscv: Fix alignment of the guest_hang()
    function (bsc#1012628).
  - RISC-V: KVM: include missing hwcap.h into vcpu_fp (bsc#1012628).
  - io_uring: flag the fact that linked file assignment is sane
    (bsc#1012628).
  - net: ethernet: stmmac: fix altr_tse_pcs function when using
    a fixed-link (bsc#1012628).
  - net/sched: taprio: Check if socket flags are valid
    (bsc#1012628).
  - cfg80211: hold bss_lock while updating nontrans_list
    (bsc#1012628).
  - mac80211: fix ht_capa printout in debugfs (bsc#1012628).
  - netfilter: nft_socket: make cgroup match work in input too
    (bsc#1012628).
  - drm/msm: Fix range size vs end confusion (bsc#1012628).
  - drm/msm/dsi: Use connector directly in
    msm_dsi_manager_connector_init() (bsc#1012628).
  - drm/msm/dp: add fail safe mode outside of event_mutex context
    (bsc#1012628).
  - io_uring: stop using io_wq_work as an fd placeholder
    (bsc#1012628).
  - net/smc: use memcpy instead of snprintf to avoid out of bounds
    read (bsc#1012628).
  - net/smc: Fix NULL pointer dereference in smc_pnet_find_ib()
    (bsc#1012628).
  - scsi: pm80xx: Mask and unmask upper interrupt vectors 32-63
    (bsc#1012628).
  - scsi: pm80xx: Enable upper inbound, outbound queues
    (bsc#1012628).
  - scsi: iscsi: Move iscsi_ep_disconnect() (bsc#1012628).
  - scsi: iscsi: Fix offload conn cleanup when iscsid restarts
    (bsc#1012628).
  - scsi: iscsi: Fix endpoint reuse regression (bsc#1012628).
  - scsi: iscsi: Fix conn cleanup and stop race during iscsid
    restart (bsc#1012628).
  - scsi: iscsi: Fix unbound endpoint error handling (bsc#1012628).
  - sctp: Initialize daddr on peeled off socket (bsc#1012628).
  - net: lan966x: Fix when a port's upper is changed (bsc#1012628).
  - net: lan966x: Stop processing the MAC entry is port is wrong
    (bsc#1012628).
  - netfilter: nf_tables: nft_parse_register can return a negative
    value (bsc#1012628).
  - io_uring: fix assign file locking issue (bsc#1012628).
  - ALSA: ad1889: Fix the missing snd_card_free() call at probe
    error (bsc#1012628).
  - ALSA: mtpav: Don't call card private_free at probe error path
    (bsc#1012628).
  - io_uring: move io_uring_rsrc_update2 validation (bsc#1012628).
  - io_uring: verify that resv2 is 0 in io_uring_rsrc_update2
    (bsc#1012628).
  - io_uring: verify pad field is 0 in io_get_ext_arg (bsc#1012628).
  - testing/selftests/mqueue: Fix mq_perf_tests to free the
    allocated cpu set (bsc#1012628).
  - ALSA: usb-audio: Increase max buffer size (bsc#1012628).
  - ALSA: usb-audio: Limit max buffer and period sizes per time
    (bsc#1012628).
  - perf tools: Fix misleading add event PMU debug message
    (bsc#1012628).
  - macvlan: Fix leaking skb in source mode with nodst option
    (bsc#1012628).
  - net: ftgmac100: access hardware register after clock ready
    (bsc#1012628).
  - nfc: nci: add flush_workqueue to prevent uaf (bsc#1012628).
  - cifs: potential buffer overflow in handling symlinks
    (bsc#1012628).
  - dm mpath: only use ktime_get_ns() in historical selector
    (bsc#1012628).
  - vfio/pci: Fix vf_token mechanism when device-specific VF
    drivers are used (bsc#1012628).
  - tun: annotate access to queue->trans_start (bsc#1012628).
  - net: dsa: felix: fix tagging protocol changes with multiple
    CPU ports (bsc#1012628).
  - net: bcmgenet: Revert "Use stronger register read/writes to
    assure ordering" (bsc#1012628).
  - block: fix offset/size check in bio_trim() (bsc#1012628).
  - block: null_blk: end timed out poll request (bsc#1012628).
  - io_uring: abort file assignment prior to assigning creds
    (bsc#1012628).
  - KVM: PPC: Book3S HV P9: Fix "lost kick" race (bsc#1012628).
  - drm/amd: Add USBC connector ID (bsc#1012628).
  - btrfs: fix fallocate to use file_modified to update permissions
    consistently (bsc#1012628).
  - btrfs: do not warn for free space inode in cow_file_range
    (bsc#1012628).
  - drm/amdgpu: conduct a proper cleanup of PDB bo (bsc#1012628).
  - drm/amdgpu/gmc: use PCI BARs for APUs in passthrough
    (bsc#1012628).
  - drm/amd/display: fix audio format not updated after edid updated
    (bsc#1012628).
  - drm/amd/display: FEC check in timing validation (bsc#1012628).
  - drm/amd/display: Update VTEM Infopacket definition
    (bsc#1012628).
  - drm/amdkfd: Fix Incorrect VMIDs passed to HWS (bsc#1012628).
  - drm/amdgpu/vcn: improve vcn dpg stop procedure (bsc#1012628).
  - drm/amdkfd: Check for potential null return of kmalloc_array()
    (bsc#1012628).
  - Drivers: hv: vmbus: Deactivate sysctl_record_panic_msg by
    default in isolated guests (bsc#1012628).
  - Drivers: hv: vmbus: Propagate VMbus coherence to each VMbus
    device (bsc#1012628).
  - PCI: hv: Propagate coherence from VMbus device to PCI device
    (bsc#1012628).
  - Drivers: hv: vmbus: Prevent load re-ordering when reading ring
    buffer (bsc#1012628).
  - scsi: target: tcmu: Fix possible page UAF (bsc#1012628).
  - scsi: lpfc: Improve PCI EEH Error and Recovery Handling
    (bsc#1012628).
  - scsi: lpfc: Fix unload hang after back to back PCI EEH faults
    (bsc#1012628).
  - scsi: lpfc: Fix queue failures when recovering from PCI parity
    error (bsc#1012628).
  - scsi: ibmvscsis: Increase INITIAL_SRP_LIMIT to 1024
    (bsc#1012628).
  - net: micrel: fix KS8851_MLL Kconfig (bsc#1012628).
  - ata: libata-core: Disable READ LOG DMA EXT for Samsung 840 EVOs
    (bsc#1012628).
  - gpu: ipu-v3: Fix dev_dbg frequency output (bsc#1012628).
  - regulator: wm8994: Add an off-on delay for WM8994 variant
    (bsc#1012628).
  - static_call: Properly initialise DEFINE_STATIC_CALL_RET0()
    (bsc#1012628).
  - arm64: alternatives: mark patch_alternative() as `noinstr`
    (bsc#1012628).
  - tlb: hugetlb: Add more sizes to tlb_remove_huge_tlb_entry
    (bsc#1012628).
  - net: axienet: setup mdio unconditionally (bsc#1012628).
  - Drivers: hv: balloon: Disable balloon and hot-add accordingly
    (bsc#1012628).
  - net: usb: aqc111: Fix out-of-bounds accesses in RX fixup
    (bsc#1012628).
  - myri10ge: fix an incorrect free for skb in myri10ge_sw_tso
    (bsc#1012628).
  - spi: cadence-quadspi: fix protocol setup for non-1-1-X
    operations (bsc#1012628).
  - drm/amd/display: Correct Slice reset calculation (bsc#1012628).
  - drm/amd/display: Enable power gating before init_pipes
    (bsc#1012628).
  - drm/amd/display: Revert FEC check in validation (bsc#1012628).
  - drm/amd/display: Fix allocate_mst_payload assert on resume
    (bsc#1012628).
  - drbd: set QUEUE_FLAG_STABLE_WRITES (bsc#1012628).
  - scsi: mpt3sas: Fail reset operation if config request timed out
    (bsc#1012628).
  - scsi: mvsas: Add PCI ID of RocketRaid 2640 (bsc#1012628).
  - scsi: megaraid_sas: Target with invalid LUN ID is deleted
    during scan (bsc#1012628).
  - drivers: net: slip: fix NPD bug in sl_tx_timeout()
    (bsc#1012628).
  - x86,bpf: Avoid IBT objtool warning (bsc#1012628).
  - io_uring: zero tag on rsrc removal (bsc#1012628).
  - io_uring: use nospec annotation for more indexes (bsc#1012628).
  - perf/imx_ddr: Fix undefined behavior due to shift overflowing
    the constant (bsc#1012628).
  - mm/secretmem: fix panic when growing a memfd_secret
    (bsc#1012628).
  - mm, page_alloc: fix build_zonerefs_node() (bsc#1012628).
  - mm: fix unexpected zeroed page mapping with zram swap
    (bsc#1012628).
  - mm: kmemleak: take a full lowmem check in kmemleak_*_phys()
    (bsc#1012628).
  - hugetlb: do not demote poisoned hugetlb pages (bsc#1012628).
  - revert "fs/binfmt_elf: fix PT_LOAD p_align values for loaders"
    (bsc#1012628).
  - revert "fs/binfmt_elf: use PT_LOAD p_align values for static
    PIE" (bsc#1012628).
  - KVM: x86/mmu: Resolve nx_huge_pages when kvm.ko is loaded
    (bsc#1012628).
  - SUNRPC: Fix NFSD's request deferral on RDMA transports
    (bsc#1012628).
  - memory: renesas-rpc-if: fix platform-device leak in error path
    (bsc#1012628).
  - gcc-plugins: latent_entropy: use /dev/urandom (bsc#1012628).
  - cifs: verify that tcon is valid before dereference in
    cifs_kill_sb (bsc#1012628).
  - gpio: sim: fix setting and getting multiple lines (bsc#1012628).
  - ath9k: Properly clear TX status area before reporting to
    mac80211 (bsc#1012628).
  - ath9k: Fix usage of driver-private space in tx_info
    (bsc#1012628).
  - btrfs: zoned: activate block group only for extent allocation
    (bsc#1012628).
  - btrfs: fix root ref counts in error handling in
    btrfs_get_root_ref (bsc#1012628).
  - btrfs: mark resumed async balance as writing (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Clevo PD50PNT (bsc#1012628).
  - ALSA: hda/realtek: add quirk for Lenovo Thinkpad X12 speakers
    (bsc#1012628).
  - ALSA: pcm: Test for "silence" field in struct "pcm_format_data"
    (bsc#1012628).
  - nl80211: correctly check NL80211_ATTR_REG_ALPHA2 size
    (bsc#1012628).
  - ipv6: fix panic when forwarding a pkt with no in6 dev
    (bsc#1012628).
  - drm/amd/display: don't ignore alpha property on pre-multiplied
    mode (bsc#1012628).
  - drm/amdgpu: Enable gfxoff quirk on MacBook Pro (bsc#1012628).
  - x86/tsx: Use MSR_TSX_CTRL to clear CPUID bits (bsc#1012628).
  - x86/tsx: Disable TSX development mode at boot (bsc#1012628).
  - genirq/affinity: Consider that CPUs on nodes can be unbalanced
    (bsc#1012628).
  - tick/nohz: Use WARN_ON_ONCE() to prevent console saturation
    (bsc#1012628).
  - ARM: davinci: da850-evm: Avoid NULL pointer dereference
    (bsc#1012628).
  - ep93xx: clock: Fix UAF in ep93xx_clk_register_gate()
    (bsc#1012628).
  - dm integrity: fix memory corruption when tag_size is less than
    digest size (bsc#1012628).
  - i2c: dev: check return value when calling dev_set_name()
    (bsc#1012628).
  - Revert "net: dsa: setup master before ports" (bsc#1012628).
  - smp: Fix offline cpu check in flush_smp_call_function_queue()
    (bsc#1012628).
  - dt-bindings: memory: snps,ddrc-3.80a compatible also need
    interrupts (bsc#1012628).
  - i2c: pasemi: Wait for write xfers to finish (bsc#1012628).
  - dt-bindings: net: snps: remove duplicate name (bsc#1012628).
  - timers: Fix warning condition in __run_timers() (bsc#1012628).
  - dma-direct: avoid redundant memory sync for swiotlb
    (bsc#1012628).
  - mm, kfence: support kmem_dump_obj() for KFENCE objects
    (bsc#1012628).
  - drm/i915: Sunset igpu legacy mmap support based on
    GRAPHICS_VER_FULL (bsc#1012628).
  - cpu/hotplug: Remove the 'cpu' member of cpuhp_cpu_state
    (bsc#1012628).
  - ax25: Fix UAF bugs in ax25 timers (bsc#1012628).
  - io_uring: use right issue_flags for splice/tee (bsc#1012628).
  - io_uring: fix poll file assign deadlock (bsc#1012628).
  - io_uring: fix poll error reporting (bsc#1012628).
  - commit 75e9961
* Tue Apr 19 2022 fvogt@suse.de
  - rpm/kernel-obs-build.spec.in: Include algif_hash, aegis128 and xts modules
    afgif_hash is needed by some packages (e.g. iwd) for tests, xts is used for
    LUKS2 volumes by default and aegis128 is useful as AEAD cipher for LUKS2.
    Wrap the long line to make it readable.
  - commit bfd7db4
* Tue Apr 19 2022 jslaby@suse.cz
  - Update config files. (vanilla)
    After commit b76702ae4983 (Update config files.), arm64 vanilla fails.
    Just ran oldconfig.
  - commit 2d96192
* Tue Apr 19 2022 jslaby@suse.cz
  - Update
    patches.kernel.org/5.17.3-312-SUNRPC-Ensure-we-flush-any-closed-sockets-befo.patch
    (bsc#1012628 bsc#1198330 CVE-2022-28893).
    Update upstream status.
  - commit a0f1f93
* Sun Apr 17 2022 mkubecek@suse.cz
  - config: enable arm64 builds
  - reenable arm64 configs after update to 5.18-rc2
  - new arm64 config options:
    - SHADOW_CALL_STACK=n
    - RELR=n
    - KCOV=n
  - commit ffb18e4
* Sun Apr 17 2022 mkubecek@suse.cz
  - Update to 5.18-rc3
  - update configs
    - x86_64
    - NET_DSA_REALTEK_RTL8365MB=m
    - NET_DSA_REALTEK_RTL8366RB=m
  - commit 04810ad
* Fri Apr 15 2022 dmueller@suse.com
  - Update config files.
    set modprobe path to /usr/sbin/modprobe after usrmerge completion
    in Tumbleweed.
  - commit 767eb22
* Fri Apr 15 2022 dmueller@suse.com
  - Update config files (bsc#1198722, bsc#1197746).
    Enable compiled in LSMs on boot
    * landlock: optional ability for user land applications to sandbox
    themselves
    * yama: optional restrict of use of ptrace for nonprivileged users
    * default to apparmor, list selinux before bpf to avoid bsc#1197746
    * bpf: create eBPF based LSMs dynamically
  - commit 5506937
* Thu Apr 14 2022 dmueller@suse.com
  - Update config files.
  - set CONFIG_NO_HZ_FULL again on armv7/aarch64 (bsc#1189692)
  - commit bfb0c41
* Thu Apr 14 2022 dmueller@suse.com
  - Update config files.
    Disable legacy pty support (bsc#1198506)
  - commit 295a9c6
* Thu Apr 14 2022 dmueller@suse.com
  - Update config files.
    set CONFIG_LSM_MMAP_MIN_ADDR according to upstream default to
    32768/65536 to have a minimum protection against null pointer
    vulnerabilities. This was previously set to 0 to enable dosemu,
    but dosemu no longer requires that setting, especially not on
    non-x86.
  - commit 30bf192
* Thu Apr 14 2022 jslaby@suse.cz
  - Linux 5.17.3 (bsc#1012628).
  - lib/logic_iomem: correct fallback config references
    (bsc#1012628).
  - um: fix and optimize xor select template for CONFIG64 and
    timetravel mode (bsc#1012628).
  - rtc: wm8350: Handle error for wm8350_register_irq (bsc#1012628).
  - net: dsa: felix: fix possible NULL pointer dereference
    (bsc#1012628).
  - mm: kfence: fix objcgs vector allocation (bsc#1012628).
  - KVM: x86/pmu: Use different raw event masks for AMD and Intel
    (bsc#1012628).
  - KVM: SVM: Fix kvm_cache_regs.h inclusions for is_guest_mode()
    (bsc#1012628).
  - KVM: x86/svm: Clear reserved bits written to PerfEvtSeln MSRs
    (bsc#1012628).
  - KVM: x86/pmu: Fix and isolate TSX-specific performance event
    logic (bsc#1012628).
  - KVM: x86/emulator: Emulate RDPID only if it is enabled in guest
    (bsc#1012628).
  - drm: Add orientation quirk for GPD Win Max (bsc#1012628).
  - Bluetooth: hci_sync: Fix compilation warning (bsc#1012628).
  - ath5k: fix OOB in ath5k_eeprom_read_pcal_info_5111
    (bsc#1012628).
  - Bluetooth: fix null ptr deref on hci_sync_conn_complete_evt
    (bsc#1012628).
  - drm/amd/display: Add signal type check when verify stream
    backends same (bsc#1012628).
  - drm/amdkfd: enable heavy-weight TLB flush on Arcturus
    (bsc#1012628).
  - drm/edid: remove non_desktop quirk for HPN-3515 and LEN-B800
    (bsc#1012628).
  - drm/edid: improve non-desktop quirk logging (bsc#1012628).
  - Bluetooth: hci_event: Ignore multiple conn complete events
    (bsc#1012628).
  - drm/amd/amdgpu/amdgpu_cs: fix refcount leak of a dma_fence obj
    (bsc#1012628).
  - drm/amd/display: Fix memory leak (bsc#1012628).
  - drm/amd/display: Use PSR version selected during set_psr_caps
    (bsc#1012628).
  - usb: gadget: tegra-xudc: Do not program SPARAM (bsc#1012628).
  - usb: gadget: tegra-xudc: Fix control endpoint's definitions
    (bsc#1012628).
  - usb: cdnsp: fix cdnsp_decode_trb function to properly handle
    ret value (bsc#1012628).
  - ptp: replace snprintf with sysfs_emit (bsc#1012628).
  - Bluetooth: hci_sync: Fix queuing commands when HCI_UNREGISTER
    is set (bsc#1012628).
  - selftests, xsk: Fix bpf_res cleanup test (bsc#1012628).
  - net/mlx5e: TC, Hold sample_attr on stack instead of pointer
    (bsc#1012628).
  - drm/amdkfd: Don't take process mutex for svm ioctls
    (bsc#1012628).
  - drm/amdkfd: Ensure mm remain valid in svm deferred_list work
    (bsc#1012628).
  - drm/amdkfd: svm range restore work deadlock when process exit
    (bsc#1012628).
  - drm/amdgpu: Fix an error message in rmmod (bsc#1012628).
  - mlxsw: spectrum: Guard against invalid local ports
    (bsc#1012628).
  - RDMA/rtrs-clt: Do stop and failover outside reconnect work
    (bsc#1012628).
  - powerpc/xive: Export XIVE IPI information for online-only
    processors (bsc#1012628).
  - powerpc: dts: t104xrdb: fix phy type for FMAN 4/5 (bsc#1012628).
  - ath11k: fix kernel panic during unload/load ath11k modules
    (bsc#1012628).
  - ath11k: pci: fix crash on suspend if board file is not found
    (bsc#1012628).
  - ath11k: mhi: use mhi_sync_power_up() (bsc#1012628).
  - net/smc: Send directly when TCP_CORK is cleared (bsc#1012628).
  - drm/bridge: Add missing pm_runtime_put_sync (bsc#1012628).
  - bpf: Make dst_port field in struct bpf_sock 16-bit wide
    (bsc#1012628).
  - scsi: mvsas: Replace snprintf() with sysfs_emit() (bsc#1012628).
  - scsi: bfa: Replace snprintf() with sysfs_emit() (bsc#1012628).
  - drm/v3d: fix missing unlock (bsc#1012628).
  - power: supply: axp20x_battery: properly report current when
    discharging (bsc#1012628).
  - mt76: mt7921: fix crash when startup fails (bsc#1012628).
  - mt76: dma: initialize skip_unmap in mt76_dma_rx_fill
    (bsc#1012628).
  - i40e: Add sending commands in atomic context (bsc#1012628).
  - cfg80211: don't add non transmitted BSS to 6GHz scanned channels
    (bsc#1012628).
  - libbpf: Fix build issue with llvm-readelf (bsc#1012628).
  - ipv6: make mc_forwarding atomic (bsc#1012628).
  - ref_tracker: implement use-after-free detection (bsc#1012628).
  - net: initialize init_net earlier (bsc#1012628).
  - powerpc: Set crashkernel offset to mid of RMA region
    (bsc#1012628).
  - drm/amdgpu: Fix recursive locking warning (bsc#1012628).
  - scsi: smartpqi: Fix rmmod stack trace (bsc#1012628).
  - scsi: smartpqi: Fix kdump issue when controller is locked up
    (bsc#1012628).
  - PCI: aardvark: Fix support for MSI interrupts (bsc#1012628).
  - kvm: selftests: aarch64: fix assert in gicv3_access_reg
    (bsc#1012628).
  - kvm: selftests: aarch64: pass vgic_irq guest args as a pointer
    (bsc#1012628).
  - kvm: selftests: aarch64: fix the failure check in
    kvm_set_gsi_routing_irqchip_check (bsc#1012628).
  - kvm: selftests: aarch64: fix some vgic related comments
    (bsc#1012628).
  - kvm: selftests: aarch64: use a tighter assert in vgic_poke_irq()
    (bsc#1012628).
  - iommu/arm-smmu-v3: fix event handling soft lockup (bsc#1012628).
  - usb: ehci: add pci device support for Aspeed platforms
    (bsc#1012628).
  - KVM: arm64: Do not change the PMU event filter after a VCPU
    has run (bsc#1012628).
  - libbpf: Fix accessing syscall arguments on powerpc
    (bsc#1012628).
  - libbpf: Fix accessing the first syscall argument on arm64
    (bsc#1012628).
  - libbpf: Fix accessing the first syscall argument on s390
    (bsc#1012628).
  - PCI: endpoint: Fix alignment fault error in copy tests
    (bsc#1012628).
  - tcp: Don't acquire inet_listen_hashbucket::lock with disabled BH
    (bsc#1012628).
  - PCI: pciehp: Add Qualcomm quirk for Command Completed erratum
    (bsc#1012628).
  - scsi: mpi3mr: Fix deadlock while canceling the fw event
    (bsc#1012628).
  - scsi: mpi3mr: Fix reporting of actual data transfer size
    (bsc#1012628).
  - scsi: mpi3mr: Fix memory leaks (bsc#1012628).
  - powerpc/set_memory: Avoid spinlock recursion in
    change_page_attr() (bsc#1012628).
  - power: supply: axp288-charger: Set Vhold to 4.4V (bsc#1012628).
  - drm/sprd: fix potential NULL dereference (bsc#1012628).
  - drm/sprd: check the platform_get_resource() return value
    (bsc#1012628).
  - drm/amd/display: reset lane settings after each PHY repeater LT
    (bsc#1012628).
  - net/mlx5e: Disable TX queues before registering the netdev
    (bsc#1012628).
  - HID: apple: Report Magic Keyboard 2021 battery over USB
    (bsc#1012628).
  - HID: apple: Report Magic Keyboard 2021 with fingerprint reader
    battery over USB (bsc#1012628).
  - usb: dwc3: pci: Set the swnode from inside dwc3_pci_quirks()
    (bsc#1012628).
  - iwlwifi: mvm: Correctly set fragmented EBS (bsc#1012628).
  - iwlwifi: fix small doc mistake for iwl_fw_ini_addr_val
    (bsc#1012628).
  - iwlwifi: mvm: move only to an enabled channel (bsc#1012628).
  - ipv6: annotate some data-races around sk->sk_prot (bsc#1012628).
  - drm/msm/dsi: Remove spurious IRQF_ONESHOT flag (bsc#1012628).
  - x86/mce: Work around an erratum on fast string copy instructions
    (bsc#1012628).
  - rtw89: fix RCU usage in rtw89_core_txq_push() (bsc#1012628).
  - ath11k: Fix frames flush failure caused by deadlock
    (bsc#1012628).
  - ipv4: Invalidate neighbour for broadcast address upon address
    addition (bsc#1012628).
  - rtw88: change rtw_info() to proper message level (bsc#1012628).
  - dm ioctl: prevent potential spectre v1 gadget (bsc#1012628).
  - dm: requeue IO if mapping table not yet available (bsc#1012628).
  - drm/amdkfd: make CRAT table missing message informational only
    (bsc#1012628).
  - vfio/pci: Stub vfio_pci_vga_rw when !CONFIG_VFIO_PCI_VGA
    (bsc#1012628).
  - scsi: pm8001: Fix pm80xx_pci_mem_copy() interface (bsc#1012628).
  - scsi: pm8001: Fix pm8001_mpi_task_abort_resp() (bsc#1012628).
  - scsi: pm8001: Fix tag values handling (bsc#1012628).
  - scsi: pm8001: Fix task leak in pm8001_send_abort_all()
    (bsc#1012628).
  - scsi: pm8001: Fix tag leaks on error (bsc#1012628).
  - scsi: pm8001: Fix memory leak in
    pm8001_chip_fw_flash_update_req() (bsc#1012628).
  - mt76: mt7915: fix injected MPDU transmission to not use HW
    A-MSDU (bsc#1012628).
  - mctp: make __mctp_dev_get() take a refcount hold (bsc#1012628).
  - powerpc/64s/hash: Make hash faults work in NMI context
    (bsc#1012628).
  - mt76: mt7615: Fix assigning negative values to unsigned variable
    (bsc#1012628).
  - power: supply: axp288_charger: Use
    acpi_quirk_skip_acpi_ac_and_battery() (bsc#1012628).
  - power: supply: axp288_fuel_gauge: Use
    acpi_quirk_skip_acpi_ac_and_battery() (bsc#1012628).
  - scsi: aha152x: Fix aha152x_setup() __setup handler return value
    (bsc#1012628).
  - scsi: hisi_sas: Free irq vectors in order for v3 HW
    (bsc#1012628).
  - scsi: hisi_sas: Limit users changing debugfs BIST count value
    (bsc#1012628).
  - net/smc: correct settings of RMB window update limit
    (bsc#1012628).
  - mips: ralink: fix a refcount leak in ill_acc_of_setup()
    (bsc#1012628).
  - iavf: stop leaking iavf_status as "errno" values (bsc#1012628).
  - macvtap: advertise link netns via netlink (bsc#1012628).
  - platform/x86: thinkpad_acpi: Add dual fan probe (bsc#1012628).
  - tuntap: add sanity checks about msg_controllen in sendmsg
    (bsc#1012628).
  - Bluetooth: mediatek: fix the conflict between mtk and msft
    vendor event (bsc#1012628).
  - Bluetooth: Fix not checking for valid hdev on
    bt_dev_{info,warn,err,dbg} (bsc#1012628).
  - Bluetooth: use memset avoid memory leaks (bsc#1012628).
  - bnxt_en: Eliminate unintended link toggle during FW reset
    (bsc#1012628).
  - PCI: endpoint: Fix misused goto label (bsc#1012628).
  - MIPS: fix fortify panic when copying asm exception handlers
    (bsc#1012628).
  - powerpc/code-patching: Pre-map patch area (bsc#1012628).
  - powerpc/64e: Tie PPC_BOOK3E_64 to PPC_FSL_BOOK3E (bsc#1012628).
  - powerpc/secvar: fix refcount leak in format_show()
    (bsc#1012628).
  - scsi: libfc: Fix use after free in fc_exch_abts_resp()
    (bsc#1012628).
  - platform/x86: x86-android-tablets: Depend on EFI and SPI
    (bsc#1012628).
  - can: isotp: set default value for N_As to 50 micro seconds
    (bsc#1012628).
  - can: etas_es58x: es58x_fd_rx_event_msg(): initialize
    rx_event_msg before calling es58x_check_msg_len() (bsc#1012628).
  - riscv: Fixed misaligned memory access. Fixed pointer comparison
    (bsc#1012628).
  - net: account alternate interface name memory (bsc#1012628).
  - net: limit altnames to 64k total (bsc#1012628).
  - net/mlx5e: Remove overzealous validations in netlink EEPROM
    query (bsc#1012628).
  - platform/x86: hp-wmi: Fix SW_TABLET_MODE detection method
    (bsc#1012628).
  - platform/x86: hp-wmi: Fix 0x05 error code reported by several
    WMI calls (bsc#1012628).
  - net: sfp: add 2500base-X quirk for Lantech SFP module
    (bsc#1012628).
  - usb: dwc3: omap: fix "unbalanced disables for smps10_out1"
    on omap5evm (bsc#1012628).
  - xen/usb: harden xen_hcd against malicious backends
    (bsc#1012628).
  - mt76: fix monitor mode crash with sdio driver (bsc#1012628).
  - xtensa: fix DTC warning unit_address_format (bsc#1012628).
  - iwlwifi: mei: fix building iwlmei (bsc#1012628).
  - MIPS: ingenic: correct unit node address (bsc#1012628).
  - Bluetooth: Fix use after free in hci_send_acl (bsc#1012628).
  - netfilter: conntrack: revisit gc autotuning (bsc#1012628).
  - netlabel: fix out-of-bounds memory accesses (bsc#1012628).
  - ceph: fix inode reference leakage in ceph_get_snapdir()
    (bsc#1012628).
  - ceph: fix memory leak in ceph_readdir when note_last_dentry
    returns error (bsc#1012628).
  - lib/Kconfig.debug: add ARCH dependency for FUNCTION_ALIGN option
    (bsc#1012628).
  - init/main.c: return 1 from handled __setup() functions
    (bsc#1012628).
  - minix: fix bug when opening a file with O_DIRECT (bsc#1012628).
  - clk: si5341: fix reported clk_rate when output divider is 2
    (bsc#1012628).
  - clk: mediatek: Fix memory leaks on probe (bsc#1012628).
  - staging: vchiq_arm: Avoid NULL ptr deref in
    vchiq_dump_platform_instances (bsc#1012628).
  - staging: vchiq_core: handle NULL result of
    find_service_by_handle (bsc#1012628).
  - phy: amlogic: phy-meson-gxl-usb2: fix shared reset controller
    use (bsc#1012628).
  - phy: amlogic: meson8b-usb2: Use dev_err_probe() (bsc#1012628).
  - phy: amlogic: meson8b-usb2: fix shared reset control use
    (bsc#1012628).
  - clk: rockchip: drop CLK_SET_RATE_PARENT from dclk_vop* on rk3568
    (bsc#1012628).
  - cpufreq: CPPC: Fix performance/frequency conversion
    (bsc#1012628).
  - opp: Expose of-node's name in debugfs (bsc#1012628).
  - staging: wfx: apply the necessary SDIO quirks for the Silabs
    WF200 (bsc#1012628).
  - staging: wfx: fix an error handling in wfx_init_common()
    (bsc#1012628).
  - w1: w1_therm: fixes w1_seq for ds28ea00 sensors (bsc#1012628).
  - NFSv4.2: fix reference count leaks in _nfs42_proc_copy_notify()
    (bsc#1012628).
  - NFSv4: Protect the state recovery thread against direct reclaim
    (bsc#1012628).
  - habanalabs: fix possible memory leak in MMU DR fini
    (bsc#1012628).
  - habanalabs: reject host map with mmu disabled (bsc#1012628).
  - habanalabs/gaudi: handle axi errors from NIC engines
    (bsc#1012628).
  - xen: delay xen_hvm_init_time_ops() if kdump is boot on vcpu>=32
    (bsc#1012628).
  - clk: ti: Preserve node in ti_dt_clocks_register() (bsc#1012628).
  - clk: Enforce that disjoints limits are invalid (bsc#1012628).
  - SUNRPC/xprt: async tasks mustn't block waiting for memory
    (bsc#1012628).
  - SUNRPC: remove scheduling boost for "SWAPPER" tasks
    (bsc#1012628).
  - NFS: swap IO handling is slightly different for O_DIRECT IO
    (bsc#1012628).
  - NFS: swap-out must always use STABLE writes (bsc#1012628).
  - x86: Annotate call_on_stack() (bsc#1012628).
  - x86/Kconfig: Do not allow CONFIG_X86_X32_ABI=y with llvm-objcopy
    (bsc#1012628).
  - serial: samsung_tty: do not unlock port->lock for
    uart_write_wakeup() (bsc#1012628).
  - virtio_console: eliminate anonymous module_init & module_exit
    (bsc#1012628).
  - jfs: prevent NULL deref in diFree (bsc#1012628).
  - SUNRPC: Fix socket waits for write buffer space (bsc#1012628).
  - NFS: nfsiod should not block forever in mempool_alloc()
    (bsc#1012628).
  - NFS: Avoid writeback threads getting stuck in mempool_alloc()
    (bsc#1012628).
  - selftests: net: Add tls config dependency for tls selftests
    (bsc#1012628).
  - parisc: Fix CPU affinity for Lasi, WAX and Dino chips
    (bsc#1012628).
  - parisc: Fix patch code locking and flushing (bsc#1012628).
  - mm: fix race between MADV_FREE reclaim and blkdev direct IO read
    (bsc#1012628).
  - drm/amdgpu: fix off by one in amdgpu_gfx_kiq_acquire()
    (bsc#1012628).
  - Drivers: hv: vmbus: Fix initialization of device object in
    vmbus_device_register() (bsc#1012628).
  - Drivers: hv: vmbus: Fix potential crash on module unload
    (bsc#1012628).
  - netfilter: bitwise: fix reduce comparisons (bsc#1012628).
  - Revert "NFSv4: Handle the special Linux file open access mode"
    (bsc#1012628).
  - NFSv4: fix open failure with O_ACCMODE flag (bsc#1012628).
  - scsi: core: scsi_logging: Fix a BUG (bsc#1012628).
  - scsi: sr: Fix typo in CDROM(CLOSETRAY|EJECT) handling
    (bsc#1012628).
  - scsi: core: Fix sbitmap depth in scsi_realloc_sdev_budget_map()
    (bsc#1012628).
  - scsi: zorro7xx: Fix a resource leak in zorro7xx_remove_one()
    (bsc#1012628).
  - vdpa: mlx5: prevent cvq work from hogging CPU (bsc#1012628).
  - net: sfc: add missing xdp queue reinitialization (bsc#1012628).
  - net/tls: fix slab-out-of-bounds bug in decrypt_internal
    (bsc#1012628).
  - vrf: fix packet sniffing for traffic originating from ip tunnels
    (bsc#1012628).
  - skbuff: fix coalescing for page_pool fragment recycling
    (bsc#1012628).
  - Revert "net: dsa: stop updating master MTU from master.c"
    (bsc#1012628).
  - ice: Clear default forwarding VSI during VSI release
    (bsc#1012628).
  - ice: Fix MAC address setting (bsc#1012628).
  - mctp: Fix check for dev_hard_header() result (bsc#1012628).
  - mctp: Use output netdev to allocate skb headroom (bsc#1012628).
  - net: ipv4: fix route with nexthop object delete warning
    (bsc#1012628).
  - net: stmmac: Fix unset max_speed difference between DT and
    non-DT platforms (bsc#1012628).
  - drm/imx: imx-ldb: Check for null pointer after calling kmemdup
    (bsc#1012628).
  - drm/imx: Fix memory leak in imx_pd_connector_get_modes
    (bsc#1012628).
  - drm/imx: dw_hdmi-imx: Fix bailout in error cases of probe
    (bsc#1012628).
  - regulator: rtq2134: Fix missing active_discharge_on setting
    (bsc#1012628).
  - spi: rpc-if: Fix RPM imbalance in probe error path
    (bsc#1012628).
  - regulator: atc260x: Fix missing active_discharge_on setting
    (bsc#1012628).
  - arch/arm64: Fix topology initialization for core scheduling
    (bsc#1012628).
  - bnxt_en: Synchronize tx when xdp redirects happen on same ring
    (bsc#1012628).
  - bnxt_en: reserve space inside receive page for skb_shared_info
    (bsc#1012628).
  - bnxt_en: Prevent XDP redirect from running when stopping TX
    queue (bsc#1012628).
  - sfc: Do not free an empty page_ring (bsc#1012628).
  - RDMA/mlx5: Don't remove cache MRs when a delay is needed
    (bsc#1012628).
  - RDMA/mlx5: Add a missing update of cache->last_add
    (bsc#1012628).
  - IB/cm: Cancel mad on the DREQ event when the state is
    MRA_REP_RCVD (bsc#1012628).
  - cifs: fix potential race with cifsd thread (bsc#1012628).
  - IB/rdmavt: add lock to call to rvt_error_qp to prevent a race
    condition (bsc#1012628).
  - sctp: count singleton chunks in assoc user stats (bsc#1012628).
  - dpaa2-ptp: Fix refcount leak in dpaa2_ptp_probe (bsc#1012628).
  - ice: Set txq_teid to ICE_INVAL_TEID on ring creation
    (bsc#1012628).
  - ice: Do not skip not enabled queues in ice_vc_dis_qs_msg
    (bsc#1012628).
  - ipv6: Fix stats accounting in ip6_pkt_drop (bsc#1012628).
  - ice: synchronize_rcu() when terminating rings (bsc#1012628).
  - ice: xsk: fix VSI state check in ice_xsk_wakeup() (bsc#1012628).
  - ice: clear cmd_type_offset_bsz for TX rings (bsc#1012628).
  - net: openvswitch: don't send internal clone attribute to the
    userspace (bsc#1012628).
  - net: ethernet: mv643xx: Fix over zealous checking
    of_get_mac_address() (bsc#1012628).
  - net: openvswitch: fix leak of nested actions (bsc#1012628).
  - rxrpc: fix a race in rxrpc_exit_net() (bsc#1012628).
  - net: sfc: fix using uninitialized xdp tx_queue (bsc#1012628).
  - net: phy: mscc-miim: reject clause 45 register accesses
    (bsc#1012628).
  - qede: confirm skb is allocated before using (bsc#1012628).
  - spi: bcm-qspi: fix MSPI only access with bcm_qspi_exec_mem_op()
    (bsc#1012628).
  - drm/amd/display: Fix for dmub outbox notification enable
    (bsc#1012628).
  - drm/amd/display: Remove redundant dsc power gating from init_hw
    (bsc#1012628).
  - bpf: Support dual-stack sockets in bpf_tcp_check_syncookie
    (bsc#1012628).
  - drbd: Fix five use after free bugs in get_initial_state
    (bsc#1012628).
  - scsi: sd: sd_read_cpr() requires VPD pages (bsc#1012628).
  - scsi: ufs: ufshpb: Fix a NULL check on list iterator
    (bsc#1012628).
  - io_uring: nospec index for tags on files update (bsc#1012628).
  - io_uring: don't touch scm_fp_list after queueing skb
    (bsc#1012628).
  - SUNRPC: Handle ENOMEM in call_transmit_status() (bsc#1012628).
  - SUNRPC: Handle low memory situations in call_status()
    (bsc#1012628).
  - SUNRPC: svc_tcp_sendmsg() should handle errors from
    xdr_alloc_bvec() (bsc#1012628).
  - iommu/omap: Fix regression in probe for NULL pointer dereference
    (bsc#1012628).
  - perf unwind: Don't show unwind error messages when augmenting
    frame pointer stack (bsc#1012628).
  - perf: arm-spe: Fix perf report --mem-mode (bsc#1012628).
  - perf tools: Fix perf's libperf_print callback (bsc#1012628).
  - perf session: Remap buf if there is no space for event
    (bsc#1012628).
  - arm64: Add part number for Arm Cortex-A78AE (bsc#1012628).
  - scsi: mpt3sas: Fix use after free in
    _scsih_expander_node_remove() (bsc#1012628).
  - scsi: ufs: ufs-pci: Add support for Intel MTL (bsc#1012628).
  - Revert "mmc: sdhci-xenon: fix annoying 1.8V regulator warning"
    (bsc#1012628).
  - mmc: block: Check for errors after write on SPI (bsc#1012628).
  - mmc: mmci: stm32: correctly check all elements of sg list
    (bsc#1012628).
  - mmc: renesas_sdhi: special 4tap settings only apply to HS400
    (bsc#1012628).
  - mmc: renesas_sdhi: don't overwrite TAP settings when HS400
    tuning is complete (bsc#1012628).
  - mmc: core: Fixup support for writeback-cache for eMMC and SD
    (bsc#1012628).
  - lz4: fix LZ4_decompress_safe_partial read out of bound
    (bsc#1012628).
  - highmem: fix checks in __kmap_local_sched_{in,out}
    (bsc#1012628).
  - mmmremap.c: avoid pointless invalidate_range_start/end on
    mremap(old_size=0) (bsc#1012628).
  - mm/mempolicy: fix mpol_new leak in shared_policy_replace
    (bsc#1012628).
  - io_uring: don't check req->file in io_fsync_prep()
    (bsc#1012628).
  - io_uring: defer splice/tee file validity check until command
    issue (bsc#1012628).
  - io_uring: implement compat handling for IORING_REGISTER_IOWQ_AFF
    (bsc#1012628).
  - io_uring: fix race between timeout flush and removal
    (bsc#1012628).
  - perf/x86/intel: Update the FRONTEND MSR mask on Sapphire Rapids
    (bsc#1012628).
  - btrfs: fix qgroup reserve overflow the qgroup limit
    (bsc#1012628).
  - btrfs: zoned: traverse devices under chunk_mutex in
    btrfs_can_activate_zone (bsc#1012628).
  - btrfs: remove device item and update super block in the same
    transaction (bsc#1012628).
  - btrfs: avoid defragging extents whose next extents are not
    targets (bsc#1012628).
  - btrfs: prevent subvol with swapfile from being deleted
    (bsc#1012628).
  - spi: core: add dma_map_dev for __spi_unmap_msg() (bsc#1012628).
  - cifs: force new session setup and tcon for dfs (bsc#1012628).
  - qed: fix ethtool register dump (bsc#1012628).
  - arm64: patch_text: Fixup last cpu should be master
    (bsc#1012628).
  - RDMA/hfi1: Fix use-after-free bug for mm struct (bsc#1012628).
  - drbd: fix an invalid memory access caused by incorrect use of
    list iterator (bsc#1012628).
  - gpio: Restrict usage of GPIO chip irq members before
    initialization (bsc#1012628).
  - x86/msi: Fix msi message data shadow struct (bsc#1012628).
  - x86/mm/tlb: Revert retpoline avoidance approach (bsc#1012628).
  - perf/x86/intel: Don't extend the pseudo-encoding to GP counters
    (bsc#1012628).
  - ata: sata_dwc_460ex: Fix crash due to OOB write (bsc#1012628).
  - perf: qcom_l2_pmu: fix an incorrect NULL check on list iterator
    (bsc#1012628).
  - perf/core: Inherit event_caps (bsc#1012628).
  - irqchip/gic-v3: Fix GICR_CTLR.RWP polling (bsc#1012628).
  - fbdev: Fix unregistering of framebuffers without device
    (bsc#1012628).
  - amd/display: set backlight only if required (bsc#1012628).
  - drm/panel: ili9341: fix optional regulator handling
    (bsc#1012628).
  - drm/amd/display: Fix by adding FPU protection for
    dcn30_internal_validate_bw (bsc#1012628).
  - drm/amdgpu/display: change pipe policy for DCN 2.1
    (bsc#1012628).
  - drm/amdgpu/smu10: fix SoC/fclk units in auto mode (bsc#1012628).
  - drm/amdgpu/vcn: Fix the register setting for vcn1 (bsc#1012628).
  - drm/amdkfd: Create file descriptor after client is added to
    smi_clients list (bsc#1012628).
  - drm/amdgpu: don't use BACO for reset in S3 (bsc#1012628).
  - SUNRPC: Ensure we flush any closed sockets before xs_xprt_free()
    (bsc#1012628).
  - Revert "ACPI: processor: idle: Only flush cache on entering C3"
    (bsc#1012628).
  - drm/amdkfd: Fix variable set but not used warning (bsc#1012628).
  - net/smc: send directly on setting TCP_NODELAY (bsc#1012628).
  - Revert "selftests: net: Add tls config dependency for tls
    selftests" (bsc#1012628).
  - bpf: Make remote_port field in struct bpf_sk_lookup 16-bit wide
    (bsc#1012628).
  - selftests/bpf: Fix u8 narrow load checks for bpf_sk_lookup
    remote_port (bsc#1012628).
  - bpf: Treat bpf_sk_lookup remote_port as a 2-byte field
    (bsc#1012628).
  - perf build: Don't use -ffat-lto-objects in the python feature
    test when building with clang-13 (bsc#1012628).
  - perf python: Fix probing for some clang command line options
    (bsc#1012628).
  - tools build: Filter out options and warnings not supported by
    clang (bsc#1012628).
  - tools build: Use $(shell ) instead of `` to get embedded
    libperl's ccopts (bsc#1012628).
  - dmaengine: Revert "dmaengine: shdma: Fix runtime PM imbalance
    on error" (bsc#1012628).
  - KVM: avoid NULL pointer dereference in kvm_dirty_ring_push
    (bsc#1012628).
  - Drivers: hv: vmbus: Replace smp_store_mb() with virt_store_mb()
    (bsc#1012628).
  - powerpc: Fix virt_addr_valid() for 64-bit Book3E & 32-bit
    (bsc#1012628).
  - Revert "powerpc: Set max_mapnr correctly" (bsc#1012628).
  - x86/bug: Prevent shadowing in __WARN_FLAGS (bsc#1012628).
  - objtool: Fix SLS validation for kcov tail-call replacement
    (bsc#1012628).
  - sched/core: Fix forceidle balancing (bsc#1012628).
  - sched: Teach the forced-newidle balancer about CPU affinity
    limitation (bsc#1012628).
  - x86,static_call: Fix __static_call_return0 for i386
    (bsc#1012628).
  - x86/extable: Prefer local labels in .set directives
    (bsc#1012628).
  - irqchip/gic-v4: Wait for GICR_VPENDBASER.Dirty to clear before
    descheduling (bsc#1012628).
  - powerpc/64: Fix build failure with allyesconfig in
    book3s_64_entry.S (bsc#1012628).
  - irqchip/gic, gic-v3: Prevent GSI to SGI translations
    (bsc#1012628).
  - mm/sparsemem: fix 'mem_section' will never be NULL gcc 12
    warning (bsc#1012628).
  - static_call: Don't make __static_call_return0 static
    (bsc#1012628).
  - io_uring: move read/write file prep state into actual opcode
    handler (bsc#1012628).
  - io_uring: propagate issue_flags state down to file assignment
    (bsc#1012628).
  - io_uring: defer file assignment (bsc#1012628).
  - io_uring: drop the old style inflight file tracking
    (bsc#1012628).
  - Update config files.
  - commit a63605c
* Wed Apr 13 2022 mbrugger@suse.com
  - arm64: Update config files to v5.18-rc2
  - commit 2158d93
* Wed Apr 13 2022 tiwai@suse.de
  - Update config files: set CONFIG_EFI_VARS_PSTORE_DEFAULT_DISABLE=y (bsc#1198276)
    Using efivars as the pstore default backend is dangerous, as it might fill up
    quickly with dumps, eventually resulting in a non-bootable system.
    The feature can be enabled manually via efi_pstore.pstore_disable=0 option.
  - commit 7821031
* Wed Apr 13 2022 tiwai@suse.de
  - ALSA: memalloc: Add fallback SG-buffer allocations for x86
    (bsc#1198248).
  - commit c87e719
* Tue Apr 12 2022 bp@suse.de
  - Update
    patches.suse/x86-pm-save-the-msr-validity-status-at-context-setup.patch
    (bsc#1198400).
  - Update
    patches.suse/x86-speculation-restore-speculation-related-msrs-during-s3-resume.patch
    (bsc#1198400).
  - commit b1cc750
* Mon Apr 11 2022 tiwai@suse.de
  - drm/nouveau/pmu: Add missing callbacks for Tegra devices
    (bsc#1196967).
  - commit 0789f15
* Mon Apr 11 2022 mkubecek@suse.cz
  - Update to 5.18-rc2
  - eliminate 1 patch
    - patches.suse/net-fungible-Fix-reference-to-__udivdi3-on-32b-build.patch
  - update configs
    - SATA_LPM_POLICY renamed to SATA_MOBILE_LPM_POLICY
  - commit d8f6a40
* Sat Apr 09 2022 jslaby@suse.cz
  - Linux 5.17.2 (bsc#1012628).
  - USB: serial: pl2303: add IBM device IDs (bsc#1012628).
  - dt-bindings: usb: hcd: correct usb-device path (bsc#1012628).
  - USB: serial: pl2303: fix GS type detection (bsc#1012628).
  - USB: serial: simple: add Nokia phone driver (bsc#1012628).
  - mm: kfence: fix missing objcg housekeeping for SLAB
    (bsc#1012628).
  - locking/lockdep: Avoid potential access of invalid memory in
    lock_class (bsc#1012628).
  - drm/amdgpu: move PX checking into amdgpu_device_ip_early_init
    (bsc#1012628).
  - drm/amdgpu: only check for _PR3 on dGPUs (bsc#1012628).
  - iommu/iova: Improve 32-bit free space estimate (bsc#1012628).
  - block: flush plug based on hardware and software queue order
    (bsc#1012628).
  - block: ensure plug merging checks the correct queue at least
    once (bsc#1012628).
  - usb: typec: tipd: Forward plug orientation to typec subsystem
    (bsc#1012628).
  - USB: usb-storage: Fix use of bitfields for hardware data in
    ene_ub6250.c (bsc#1012628).
  - xhci: fix garbage USBSTS being logged in some cases
    (bsc#1012628).
  - xhci: fix runtime PM imbalance in USB2 resume (bsc#1012628).
  - xhci: make xhci_handshake timeout for xhci_reset() adjustable
    (bsc#1012628).
  - xhci: fix uninitialized string returned by
    xhci_decode_ctrl_ctx() (bsc#1012628).
  - mei: me: disable driver on the ign firmware (bsc#1012628).
  - mei: me: add Alder Lake N device id (bsc#1012628).
  - mei: avoid iterator usage outside of list_for_each_entry
    (bsc#1012628).
  - bus: mhi: pci_generic: Add mru_default for Quectel EM1xx series
    (bsc#1012628).
  - bus: mhi: Fix pm_state conversion to string (bsc#1012628).
  - bus: mhi: Fix MHI DMA structure endianness (bsc#1012628).
  - docs: sphinx/requirements: Limit jinja2<3.1 (bsc#1012628).
  - coresight: Fix TRCCONFIGR.QE sysfs interface (bsc#1012628).
  - coresight: syscfg: Fix memleak on registration failure in
    cscfg_create_device (bsc#1012628).
  - dt-bindings: iio: adc: zynqmp_ams: Add clock entry
    (bsc#1012628).
  - iio: adc: xilinx-ams: Fix single channel switching sequence
    (bsc#1012628).
  - iio: accel: mma8452: use the correct logic to get mma8452_data
    (bsc#1012628).
  - iio: adc: aspeed: Add divider flag to fix incorrect voltage
    reading (bsc#1012628).
  - iio: imu: st_lsm6dsx: use dev_to_iio_dev() to get iio_dev struct
    (bsc#1012628).
  - iio: afe: rescale: use s64 for temporary scale calculations
    (bsc#1012628).
  - iio: adc: xilinx-ams: Fixed missing PS channels (bsc#1012628).
  - iio: adc: xilinx-ams: Fixed wrong sequencer register settings
    (bsc#1012628).
  - iio: inkern: apply consumer scale on IIO_VAL_INT cases
    (bsc#1012628).
  - iio: inkern: apply consumer scale when no channel scale is
    available (bsc#1012628).
  - iio: inkern: make a best effort on offset calculation
    (bsc#1012628).
  - greybus: svc: fix an error handling bug in gb_svc_hello()
    (bsc#1012628).
  - clk: rockchip: re-add rational best approximation algorithm
    to the fractional divider (bsc#1012628).
  - clk: uniphier: Fix fixed-rate initialization (bsc#1012628).
  - ptrace: Check PTRACE_O_SUSPEND_SECCOMP permission on
    PTRACE_SEIZE (bsc#1012628).
  - cifs: truncate the inode and mapping when we simulate fcollapse
    (bsc#1012628).
  - cifs: fix handlecache and multiuser (bsc#1012628).
  - cifs: we do not need a spinlock around the tree access during
    umount (bsc#1012628).
  - KEYS: fix length validation in keyctl_pkey_params_get_2()
    (bsc#1012628).
  - KEYS: asymmetric: enforce that sig algo matches key algo
    (bsc#1012628).
  - KEYS: asymmetric: properly validate hash_algo and encoding
    (bsc#1012628).
  - Documentation: add link to stable release candidate tree
    (bsc#1012628).
  - Documentation: update stable tree link (bsc#1012628).
  - firmware: stratix10-svc: add missing callback parameter on RSU
    (bsc#1012628).
  - firmware: sysfb: fix platform-device leak in error path
    (bsc#1012628).
  - HID: intel-ish-hid: Use dma_alloc_coherent for firmware update
    (bsc#1012628).
  - SUNRPC: avoid race between mod_timer() and del_timer_sync()
    (bsc#1012628).
  - SUNRPC: Do not dereference non-socket transports in sysfs
    (bsc#1012628).
  - NFS: NFSv2/v3 clients should never be setting NFS_CAP_XATTR
    (bsc#1012628).
  - NFSD: prevent underflow in nfssvc_decode_writeargs()
    (bsc#1012628).
  - NFSD: prevent integer overflow on 32 bit systems (bsc#1012628).
  - f2fs: fix to unlock page correctly in error path of is_alive()
    (bsc#1012628).
  - f2fs: quota: fix loop condition at f2fs_quota_sync()
    (bsc#1012628).
  - f2fs: fix to do sanity check on .cp_pack_total_block_count
    (bsc#1012628).
  - remoteproc: Fix count check in rproc_coredump_write()
    (bsc#1012628).
  - mm/mlock: fix two bugs in user_shm_lock() (bsc#1012628).
  - pinctrl: ingenic: Fix regmap on X series SoCs (bsc#1012628).
  - pinctrl: samsung: drop pin banks references on error paths
    (bsc#1012628).
  - net: bnxt_ptp: fix compilation error (bsc#1012628).
  - spi: mxic: Fix the transmit path (bsc#1012628).
  - mtd: spi-nor: Skip erase logic when SPI_NOR_NO_ERASE is set
    (bsc#1012628).
  - mtd: rawnand: protect access to rawnand devices while in suspend
    (bsc#1012628).
  - can: m_can: m_can_tx_handler(): fix use after free of skb
    (bsc#1012628).
  - jffs2: fix use-after-free in jffs2_clear_xattr_subsystem
    (bsc#1012628).
  - jffs2: fix memory leak in jffs2_do_mount_fs (bsc#1012628).
  - jffs2: fix memory leak in jffs2_scan_medium (bsc#1012628).
  - mm: fs: fix lru_cache_disabled race in bh_lru (bsc#1012628).
  - mm: don't skip swap entry even if zap_details specified
    (bsc#1012628).
  - mm/pages_alloc.c: don't create ZONE_MOVABLE beyond the end of
    a node (bsc#1012628).
  - mm: invalidate hwpoison page cache page in fault path
    (bsc#1012628).
  - mempolicy: mbind_range() set_policy() after vma_merge()
    (bsc#1012628).
  - scsi: core: sd: Add silence_suspend flag to suppress some PM
    messages (bsc#1012628).
  - scsi: ufs: Fix runtime PM messages never-ending cycle
    (bsc#1012628).
  - scsi: scsi_transport_fc: Fix FPIN Link Integrity statistics
    counters (bsc#1012628).
  - scsi: libsas: Fix sas_ata_qc_issue() handling of NCQ NON DATA
    commands (bsc#1012628).
  - qed: display VF trust config (bsc#1012628).
  - qed: validate and restrict untrusted VFs vlan promisc mode
    (bsc#1012628).
  - riscv: dts: canaan: Fix SPI3 bus width (bsc#1012628).
  - riscv: Fix fill_callchain return value (bsc#1012628).
  - riscv: Increase stack size under KASAN (bsc#1012628).
  - RISC-V: Declare per cpu boot data as static (bsc#1012628).
  - cifs: do not skip link targets when an I/O fails (bsc#1012628).
  - cifs: fix incorrect use of list iterator after the loop
    (bsc#1012628).
  - cifs: prevent bad output lengths in smb2_ioctl_query_info()
    (bsc#1012628).
  - cifs: fix NULL ptr dereference in smb2_ioctl_query_info()
    (bsc#1012628).
  - ALSA: cs4236: fix an incorrect NULL check on list iterator
    (bsc#1012628).
  - ALSA: hda: Avoid unsol event during RPM suspending
    (bsc#1012628).
  - ALSA: pcm: Fix potential AB/BA lock with buffer_mutex and
    mmap_lock (bsc#1012628).
  - ALSA: hda/realtek: Fix audio regression on Mi Notebook Pro 2020
    (bsc#1012628).
  - rtc: mc146818-lib: fix locking in mc146818_set_time
    (bsc#1012628).
  - rtc: pl031: fix rtc features null pointer dereference
    (bsc#1012628).
  - io_uring: ensure that fsnotify is always called (bsc#1012628).
  - ocfs2: fix crash when mount with quota enabled (bsc#1012628).
  - drm/simpledrm: Add "panel orientation" property on non-upright
    mounted LCD panels (bsc#1012628).
  - mm: madvise: skip unmapped vma holes passed to process_madvise
    (bsc#1012628).
  - mm: madvise: return correct bytes advised with process_madvise
    (bsc#1012628).
  - Revert "mm: madvise: skip unmapped vma holes passed to
    process_madvise" (bsc#1012628).
  - mm,hwpoison: unmap poisoned page before invalidation
    (bsc#1012628).
  - mm: only re-generate demotion targets when a numa node changes
    its N_CPU state (bsc#1012628).
  - mm/kmemleak: reset tag when compare object pointer
    (bsc#1012628).
  - dm stats: fix too short end duration_ns when using
    precise_timestamps (bsc#1012628).
  - dm: fix use-after-free in dm_cleanup_zoned_dev() (bsc#1012628).
  - dm: interlock pending dm_io and dm_wait_for_bios_completion
    (bsc#1012628).
  - dm: fix double accounting of flush with data (bsc#1012628).
  - dm integrity: set journal entry unused when shrinking device
    (bsc#1012628).
  - tracing: Have trace event string test handle zero length strings
    (bsc#1012628).
  - drbd: fix potential silent data corruption (bsc#1012628).
  - can: isotp: sanitize CAN ID checks in isotp_bind()
    (bsc#1012628).
  - PCI: fu740: Force 2.5GT/s for initial device probe
    (bsc#1012628).
  - arm64: signal: nofpsimd: Do not allocate fp/simd context when
    not available (bsc#1012628).
  - arm64: Do not defer reserve_crashkernel() for platforms with
    no DMA memory zones (bsc#1012628).
  - arm64: dts: qcom: sm8250: Fix MSI IRQ for PCIe1 and PCIe2
    (bsc#1012628).
  - arm64: dts: ti: k3-am65: Fix gic-v3 compatible regs
    (bsc#1012628).
  - arm64: dts: ti: k3-j721e: Fix gic-v3 compatible regs
    (bsc#1012628).
  - arm64: dts: ti: k3-j7200: Fix gic-v3 compatible regs
    (bsc#1012628).
  - arm64: dts: ti: k3-am64: Fix gic-v3 compatible regs
    (bsc#1012628).
  - arm64: dts: ti: k3-j721s2: Fix gic-v3 compatible regs
    (bsc#1012628).
  - ASoC: SOF: Intel: Fix NULL ptr dereference when ENOMEM
    (bsc#1012628).
  - mmc: core: use sysfs_emit() instead of sprintf() (bsc#1012628).
  - Revert "ACPI: Pass the same capabilities to the _OSC regardless
    of the query flag" (bsc#1012628).
  - ACPI: properties: Consistently return -ENOENT if there are no
    more references (bsc#1012628).
  - coredump: Also dump first pages of non-executable ELF libraries
    (bsc#1012628).
  - ext4: fix ext4_fc_stats trace point (bsc#1012628).
  - ext4: fix fs corruption when tring to remove a non-empty
    directory with IO error (bsc#1012628).
  - ext4: make mb_optimize_scan option work with set/unset mount
    cmd (bsc#1012628).
  - ext4: make mb_optimize_scan performance mount option work with
    extents (bsc#1012628).
  - samples/landlock: Fix path_list memory leak (bsc#1012628).
  - landlock: Use square brackets around "landlock-ruleset"
    (bsc#1012628).
  - mailbox: tegra-hsp: Flush whole channel (bsc#1012628).
  - btrfs: zoned: put block group after final usage (bsc#1012628).
  - block: fix rq-qos breakage from skipping rq_qos_done_bio()
    (bsc#1012628).
  - block: limit request dispatch loop duration (bsc#1012628).
  - block: don't merge across cgroup boundaries if blkcg is enabled
    (bsc#1012628).
  - drm/edid: check basic audio support on CEA extension block
    (bsc#1012628).
  - fbdev: Hot-unplug firmware fb devices on forced removal
    (bsc#1012628).
  - video: fbdev: sm712fb: Fix crash in smtcfb_read() (bsc#1012628).
  - video: fbdev: atari: Atari 2 bpp (STe) palette bugfix
    (bsc#1012628).
  - rfkill: make new event layout opt-in (bsc#1012628).
  - ARM: dts: at91: sama7g5: Remove unused properties in i2c nodes
    (bsc#1012628).
  - ARM: dts: at91: sama5d2: Fix PMERRLOC resource size
    (bsc#1012628).
  - ARM: dts: exynos: fix UART3 pins configuration in Exynos5250
    (bsc#1012628).
  - ARM: dts: exynos: add missing HDMI supplies on SMDK5250
    (bsc#1012628).
  - ARM: dts: exynos: add missing HDMI supplies on SMDK5420
    (bsc#1012628).
  - mgag200 fix memmapsl configuration in GCTL6 register
    (bsc#1012628).
  - carl9170: fix missing bit-wise or operator for tx_params
    (bsc#1012628).
  - pstore: Don't use semaphores in always-atomic-context code
    (bsc#1012628).
  - thermal: int340x: Increase bitmap size (bsc#1012628).
  - lib/raid6/test: fix multiple definition linking error
    (bsc#1012628).
  - exec: Force single empty string when argv is empty
    (bsc#1012628).
  - crypto: rsa-pkcs1pad - only allow with rsa (bsc#1012628).
  - crypto: rsa-pkcs1pad - correctly get hash from source
    scatterlist (bsc#1012628).
  - crypto: rsa-pkcs1pad - restore signature length check
    (bsc#1012628).
  - crypto: rsa-pkcs1pad - fix buffer overread in
    pkcs1pad_verify_complete() (bsc#1012628).
  - bcache: fixup multiple threads crash (bsc#1012628).
  - PM: domains: Fix sleep-in-atomic bug caused by
    genpd_debug_remove() (bsc#1012628).
  - DEC: Limit PMAX memory probing to R3k systems (bsc#1012628).
  - media: gpio-ir-tx: fix transmit with long spaces on Orange Pi PC
    (bsc#1012628).
  - media: omap3isp: Use struct_group() for memcpy() region
    (bsc#1012628).
  - media: venus: vdec: fixed possible memory leak issue
    (bsc#1012628).
  - media: venus: hfi_cmds: List HDR10 property as unsupported
    for v1 and v3 (bsc#1012628).
  - media: venus: venc: Fix h264 8x8 transform control
    (bsc#1012628).
  - media: davinci: vpif: fix unbalanced runtime PM get
    (bsc#1012628).
  - media: davinci: vpif: fix unbalanced runtime PM enable
    (bsc#1012628).
  - media: davinci: vpif: fix use-after-free on driver unbind
    (bsc#1012628).
  - mips: Always permit to build u-boot images (bsc#1012628).
  - btrfs: zoned: mark relocation as writing (bsc#1012628).
  - btrfs: extend locking to all space_info members accesses
    (bsc#1012628).
  - btrfs: verify the tranisd of the to-be-written dirty extent
    buffer (bsc#1012628).
  - xtensa: define update_mmu_tlb function (bsc#1012628).
  - xtensa: fix stop_machine_cpuslocked call in patch_text
    (bsc#1012628).
  - xtensa: fix xtensa_wsr always writing 0 (bsc#1012628).
  - KVM: s390x: fix SCK locking (bsc#1012628).
  - drm/syncobj: flatten dma_fence_chains on transfer (bsc#1012628).
  - drm/nouveau/backlight: Fix LVDS backlight detection on some
    laptops (bsc#1012628).
  - drm/nouveau/backlight: Just set all backlight types as RAW
    (bsc#1012628).
  - drm/fb-helper: Mark screen buffers in system memory with
    FBINFO_VIRTFB (bsc#1012628).
  - brcmfmac: firmware: Allocate space for default boardrev in nvram
    (bsc#1012628).
  - brcmfmac: pcie: Release firmwares in the brcmf_pcie_setup
    error path (bsc#1012628).
  - brcmfmac: pcie: Declare missing firmware files in pcie.c
    (bsc#1012628).
  - brcmfmac: pcie: Replace brcmf_pcie_copy_mem_todev with
    memcpy_toio (bsc#1012628).
  - brcmfmac: pcie: Fix crashes due to early IRQs (bsc#1012628).
  - drm/i915/opregion: check port number bounds for SWSCI display
    power state (bsc#1012628).
  - drm/i915/gem: add missing boundary check in vm_access
    (bsc#1012628).
  - PCI: imx6: Allow to probe when dw_pcie_wait_for_link() fails
    (bsc#1012628).
  - PCI: pciehp: Clear cmd_busy bit in polling mode (bsc#1012628).
  - PCI: xgene: Revert "PCI: xgene: Use inbound resources for setup"
    (bsc#1012628).
  - PCI: xgene: Revert "PCI: xgene: Fix IB window setup"
    (bsc#1012628).
  - regulator: qcom_smd: fix for_each_child.cocci warnings
    (bsc#1012628).
  - selinux: access superblock_security_struct in LSM blob way
    (bsc#1012628).
  - selinux: check return value of sel_make_avc_files (bsc#1012628).
  - crypto: ccp - Ensure psp_ret is always init'd in
    __sev_platform_init_locked() (bsc#1012628).
  - crypto: qat - fix a signedness bug in get_service_enabled()
    (bsc#1012628).
  - hwrng: cavium - HW_RANDOM_CAVIUM should depend on ARCH_THUNDER
    (bsc#1012628).
  - crypto: sun8i-ss - really disable hash on A80 (bsc#1012628).
  - crypto: kdf - Select hmac in addition to sha256 (bsc#1012628).
  - crypto: qat - fix access to PFVF interrupt registers for GEN4
    (bsc#1012628).
  - crypto: authenc - Fix sleep in atomic context in decrypt_tail
    (bsc#1012628).
  - crypto: octeontx2 - select CONFIG_NET_DEVLINK (bsc#1012628).
  - crypto: mxs-dcp - Fix scatterlist processing (bsc#1012628).
  - selinux: Fix selinux_sb_mnt_opts_compat() (bsc#1012628).
  - thermal: int340x: Check for NULL after calling kmemdup()
    (bsc#1012628).
  - crypto: octeontx2 - remove CONFIG_DM_CRYPT check (bsc#1012628).
  - spi: tegra114: Add missing IRQ check in tegra_spi_probe
    (bsc#1012628).
  - spi: tegra210-quad: Fix missin IRQ check in tegra_qspi_probe
    (bsc#1012628).
  - perf: MARVELL_CN10K_TAD_PMU should depend on ARCH_THUNDER
    (bsc#1012628).
  - selftests/sgx: Fix NULL-pointer-dereference upon early test
    failure (bsc#1012628).
  - selftests/sgx: Do not attempt enclave build without valid
    enclave (bsc#1012628).
  - selftests/sgx: Ensure enclave data available during debug print
    (bsc#1012628).
  - stack: Constrain and fix stack offset randomization with Clang
    builds (bsc#1012628).
  - arm64/mm: avoid fixmap race condition when create pud mapping
    (bsc#1012628).
  - security: add sctp_assoc_established hook (bsc#1012628).
  - security: implement sctp_assoc_established hook in selinux
    (bsc#1012628).
  - blk-cgroup: set blkg iostat after percpu stat aggregation
    (bsc#1012628).
  - selftests/x86: Add validity check and allow field splitting
    (bsc#1012628).
  - selftests/sgx: Treat CC as one argument (bsc#1012628).
  - crypto: rockchip - ECB does not need IV (bsc#1012628).
  - block: update io_ticks when io hang (bsc#1012628).
  - audit: log AUDIT_TIME_* records only from rules (bsc#1012628).
  - EVM: fix the evm= __setup handler return value (bsc#1012628).
  - crypto: ccree - don't attempt 0 len DMA mappings (bsc#1012628).
  - crypto: hisilicon/sec - fix the aead software fallback for
    engine (bsc#1012628).
  - spi: pxa2xx-pci: Balance reference count for PCI DMA device
    (bsc#1012628).
  - hwmon: (pmbus) Add mutex to regulator ops (bsc#1012628).
  - hwmon: (sch56xx-common) Replace WDOG_ACTIVE with WDOG_HW_RUNNING
    (bsc#1012628).
  - nvme: cleanup __nvme_check_ids (bsc#1012628).
  - nvme: fix the check for duplicate unique identifiers
    (bsc#1012628).
  - block: don't delete queue kobject before its children
    (bsc#1012628).
  - PM: hibernate: fix __setup handler error handling (bsc#1012628).
  - PM: suspend: fix return value of __setup handler (bsc#1012628).
  - spi: spi-zynqmp-gqspi: Handle error for dma_set_mask
    (bsc#1012628).
  - hwrng: atmel - disable trng on failure path (bsc#1012628).
  - crypto: sun8i-ss - call finalize with bh disabled (bsc#1012628).
  - crypto: sun8i-ce - call finalize with bh disabled (bsc#1012628).
  - crypto: amlogic - call finalize with bh disabled (bsc#1012628).
  - crypto: gemini - call finalize with bh disabled (bsc#1012628).
  - crypto: vmx - add missing dependencies (bsc#1012628).
  - clocksource/drivers/timer-ti-dm: Fix regression from errata
    i940 fix (bsc#1012628).
  - clocksource/drivers/exynos_mct: Handle DTS with higher number
    of interrupts (bsc#1012628).
  - clocksource/drivers/timer-microchip-pit64b: Use notrace
    (bsc#1012628).
  - clocksource/drivers/timer-of: Check return value of of_iomap
    in timer_of_base_init() (bsc#1012628).
  - arm64: prevent instrumentation of bp hardening callbacks
    (bsc#1012628).
  - perf/arm-cmn: Hide XP PUB events for CMN-600 (bsc#1012628).
  - perf/arm-cmn: Update watchpoint format (bsc#1012628).
  - KEYS: trusted: Fix trusted key backends when building as module
    (bsc#1012628).
  - KEYS: trusted: Avoid calling null function trusted_key_exit
    (bsc#1012628).
  - ACPI: APEI: fix return value of __setup handlers (bsc#1012628).
  - crypto: ccp - ccp_dmaengine_unregister release dma channels
    (bsc#1012628).
  - crypto: ccree - Fix use after free in cc_cipher_exit()
    (bsc#1012628).
  - crypto: qat - fix initialization of pfvf cap_msg structures
    (bsc#1012628).
  - crypto: qat - fix initialization of pfvf rts_map_msg structures
    (bsc#1012628).
  - hwrng: nomadik - Change clk_disable to clk_disable_unprepare
    (bsc#1012628).
  - hwmon: (pmbus) Add Vin unit off handling (bsc#1012628).
  - clocksource: acpi_pm: fix return value of __setup handler
    (bsc#1012628).
  - io_uring: don't check unrelated req->open.how in accept request
    (bsc#1012628).
  - io_uring: terminate manual loop iterator loop correctly for
    non-vecs (bsc#1012628).
  - watch_queue: Fix NULL dereference in error cleanup
    (bsc#1012628).
  - watch_queue: Actually free the watch (bsc#1012628).
  - f2fs: fix to enable ATGC correctly via gc_idle sysfs interface
    (bsc#1012628).
  - sched/debug: Remove mpol_get/put and task_lock/unlock from
    sched_show_numa (bsc#1012628).
  - sched/core: Export pelt_thermal_tp (bsc#1012628).
  - sched/sugov: Ignore 'busy' filter when rq is capped by
    uclamp_max (bsc#1012628).
  - sched/uclamp: Fix iowait boost escaping uclamp restriction
    (bsc#1012628).
  - rseq: Remove broken uapi field layout on 32-bit little endian
    (bsc#1012628).
  - perf/core: Fix address filter parser for multiple filters
    (bsc#1012628).
  - perf/x86/intel/pt: Fix address filter config for 32-bit kernel
    (bsc#1012628).
  - sched/fair: Improve consistency of allowed NUMA balance
    calculations (bsc#1012628).
  - f2fs: fix missing free nid in f2fs_handle_failed_inode
    (bsc#1012628).
  - ext4: fix remount with 'abort' option (bsc#1012628).
  - nfsd: more robust allocation failure handling in
    nfsd_file_cache_init (bsc#1012628).
  - sched/cpuacct: Fix charge percpu cpuusage (bsc#1012628).
  - sched/rt: Plug rt_mutex_setprio() vs push_rt_task() race
    (bsc#1012628).
  - f2fs: fix to avoid potential deadlock (bsc#1012628).
  - btrfs: fix unexpected error path when reflinking an inline
    extent (bsc#1012628).
  - iomap: Fix iomap_invalidatepage tracepoint (bsc#1012628).
  - fs: erofs: add sanity check for kobject in
    erofs_unregister_sysfs (bsc#1012628).
  - f2fs: fix compressed file start atomic write may cause data
    corruption (bsc#1012628).
  - cifs: use a different reconnect helper for non-cifsd threads
    (bsc#1012628).
  - selftests, x86: fix how check_cc.sh is being invoked
    (bsc#1012628).
  - drivers/base/memory: add memory block to memory group after
    registration succeeded (bsc#1012628).
  - kunit: make kunit_test_timeout compatible with comment
    (bsc#1012628).
  - pinctrl: samsung: Remove EINT handler for Exynos850 ALIVE and
    CMGP gpios (bsc#1012628).
  - media: staging: media: zoran: fix usage of
    vb2_dma_contig_set_max_seg_size (bsc#1012628).
  - media: camss: csid-170: fix non-10bit formats (bsc#1012628).
  - media: camss: csid-170: don't enable unused irqs (bsc#1012628).
  - media: camss: csid-170: set the right HALT_CMD when disabled
    (bsc#1012628).
  - media: camss: vfe-170: fix "VFE halt timeout" error
    (bsc#1012628).
  - media: staging: media: imx: imx7-mipi-csis: Make subdev name
    unique (bsc#1012628).
  - media: v4l2-mem2mem: Apply DST_QUEUE_OFF_BASE on MMAP buffers
    across ioctls (bsc#1012628).
  - media: mtk-vcodec: potential dereference of null pointer
    (bsc#1012628).
  - media: imx: imx8mq-mipi-csi2: remove wrong irq config write
    operation (bsc#1012628).
  - media: imx: imx8mq-mipi_csi2: fix system resume (bsc#1012628).
  - media: bttv: fix WARNING regression on tunerless devices
    (bsc#1012628).
  - media: atmel: atmel-sama7g5-isc: fix ispck leftover
    (bsc#1012628).
  - ASoC: sh: rz-ssi: Drop calling rz_ssi_pio_recv() recursively
    (bsc#1012628).
  - ASoC: codecs: Check for error pointer after calling
    devm_regmap_init_mmio (bsc#1012628).
  - ASoC: xilinx: xlnx_formatter_pcm: Handle sysclk setting
    (bsc#1012628).
  - ASoC: simple-card-utils: Set sysclk on all components
    (bsc#1012628).
  - memory: tegra20-emc: Correct memory device mask (bsc#1012628).
  - media: coda: Fix missing put_device() call in coda_get_vdoa_data
    (bsc#1012628).
  - media: meson: vdec: potential dereference of null pointer
    (bsc#1012628).
  - media: hantro: Fix overfill bottom register field name
    (bsc#1012628).
  - media: ov6650: Fix set format try processing path (bsc#1012628).
  - media: v4l: Avoid unaligned access warnings when printing 4cc
    modifiers (bsc#1012628).
  - media: ov5648: Don't pack controls struct (bsc#1012628).
  - media: ov2740: identify module after subdev initialisation
    (bsc#1012628).
  - media: aspeed: Correct value for h-total-pixels (bsc#1012628).
  - video: fbdev: matroxfb: set maxvram of vbG200eW to the same
    as vbG200 to avoid black screen (bsc#1012628).
  - video: fbdev: controlfb: Fix COMPILE_TEST build (bsc#1012628).
  - video: fbdev: smscufx: Fix null-ptr-deref in ufx_usb_probe()
    (bsc#1012628).
  - video: fbdev: atmel_lcdfb: fix an error code in
    atmel_lcdfb_probe() (bsc#1012628).
  - video: fbdev: fbcvt.c: fix printing in fb_cvt_print_name()
    (bsc#1012628).
  - ARM: dts: Fix OpenBMC flash layout label addresses
    (bsc#1012628).
  - ASoC: max98927: add missing header file (bsc#1012628).
  - arm64: dts: qcom: sc7280: Fix gmu unit address (bsc#1012628).
  - firmware: qcom: scm: Remove reassignment to desc following
    initializer (bsc#1012628).
  - ARM: dts: qcom: ipq4019: fix sleep clock (bsc#1012628).
  - soc: qcom: rpmpd: Check for null return of devm_kcalloc
    (bsc#1012628).
  - soc: qcom: ocmem: Fix missing put_device() call in of_get_ocmem
    (bsc#1012628).
  - soc: qcom: aoss: Fix missing put_device call in qmp_get
    (bsc#1012628).
  - soc: qcom: aoss: remove spurious IRQF_ONESHOT flags
    (bsc#1012628).
  - arm64: dts: qcom: sdm845: fix microphone bias properties and
    values (bsc#1012628).
  - arm64: dts: qcom: sm8250: fix PCIe bindings to follow schema
    (bsc#1012628).
  - arm64: dts: qcom: msm8916-j5: Fix typo (bsc#1012628).
  - arm64: dts: broadcom: bcm4908: use proper TWD binding
    (bsc#1012628).
  - arm64: dts: qcom: sm8150: Correct TCS configuration for apps
    rsc (bsc#1012628).
  - arm64: dts: qcom: sm8350: Correct TCS configuration for apps
    rsc (bsc#1012628).
  - arm64: dts: qcom: sm8450: Update cpuidle states parameters
    (bsc#1012628).
  - arm64: dts: qcom: msm8994: Provide missing "xo_board" and
    "sleep_clk" to GCC (bsc#1012628).
  - arm64: dts: qcom: ipq6018: fix usb reference period
    (bsc#1012628).
  - firmware: ti_sci: Fix compilation failure when
    CONFIG_TI_SCI_PROTOCOL is not defined (bsc#1012628).
  - soc: ti: wkup_m3_ipc: Fix IRQ check in wkup_m3_ipc_probe
    (bsc#1012628).
  - cpuidle: qcom-spm: Check if any CPU is managed by SPM
    (bsc#1012628).
  - ARM: dts: sun8i: v3s: Move the csi1 block to follow address
    order (bsc#1012628).
  - ARM: dts: stm32: fix AV96 board SAI2 pin muxing on stm32mp15
    (bsc#1012628).
  - vsprintf: Fix potential unaligned access (bsc#1012628).
  - ARM: dts: qcom: sdx55: Fix the address used for PCIe EP local
    addr space (bsc#1012628).
  - ARM: dts: imx: Add missing LVDS decoder on M53Menlo
    (bsc#1012628).
  - media: mexon-ge2d: fixup frames size in registers (bsc#1012628).
  - media: video/hdmi: handle short reads of hdmi info frame
    (bsc#1012628).
  - media: ti-vpe: cal: Fix a NULL pointer dereference in
    cal_ctx_v4l2_init_formats() (bsc#1012628).
  - media: em28xx: initialize refcount before kref_get
    (bsc#1012628).
  - media: uapi: Init VP9 stateless decode params (bsc#1012628).
  - media: usb: go7007: s2250-board: fix leak in probe()
    (bsc#1012628).
  - media: cedrus: H265: Fix neighbour info buffer size
    (bsc#1012628).
  - media: cedrus: h264: Fix neighbour info buffer size
    (bsc#1012628).
  - arm64: dts: ti: k3-j721s2-mcu-wakeup: Fix the interrupt-parent
    for wkup_gpioX instances (bsc#1012628).
  - ASoC: codecs: rx-macro: fix accessing compander for aux
    (bsc#1012628).
  - ASoC: codecs: rx-macro: fix accessing array out of bounds for
    enum type (bsc#1012628).
  - ASoC: codecs: va-macro: fix accessing array out of bounds for
    enum type (bsc#1012628).
  - ASoC: codecs: wc938x: fix accessing array out of bounds for
    enum type (bsc#1012628).
  - ASoC: codecs: wcd938x: fix kcontrol max values (bsc#1012628).
  - ASoC: codecs: wcd934x: fix kcontrol max values (bsc#1012628).
  - ASoC: codecs: wcd934x: fix return value of
    wcd934x_rx_hph_mode_put (bsc#1012628).
  - media: v4l2-core: Initialize h264 scaling matrix (bsc#1012628).
  - media: hantro: sunxi: Fix VP9 steps (bsc#1012628).
  - media: ov5640: Fix set format, v4l2_mbus_pixelcode not updated
    (bsc#1012628).
  - selftests: vm: remove dependecy from internal kernel macros
    (bsc#1012628).
  - selftests/lkdtm: Add UBSAN config (bsc#1012628).
  - vsprintf: Fix %pK with kptr_restrict == 0 (bsc#1012628).
  - uaccess: fix nios2 and microblaze get_user_8() (bsc#1012628).
  - ASoC: rt5663: check the return value of devm_kzalloc() in
    rt5663_parse_dp() (bsc#1012628).
  - ASoC: acp: check the return value of devm_kzalloc() in
    acp_legacy_dai_links_create() (bsc#1012628).
  - soc: mediatek: pm-domains: Add wakeup capacity support in
    power domain (bsc#1012628).
  - mmc: sdhci_am654: Fix the driver data of AM64 SoC (bsc#1012628).
  - ASoC: ti: davinci-i2s: Add check for clk_enable() (bsc#1012628).
  - ALSA: spi: Add check for clk_enable() (bsc#1012628).
  - arm64: dts: ns2: Fix spi-cpol and spi-cpha property
    (bsc#1012628).
  - arm64: dts: broadcom: Fix sata nodename (bsc#1012628).
  - printk: fix return value of printk.devkmsg __setup handler
    (bsc#1012628).
  - ASoC: mxs-saif: Handle errors for clk_enable (bsc#1012628).
  - ASoC: atmel_ssc_dai: Handle errors for clk_enable (bsc#1012628).
  - ASoC: dwc-i2s: Handle errors for clk_enable (bsc#1012628).
  - ASoC: soc-compress: prevent the potentially use of null pointer
    (bsc#1012628).
  - media: i2c: Fix pixel array positions in ov8865 (bsc#1012628).
  - memory: emif: Add check for setup_interrupts (bsc#1012628).
  - memory: emif: check the pointer temp in get_device_details()
    (bsc#1012628).
  - ALSA: firewire-lib: fix uninitialized flag for AV/C deferred
    transaction (bsc#1012628).
  - arm64: dts: rockchip: Fix SDIO regulator supply properties on
    rk3399-firefly (bsc#1012628).
  - m68k: coldfire/device.c: only build for MCF_EDMA when h/w
    macros are defined (bsc#1012628).
  - media: stk1160: If start stream fails, return buffers with
    VB2_BUF_STATE_QUEUED (bsc#1012628).
  - media: vidtv: Check for null return of vzalloc (bsc#1012628).
  - ASoC: cs35l41: Fix GPIO2 configuration (bsc#1012628).
  - ASoC: cs35l41: Fix max number of TX channels (bsc#1012628).
  - ASoC: atmel: Add missing of_node_put() in
    at91sam9g20ek_audio_probe (bsc#1012628).
  - ASoC: wm8350: Handle error for wm8350_register_irq
    (bsc#1012628).
  - ASoC: fsi: Add check for clk_enable (bsc#1012628).
  - video: fbdev: omapfb: Add missing of_node_put() in dvic_probe_of
    (bsc#1012628).
  - media: saa7134: fix incorrect use to determine if list is empty
    (bsc#1012628).
  - ivtv: fix incorrect device_caps for ivtvfb (bsc#1012628).
  - ASoC: atmel: Fix error handling in snd_proto_probe
    (bsc#1012628).
  - ASoC: rockchip: i2s: Fix missing clk_disable_unprepare()
    in rockchip_i2s_probe (bsc#1012628).
  - ASoC: SOF: Add missing of_node_put() in imx8m_probe
    (bsc#1012628).
  - ASoC: mediatek: mt8192-mt6359: Fix error handling in
    mt8192_mt6359_dev_probe (bsc#1012628).
  - ASoC: rk817: Fix missing clk_disable_unprepare() in
    rk817_platform_probe (bsc#1012628).
  - ASoC: dmaengine: do not use a NULL prepare_slave_config()
    callback (bsc#1012628).
  - ASoC: mxs: Fix error handling in mxs_sgtl5000_probe
    (bsc#1012628).
  - ASoC: fsl_spdif: Disable TX clock when stop (bsc#1012628).
  - ASoC: imx-es8328: Fix error return code in imx_es8328_probe()
    (bsc#1012628).
  - ASoC: SOF: Intel: enable DMI L1 for playback streams
    (bsc#1012628).
  - ASoC: msm8916-wcd-digital: Fix missing clk_disable_unprepare()
    in msm8916_wcd_digital_probe (bsc#1012628).
  - mmc: davinci_mmc: Handle error for clk_enable (bsc#1012628).
  - rtla/osnoise: Fix osnoise hist stop tracing message
    (bsc#1012628).
  - ASoC: rockchip: Fix PM usage reference of
    rockchip_i2s_tdm_resume (bsc#1012628).
  - ASoC: atmel: Fix error handling in sam9x5_wm8731_driver_probe
    (bsc#1012628).
  - ASoC: msm8916-wcd-analog: Fix error handling in
    pm8916_wcd_analog_spmi_probe (bsc#1012628).
  - ASoC: mediatek: mt8195: Fix error handling in
    mt8195_mt6359_rt1019_rt5682_dev_probe (bsc#1012628).
  - ASoC: codecs: wcd934x: Add missing of_node_put() in
    wcd934x_codec_parse_data (bsc#1012628).
  - ASoC: amd: Fix reference to PCM buffer address (bsc#1012628).
  - ARM: configs: multi_v5_defconfig: re-enable
    CONFIG_V4L_PLATFORM_DRIVERS (bsc#1012628).
  - ARM: configs: multi_v5_defconfig: re-enable DRM_PANEL and FB_xxx
    (bsc#1012628).
  - drm/bridge: sn65dsi83: Fix an error handling path in
    sn65dsi83_probe() (bsc#1012628).
  - drm/meson: osd_afbcd: Add an exit callback to struct
    meson_afbcd_ops (bsc#1012628).
  - drm/meson: Fix error handling when afbcd.ops->init fails
    (bsc#1012628).
  - drm/bridge: Fix free wrong object in sii8620_init_rcp_input_dev
    (bsc#1012628).
  - drm/bridge: Add missing pm_runtime_disable() in
    __dw_mipi_dsi_probe (bsc#1012628).
  - drm/bridge: nwl-dsi: Fix PM disable depth imbalance in
    nwl_dsi_probe (bsc#1012628).
  - drm: bridge: adv7511: Fix ADV7535 HPD enablement (bsc#1012628).
  - ath11k: add missing of_node_put() to avoid leak (bsc#1012628).
  - ath10k: fix memory overwrite of the WoWLAN wakeup packet pattern
    (bsc#1012628).
  - drm/v3d/v3d_drv: Check for error num after setting mask
    (bsc#1012628).
  - Bluetooth: hci_sync: unlock on error in
    hci_inquiry_result_with_rssi_evt() (bsc#1012628).
  - ath11k: free peer for station when disconnect from AP for
    QCA6390/WCN6855 (bsc#1012628).
  - drm/panfrost: Check for error num after setting mask
    (bsc#1012628).
  - bpftool: Fix error check when calling hashmap__new()
    (bsc#1012628).
  - libbpf: Fix possible NULL pointer dereference when destroying
    skeleton (bsc#1012628).
  - bpftool: Only set obj->skeleton on complete success
    (bsc#1012628).
  - ath11k: fix error code in ath11k_qmi_assign_target_mem_chunk()
    (bsc#1012628).
  - udmabuf: validate ubuf->pagecount (bsc#1012628).
  - bpf: Fix UAF due to race between btf_try_get_module and
    load_module (bsc#1012628).
  - drm/selftests/test-drm_dp_mst_helper: Fix memory leak in
    sideband_msg_req_encode_decode (bsc#1012628).
  - drm/locking: fix drm_modeset_acquire_ctx kernel-doc
    (bsc#1012628).
  - selftests: bpf: Fix bind on used port (bsc#1012628).
  - Bluetooth: btintel: Fix WBS setting for Intel legacy ROM
    products (bsc#1012628).
  - Bluetooth: hci_serdev: call init_rwsem() before p->open()
    (bsc#1012628).
  - Bluetooth: mt7921s: fix firmware coredump retrieve
    (bsc#1012628).
  - Bluetooth: mt7921s: fix bus hang with wrong privilege
    (bsc#1012628).
  - Bluetooth: btmtksdio: refactor
    btmtksdio_runtime_[suspend|resume]() (bsc#1012628).
  - Bluetooth: mt7921s: fix btmtksdio_[drv|fw]_pmctrl()
    (bsc#1012628).
  - Bluetooth: btmtksdio: mask out interrupt status (bsc#1012628).
  - mtd: onenand: Check for error irq (bsc#1012628).
  - mtd: rawnand: gpmi: fix controller timings setting
    (bsc#1012628).
  - selftests, xsk: Fix rx_full stats test (bsc#1012628).
  - drm/edid: Don't clear formats if using deep color (bsc#1012628).
  - drm/edid: Split deep color modes between RGB and YUV444
    (bsc#1012628).
  - ionic: fix type complaint in ionic_dev_cmd_clean()
    (bsc#1012628).
  - ionic: start watchdog after all is setup (bsc#1012628).
  - ionic: Don't send reset commands if FW isn't running
    (bsc#1012628).
  - ionic: fix up printing of timeout error (bsc#1012628).
  - ionic: Correctly print AQ errors if completions aren't received
    (bsc#1012628).
  - net: dsa: Move VLAN filtering syncing out of
    dsa_switch_bridge_leave (bsc#1012628).
  - net: dsa: Avoid cross-chip syncing of VLAN filtering
    (bsc#1012628).
  - Bluetooth: hci_event: Fix HCI_EV_VENDOR max_len (bsc#1012628).
  - drm/nouveau/acr: Fix undefined behavior in
    nvkm_acr_hsfw_load_bl() (bsc#1012628).
  - drm/amd/display: Call dc_stream_release for remove link enc
    assignment (bsc#1012628).
  - drm/amd/display: Fix a NULL pointer dereference in
    amdgpu_dm_connector_add_common_modes() (bsc#1012628).
  - drm/amd/pm: return -ENOTSUPP if there is no
    get_dpm_ultimate_freq function (bsc#1012628).
  - net: phy: at803x: move page selection fix to config_init
    (bsc#1012628).
  - selftests/bpf/test_xdp_redirect_multi: use temp netns for
    testing (bsc#1012628).
  - ath9k_htc: fix uninit value bugs (bsc#1012628).
  - ath11k: set WMI_PEER_40MHZ while peer assoc for 6 GHz
    (bsc#1012628).
  - RDMA/core: Set MR type in ib_reg_user_mr (bsc#1012628).
  - KVM: PPC: Fix vmx/vsx mixup in mmio emulation (bsc#1012628).
  - selftests/net: timestamping: Fix bind_phc check (bsc#1012628).
  - rtw88: check for validity before using a pointer (bsc#1012628).
  - rtw88: fix idle mode flow for hw scan (bsc#1012628).
  - rtw88: fix memory overrun and memory leak during hw_scan
    (bsc#1012628).
  - drm/bridge: lt9611: Fix an error handling path in lt9611_probe()
    (bsc#1012628).
  - i40e: don't reserve excessive XDP_PACKET_HEADROOM on XSK Rx
    to skb (bsc#1012628).
  - i40e: respect metadata on XSK Rx to skb (bsc#1012628).
  - ice: don't reserve excessive XDP_PACKET_HEADROOM on XSK Rx to
    skb (bsc#1012628).
  - ice: respect metadata on XSK Rx to skb (bsc#1012628).
  - igc: don't reserve excessive XDP_PACKET_HEADROOM on XSK Rx to
    skb (bsc#1012628).
  - ixgbe: pass bi->xdp to ixgbe_construct_skb_zc() directly
    (bsc#1012628).
  - ixgbe: don't reserve excessive XDP_PACKET_HEADROOM on XSK Rx
    to skb (bsc#1012628).
  - ixgbe: respect metadata on XSK Rx to skb (bsc#1012628).
  - power: reset: gemini-poweroff: Fix IRQ check in
    gemini_poweroff_probe (bsc#1012628).
  - ray_cs: Check ioremap return value (bsc#1012628).
  - powerpc: dts: t1040rdb: fix ports names for Seville Ethernet
    switch (bsc#1012628).
  - KVM: PPC: Book3S HV: Check return value of kvmppc_radix_init
    (bsc#1012628).
  - powerpc/perf: Don't use perf_hw_context for trace IMC PMU
    (bsc#1012628).
  - mt76: connac: fix sta_rec_wtbl tag len (bsc#1012628).
  - mt76: mt7915: use proper aid value in
    mt7915_mcu_wtbl_generic_tlv in sta mode (bsc#1012628).
  - mt76: mt7915: use proper aid value in mt7915_mcu_sta_basic_tlv
    (bsc#1012628).
  - mt76: mt76_connac: fix MCU_CE_CMD_SET_ROC definition error
    (bsc#1012628).
  - mt76: mt7921: set EDCA parameters with the MCU CE command
    (bsc#1012628).
  - mt76: mt7921: do not always disable fw runtime-pm (bsc#1012628).
  - mt76: mt7921: fix a leftover race in runtime-pm (bsc#1012628).
  - mt76: mt7615: fix a leftover race in runtime-pm (bsc#1012628).
  - mt76: mt7915: fix ht mcs in mt7915_mac_add_txs_skb()
    (bsc#1012628).
  - mt76: mt7921: fix ht mcs in mt7921_mac_add_txs_skb()
    (bsc#1012628).
  - mt76: mt7921s: fix mt7921s_mcu_[fw|drv]_pmctrl (bsc#1012628).
  - mt76: mt7921e: fix possible probe failure after reboot
    (bsc#1012628).
  - mt76: mt7603: check sta_rates pointer in
    mt7603_sta_rate_tbl_update (bsc#1012628).
  - mt76: mt7615: check sta_rates pointer in
    mt7615_sta_rate_tbl_update (bsc#1012628).
  - mt76: mt7915: fix possible memory leak in mt7915_mcu_add_sta
    (bsc#1012628).
  - mt76: mt7921s: fix a possible memory leak in mt7921_load_patch
    (bsc#1012628).
  - mt76: mt7915: fix mcs_map in mt7915_mcu_set_sta_he_mcs()
    (bsc#1012628).
  - mt76: mt7915: fix the nss setting in bitrates (bsc#1012628).
  - ptp: unregister virtual clocks when unregistering physical clock
    (bsc#1012628).
  - net: dsa: mv88e6xxx: Enable port policy support on 6097
    (bsc#1012628).
  - bpf: Fix a btf decl_tag bug when tagging a function
    (bsc#1012628).
  - mac80211: limit bandwidth in HE capabilities (bsc#1012628).
  - scripts/dtc: Call pkg-config POSIXly correct (bsc#1012628).
  - livepatch: Fix build failure on 32 bits processors
    (bsc#1012628).
  - net: asix: add proper error handling of usb read errors
    (bsc#1012628).
  - i2c: bcm2835: Fix the error handling in 'bcm2835_i2c_probe()'
    (bsc#1012628).
  - mtd: mchp23k256: Add SPI ID table (bsc#1012628).
  - mtd: mchp48l640: Add SPI ID table (bsc#1012628).
  - selftests/bpf: Extract syscall wrapper (bsc#1012628).
  - selftests/bpf: Use "__se_" prefix on architectures without
    syscall wrapper (bsc#1012628).
  - igc: avoid kernel warning when changing RX ring parameters
    (bsc#1012628).
  - igb: refactor XDP registration (bsc#1012628).
  - drm/amdgpu: Don't offset by 2 in FRU EEPROM (bsc#1012628).
  - PCI: aardvark: Fix reading MSI interrupt number (bsc#1012628).
  - PCI: aardvark: Fix reading PCI_EXP_RTSTA_PME bit on emulated
    bridge (bsc#1012628).
  - RDMA/rxe: Check the last packet by RXE_END_MASK (bsc#1012628).
  - libbpf: Fix signedness bug in btf_dump_array_data()
    (bsc#1012628).
  - libbpf: Fix riscv register names (bsc#1012628).
  - cxl/core: Fix cxl_probe_component_regs() error message
    (bsc#1012628).
  - tools/testing/cxl: Fix root port to host bridge assignment
    (bsc#1012628).
  - cxl/regs: Fix size of CXL Capability Header Register
    (bsc#1012628).
  - Netvsc: Call hv_unmap_memory() in the netvsc_device_remove()
    (bsc#1012628).
  - net:enetc: allocate CBD ring data memory using DMA coherent
    methods (bsc#1012628).
  - libbpf: Fix compilation warning due to mismatched printf format
    (bsc#1012628).
  - rtw88: fix use after free in rtw_hw_scan_update_probe_req()
    (bsc#1012628).
  - drm/bridge: dw-hdmi: use safe format when first in bridge chain
    (bsc#1012628).
  - power: supply: ab8500: Swap max and overvoltage (bsc#1012628).
  - libbpf: Fix libbpf.map inheritance chain for LIBBPF_0.7.0
    (bsc#1012628).
  - libbpf: Use dynamically allocated buffer when receiving netlink
    messages (bsc#1012628).
  - power: supply: ab8500: Fix memory leak in ab8500_fg_sysfs_init
    (bsc#1012628).
  - HID: i2c-hid: fix GET/SET_REPORT for unnumbered reports
    (bsc#1012628).
  - iommu/ipmmu-vmsa: Check for error num after setting mask
    (bsc#1012628).
  - drm/bridge: anx7625: Fix overflow issue on reading EDID
    (bsc#1012628).
  - ath11k: fix uninitialized rate_idx in
    ath11k_dp_tx_update_txcompl() (bsc#1012628).
  - i2c: pasemi: Drop I2C classes from platform driver variant
    (bsc#1012628).
  - bpftool: Fix the error when lookup in no-btf maps (bsc#1012628).
  - drm/amd/pm: enable pm sysfs write for one VF mode (bsc#1012628).
  - drm/amd/display: Add affected crtcs to atomic state for dsc
    mst unplug (bsc#1012628).
  - bpftool: Fix pretty print dump for maps without BTF loaded
    (bsc#1012628).
  - libbpf: Fix memleak in libbpf_netlink_recv() (bsc#1012628).
  - IB/cma: Allow XRC INI QPs to set their local ACK timeout
    (bsc#1012628).
  - cxl/core/port: Rename bus.c to port.c (bsc#1012628).
  - cxl/port: Hold port reference until decoder release
    (bsc#1012628).
  - dax: make sure inodes are flushed before destroy cache
    (bsc#1012628).
  - selftests: mptcp: add csum mib check for mptcp_connect
    (bsc#1012628).
  - iwlwifi: mvm: Don't call iwl_mvm_sta_from_mac80211() with NULL
    sta (bsc#1012628).
  - iwlwifi: mvm: don't iterate unadded vifs when handling FW SMPS
    req (bsc#1012628).
  - iwlwifi: mvm: align locking in D3 test debugfs (bsc#1012628).
  - iwlwifi: yoyo: remove DBGI_SRAM address reset writing
    (bsc#1012628).
  - iwlwifi: yoyo: Avoid using dram data if allocation failed
    (bsc#1012628).
  - iwlwifi: mvm: fix off by one in iwl_mvm_stat_iterator_all_macs()
    (bsc#1012628).
  - iwlwifi: Fix -EIO error code that is never returned
    (bsc#1012628).
  - iwlwifi: mvm: Fix an error code in iwl_mvm_up() (bsc#1012628).
  - mtd: rawnand: pl353: Set the nand chip node as the flash node
    (bsc#1012628).
  - drm/msm/dp: do not initialize phy until plugin interrupt
    received (bsc#1012628).
  - drm/msm/dp: populate connector of struct dp_panel (bsc#1012628).
  - drm/msm/dp: stop link training after link training 2 failed
    (bsc#1012628).
  - drm/msm/dp: always add fail-safe mode into connector mode list
    (bsc#1012628).
  - drm/msm/dsi: Use "ref" fw clock instead of global name for
    VCO parent (bsc#1012628).
  - drm/msm/dsi/phy: fix 7nm v4.0 settings for C-PHY mode
    (bsc#1012628).
  - drm/msm/dpu: add DSPP blocks teardown (bsc#1012628).
  - drm/msm/dpu: fix dp audio condition (bsc#1012628).
  - drm/msm/dpu: remove msm_dp cached in dpu_encoder_virt
    (bsc#1012628).
  - drm/msm/dp: fix panel bridge attachment (bsc#1012628).
  - i40e: remove dead stores on XSK hotpath (bsc#1012628).
  - ath11k: Invalidate cached reo ring entry before accessing it
    (bsc#1012628).
  - mips: Enable KCSAN (bsc#1012628).
  - dm crypt: fix get_key_size compiler warning if !CONFIG_KEYS
    (bsc#1012628).
  - vfio/pci: fix memory leak during D3hot to D0 transition
    (bsc#1012628).
  - vfio/pci: wake-up devices around reset functions (bsc#1012628).
  - scsi: fnic: Fix a tracing statement (bsc#1012628).
  - scsi: pm8001: Fix command initialization in
    pm80XX_send_read_log() (bsc#1012628).
  - scsi: pm8001: Fix command initialization in
    pm8001_chip_ssp_tm_req() (bsc#1012628).
  - scsi: pm8001: Fix payload initialization in
    pm80xx_set_thermal_config() (bsc#1012628).
  - scsi: pm8001: Fix le32 values handling in
    pm80xx_set_sas_protocol_timer_config() (bsc#1012628).
  - scsi: pm8001: Fix payload initialization in
    pm80xx_encrypt_update() (bsc#1012628).
  - scsi: pm8001: Fix le32 values handling in
    pm80xx_chip_ssp_io_req() (bsc#1012628).
  - scsi: pm8001: Fix le32 values handling in pm80xx_chip_sata_req()
    (bsc#1012628).
  - scsi: pm8001: Fix NCQ NON DATA command task initialization
    (bsc#1012628).
  - scsi: pm8001: Fix NCQ NON DATA command completion handling
    (bsc#1012628).
  - scsi: pm8001: Fix abort all task initialization (bsc#1012628).
  - mt76: do not always copy ethhdr in reverse_frag0_hdr_trans
    (bsc#1012628).
  - mt76: fix endianness errors in reverse_frag0_hdr_trans
    (bsc#1012628).
  - mt76: mt7921s: fix missing fc type/sub-type for 802.11 pkts
    (bsc#1012628).
  - net: dsa: realtek-smi: fix kdoc warnings (bsc#1012628).
  - net: dsa: realtek-smi: move to subdirectory (bsc#1012628).
  - RDMA/mlx5: Fix the flow of a miss in the allocation of a cache
    ODP MR (bsc#1012628).
  - drm/amd/display: Remove vupdate_int_entry definition
    (bsc#1012628).
  - TOMOYO: fix __setup handlers return values (bsc#1012628).
  - power: supply: sbs-charger: Don't cancel work that is not
    initialized (bsc#1012628).
  - mt76: mt7915: enlarge wcid size to 544 (bsc#1012628).
  - mt76: mt7915: fix the muru tlv issue (bsc#1012628).
  - drm/dp: Fix OOB read when handling Post Cursor2 register
    (bsc#1012628).
  - ext2: correct max file size computing (bsc#1012628).
  - drm/tegra: Fix reference leak in tegra_dsi_ganged_probe
    (bsc#1012628).
  - power: supply: bq24190_charger: Fix bq24190_vbus_is_enabled()
    wrong false return (bsc#1012628).
  - scsi: hisi_sas: Change permission of parameter prot_mask
    (bsc#1012628).
  - drm/bridge: cdns-dsi: Make sure to to create proper aliases
    for dt (bsc#1012628).
  - bpf, arm64: Call build_prologue() first in first JIT pass
    (bsc#1012628).
  - bpf, arm64: Feed byte-offset into bpf line info (bsc#1012628).
  - xsk: Fix race at socket teardown (bsc#1012628).
  - RDMA/irdma: Fix netdev notifications for vlan's (bsc#1012628).
  - RDMA/irdma: Fix Passthrough mode in VM (bsc#1012628).
  - RDMA/irdma: Remove incorrect masking of PD (bsc#1012628).
  - libbpf: Fix BPF_MAP_TYPE_PERF_EVENT_ARRAY auto-pinning
    (bsc#1012628).
  - gpu: host1x: Fix an error handling path in 'host1x_probe()'
    (bsc#1012628).
  - gpu: host1x: Fix a memory leak in 'host1x_remove()'
    (bsc#1012628).
  - libbpf: Skip forward declaration when counting duplicated type
    names (bsc#1012628).
  - powerpc/mm/numa: skip NUMA_NO_NODE onlining in
    parse_numa_properties() (bsc#1012628).
  - powerpc/Makefile: Don't pass -mcpu=powerpc64 when building
    32-bit (bsc#1012628).
  - KVM: x86: Fix emulation in writing cr8 (bsc#1012628).
  - KVM: x86/emulator: Defer not-present segment check in
    __load_segment_descriptor() (bsc#1012628).
  - KVM: SVM: Exit to userspace on ENOMEM/EFAULT GHCB errors
    (bsc#1012628).
  - hv_balloon: rate-limit "Unhandled message" warning
    (bsc#1012628).
  - KVM: arm64: Enable Cortex-A510 erratum 2077057 by default
    (bsc#1012628).
  - i2c: xiic: Make bus names unique (bsc#1012628).
  - net: phy: micrel: Fix concurrent register access (bsc#1012628).
  - Bluetooth: hci_sync: fix undefined return of
    hci_disconnect_all_sync() (bsc#1012628).
  - Bluetooth: Fix skb allocation in mgmt_remote_name() &
    mgmt_device_connected() (bsc#1012628).
  - power: supply: wm8350-power: Handle error for
    wm8350_register_irq (bsc#1012628).
  - power: supply: wm8350-power: Add missing free in
    free_charger_irq (bsc#1012628).
  - IB/hfi1: Allow larger MTU without AIP (bsc#1012628).
  - RDMA/core: Fix ib_qp_usecnt_dec() called when error
    (bsc#1012628).
  - PCI: Reduce warnings on possible RW1C corruption (bsc#1012628).
  - net: axienet: fix RX ring refill allocation failure handling
    (bsc#1012628).
  - drm/msm/a6xx: Fix missing ARRAY_SIZE() check (bsc#1012628).
  - mips: DEC: honor CONFIG_MIPS_FP_SUPPORT=n (bsc#1012628).
  - MIPS: Sanitise Cavium switch cases in TLB handler synthesizers
    (bsc#1012628).
  - powerpc/sysdev: fix incorrect use to determine if list is empty
    (bsc#1012628).
  - powerpc/64s: Don't use DSISR for SLB faults (bsc#1012628).
  - mfd: mc13xxx: Add check for mc13xxx_irq_request (bsc#1012628).
  - libbpf: Unmap rings when umem deleted (bsc#1012628).
  - selftests/bpf: Make test_lwt_ip_encap more stable and faster
    (bsc#1012628).
  - platform/x86: huawei-wmi: check the return value of
    device_create_file() (bsc#1012628).
  - scsi: mpt3sas: Fix incorrect 4GB boundary check (bsc#1012628).
  - powerpc: 8xx: fix a return value error in mpc8xx_pic_init
    (bsc#1012628).
  - xtensa: add missing XCHAL_HAVE_WINDOWED check (bsc#1012628).
  - iwlwifi: pcie: fix SW error MSI-X mapping (bsc#1012628).
  - vxcan: enable local echo for sent CAN frames (bsc#1012628).
  - ath10k: Fix error handling in ath10k_setup_msa_resources
    (bsc#1012628).
  - mips: cdmm: Fix refcount leak in mips_cdmm_phys_base
    (bsc#1012628).
  - MIPS: RB532: fix return value of __setup handler (bsc#1012628).
  - MIPS: pgalloc: fix memory leak caused by pgd_free()
    (bsc#1012628).
  - mtd: rawnand: atmel: fix refcount issue in
    atmel_nand_controller_init (bsc#1012628).
  - power: ab8500_chargalg: Use CLOCK_MONOTONIC (bsc#1012628).
  - RDMA/irdma: Prevent some integer underflows (bsc#1012628).
  - Revert "RDMA/core: Fix ib_qp_usecnt_dec() called when error"
    (bsc#1012628).
  - RDMA/mlx5: Fix memory leak in error flow for subscribe event
    routine (bsc#1012628).
  - bpf, sockmap: Fix memleak in sk_psock_queue_msg (bsc#1012628).
  - bpf, sockmap: Fix memleak in tcp_bpf_sendmsg while sk msg is
    full (bsc#1012628).
  - bpf, sockmap: Fix more uncharged while msg has more_data
    (bsc#1012628).
  - bpf, sockmap: Fix double uncharge the mem of sk_msg
    (bsc#1012628).
  - samples/bpf, xdpsock: Fix race when running for fix duration
    of time (bsc#1012628).
  - USB: storage: ums-realtek: fix error code in rts51x_read_mem()
    (bsc#1012628).
  - drm/amd/display: Fix double free during GPU reset on DC streams
    (bsc#1012628).
  - RDMA/rxe: Change variable and function argument to proper type
    (bsc#1012628).
  - RDMA/rxe: Fix ref error in rxe_av.c (bsc#1012628).
  - powerpc/xive: fix return value of __setup handler (bsc#1012628).
  - powerpc/time: Fix KVM host re-arming a timer beyond decrementer
    range (bsc#1012628).
  - drm/i915/display: Fix HPD short pulse handling for eDP
    (bsc#1012628).
  - drm/i915/display: Do not re-enable PSR after it was marked as
    not reliable (bsc#1012628).
  - netfilter: flowtable: Fix QinQ and pppoe support for inet table
    (bsc#1012628).
  - mt76: mt7921: fix mt7921_queues_acq implementation
    (bsc#1012628).
  - can: isotp: return -EADDRNOTAVAIL when reading from unbound
    socket (bsc#1012628).
  - can: isotp: support MSG_TRUNC flag when reading from socket
    (bsc#1012628).
  - bareudp: use ipv6_mod_enabled to check if IPv6 enabled
    (bsc#1012628).
  - PCI: imx6: Invoke the PHY exit function after PHY power off
    (bsc#1012628).
  - PCI: imx6: Assert i.MX8MM CLKREQ# even if no device present
    (bsc#1012628).
  - ibmvnic: fix race between xmit and reset (bsc#1012628).
  - af_unix: Fix some data-races around unix_sk(sk)->oob_skb
    (bsc#1012628).
  - selftests/bpf: Fix error reporting from sock_fields programs
    (bsc#1012628).
  - Bluetooth: hci_uart: add missing NULL check in h5_enqueue
    (bsc#1012628).
  - Bluetooth: call hci_le_conn_failed with hdev lock in
    hci_le_conn_failed (bsc#1012628).
  - Bluetooth: btmtksdio: Fix kernel oops in btmtksdio_interrupt
    (bsc#1012628).
  - RDMA/nldev: Prevent underflow in
    nldev_stat_set_counter_dynamic_doit() (bsc#1012628).
  - ipv4: Fix route lookups when handling ICMP redirects and PMTU
    updates (bsc#1012628).
  - mptcp: Fix crash due to tcp_tsorted_anchor was initialized
    before release skb (bsc#1012628).
  - af_netlink: Fix shift out of bounds in group mask calculation
    (bsc#1012628).
  - i2c: meson: Fix wrong speed use from probe (bsc#1012628).
  - netfilter: conntrack: Add and use
    nf_ct_set_auto_assign_helper_warned() (bsc#1012628).
  - i2c: mux: demux-pinctrl: do not deactivate a master that is
    not active (bsc#1012628).
  - powerpc/pseries: Fix use after free in remove_phb_dynamic()
    (bsc#1012628).
  - ax25: Fix refcount leaks caused by ax25_cb_del() (bsc#1012628).
  - ax25: Fix NULL pointer dereferences in ax25 timers
    (bsc#1012628).
  - drm/i915: Fix renamed struct field (bsc#1012628).
  - selftests/bpf/test_lirc_mode2.sh: Exit with proper code
    (bsc#1012628).
  - bpftool: Fix print error when show bpf map (bsc#1012628).
  - PCI: Avoid broken MSI on SB600 USB devices (bsc#1012628).
  - net: bcmgenet: Use stronger register read/writes to assure
    ordering (bsc#1012628).
  - tcp: ensure PMTU updates are processed during fastopen
    (bsc#1012628).
  - openvswitch: always update flow key after nat (bsc#1012628).
  - net: dsa: fix panic on shutdown if multi-chip tree failed to
    probe (bsc#1012628).
  - net: wwan: qcom_bam_dmux: fix wrong pointer passed to IS_ERR()
    (bsc#1012628).
  - tipc: fix the timer expires after interval 100ms (bsc#1012628).
  - mfd: asic3: Add missing iounmap() on error asic3_mfd_probe
    (bsc#1012628).
  - ice: fix 'scheduling while atomic' on aux critical err interrupt
    (bsc#1012628).
  - ice: don't allow to run ice_send_event_to_aux() in atomic ctx
    (bsc#1012628).
  - drivers: ethernet: cpsw: fix panic when interrupt coaleceing
    is set via ethtool (bsc#1012628).
  - kernel/resource: fix kfree() of bootmem memory again
    (bsc#1012628).
  - clk: renesas: r9a07g044: Update multiplier and divider values
    for PLL2/3 (bsc#1012628).
  - staging: r8188eu: release_firmware is not called if allocation
    fails (bsc#1012628).
  - mxser: fix xmit_buf leak in activate when LSR == 0xff
    (bsc#1012628).
  - fsi: scom: Fix error handling (bsc#1012628).
  - fsi: scom: Remove retries in indirect scoms (bsc#1012628).
  - pwm: lpc18xx-sct: Initialize driver data and hardware before
    pwmchip_add() (bsc#1012628).
  - pps: clients: gpio: Propagate return value from pps_gpio_probe
    (bsc#1012628).
  - fsi: Aspeed: Fix a potential double free (bsc#1012628).
  - misc: alcor_pci: Fix an error handling path (bsc#1012628).
  - cpufreq: qcom-cpufreq-nvmem: fix reading of PVS Valid fuse
    (bsc#1012628).
  - soundwire: intel: fix wrong register name in intel_shim_wake
    (bsc#1012628).
  - clk: qcom: ipq8074: fix PCI-E clock oops (bsc#1012628).
  - dmaengine: idxd: restore traffic class defaults after wq reset
    (bsc#1012628).
  - iio: mma8452: Fix probe failing when an i2c_device_id is used
    (bsc#1012628).
  - staging: qlge: add unregister_netdev in qlge_probe
    (bsc#1012628).
  - serial: 8250_aspeed_vuart: add PORT_ASPEED_VUART port type
    (bsc#1012628).
  - staging:iio:adc:ad7280a: Fix handing of device address bit
    reversing (bsc#1012628).
  - clk: renesas: r8a779f0: Fix RSW2 clock divider (bsc#1012628).
  - pinctrl: renesas: r8a77470: Reduce size for narrow VIN1 channel
    (bsc#1012628).
  - pinctrl: renesas: checker: Fix miscalculation of number of
    states (bsc#1012628).
  - clk: qcom: ipq8074: Use floor ops for SDCC1 clock (bsc#1012628).
  - phy: dphy: Correct lpx parameter and its
    derivatives(ta_{get,go,sure}) (bsc#1012628).
  - phy: phy-brcm-usb: fixup BCM4908 support (bsc#1012628).
  - serial: 8250_mid: Balance reference count for PCI DMA device
    (bsc#1012628).
  - serial: 8250_lpss: Balance reference count for PCI DMA device
    (bsc#1012628).
  - NFS: Use of mapping_set_error() results in spurious errors
    (bsc#1012628).
  - serial: 8250: Fix race condition in RTS-after-send handling
    (bsc#1012628).
  - iio: adc: Add check for devm_request_threaded_irq (bsc#1012628).
  - habanalabs: Add check for pci_enable_device (bsc#1012628).
  - NFS: Return valid errors from nfs2/3_decode_dirent()
    (bsc#1012628).
  - staging: r8188eu: fix endless loop in recv_func (bsc#1012628).
  - dma-debug: fix return value of __setup handlers (bsc#1012628).
  - clk: imx7d: Remove audio_mclk_root_clk (bsc#1012628).
  - clk: imx: off by one in imx_lpcg_parse_clks_from_dt()
    (bsc#1012628).
  - clk: at91: sama7g5: fix parents of PDMCs' GCLK (bsc#1012628).
  - clk: qcom: clk-rcg2: Update logic to calculate D value for RCG
    (bsc#1012628).
  - clk: qcom: clk-rcg2: Update the frac table for pixel clock
    (bsc#1012628).
  - clk: starfive: jh7100: Don't round divisor up twice
    (bsc#1012628).
  - clk: starfive: jh7100: Handle audio_div clock properly
    (bsc#1012628).
  - dmaengine: hisi_dma: fix MSI allocate fail when reload hisi_dma
    (bsc#1012628).
  - remoteproc: qcom: Fix missing of_node_put in
    adsp_alloc_memory_region (bsc#1012628).
  - remoteproc: qcom_wcnss: Add missing of_node_put() in
    wcnss_alloc_memory_region (bsc#1012628).
  - remoteproc: qcom_q6v5_mss: Fix some leaks in
    q6v5_alloc_memory_region (bsc#1012628).
  - nvdimm/region: Fix default alignment for small regions
    (bsc#1012628).
  - clk: actions: Terminate clk_div_table with sentinel element
    (bsc#1012628).
  - clk: loongson1: Terminate clk_div_table with sentinel element
    (bsc#1012628).
  - clk: hisilicon: Terminate clk_div_table with sentinel element
    (bsc#1012628).
  - clk: clps711x: Terminate clk_div_table with sentinel element
    (bsc#1012628).
  - clk: Fix clk_hw_get_clk() when dev is NULL (bsc#1012628).
  - clk: tegra: tegra124-emc: Fix missing put_device() call in
    emc_ensure_emc_driver (bsc#1012628).
  - mailbox: imx: fix crash in resume on i.mx8ulp (bsc#1012628).
  - NFS: remove unneeded check in decode_devicenotify_args()
    (bsc#1012628).
  - staging: mt7621-dts: fix LEDs and pinctrl on GB-PC1 devicetree
    (bsc#1012628).
  - staging: mt7621-dts: fix formatting (bsc#1012628).
  - staging: mt7621-dts: fix pinctrl properties for ethernet
    (bsc#1012628).
  - staging: mt7621-dts: fix GB-PC2 devicetree (bsc#1012628).
  - pinctrl: ocelot: fix confops resource index (bsc#1012628).
  - pinctrl: ocelot: fix duplicate debugfs entry (bsc#1012628).
  - pinctrl: mediatek: Fix missing of_node_put() in mtk_pctrl_init
    (bsc#1012628).
  - pinctrl: mediatek: paris: Fix PIN_CONFIG_BIAS_* readback
    (bsc#1012628).
  - pinctrl: mediatek: paris: Fix "argument" argument type for
    mtk_pinconf_get() (bsc#1012628).
  - pinctrl: mediatek: paris: Fix pingroup pin config state readback
    (bsc#1012628).
  - pinctrl: mediatek: paris: Skip custom extra pin config dump
    for virtual GPIOs (bsc#1012628).
  - pinctrl: ocelot: Fix interrupt parsing (bsc#1012628).
  - pinctrl: microchip-sgpio: lock RMW access (bsc#1012628).
  - pinctrl: nomadik: Add missing of_node_put() in nmk_pinctrl_probe
    (bsc#1012628).
  - pinctrl/rockchip: Add missing of_node_put() in
    rockchip_pinctrl_probe (bsc#1012628).
  - clk: visconti: prevent array overflow in
    visconti_clk_register_gates() (bsc#1012628).
  - tty: hvc: fix return value of __setup handler (bsc#1012628).
  - kgdboc: fix return value of __setup handler (bsc#1012628).
  - serial: 8250: fix XOFF/XON sending when DMA is used
    (bsc#1012628).
  - virt: acrn: obtain pa from VMA with PFNMAP flag (bsc#1012628).
  - virt: acrn: fix a memory leak in acrn_dev_ioctl() (bsc#1012628).
  - kgdbts: fix return value of __setup handler (bsc#1012628).
  - firmware: google: Properly state IOMEM dependency (bsc#1012628).
  - driver core: dd: fix return value of __setup handler
    (bsc#1012628).
  - perf test arm64: Test unwinding using fame-pointer (fp) mode
    (bsc#1012628).
  - jfs: fix divide error in dbNextAG (bsc#1012628).
  - SUNRPC/call_alloc: async tasks mustn't block waiting for memory
    (bsc#1012628).
  - SUNRPC: improve 'swap' handling: scheduling and PF_MEMALLOC
    (bsc#1012628).
  - SUNRPC: Don't call connect() more than once on a TCP socket
    (bsc#1012628).
  - perf parse-events: Move slots only with topdown (bsc#1012628).
  - netfilter: egress: Report interface as outgoing (bsc#1012628).
  - netfilter: nf_conntrack_tcp: preserve liberal flag in tcp
    options (bsc#1012628).
  - SUNRPC don't resend a task on an offlined transport
    (bsc#1012628).
  - NFSv4.1: don't retry BIND_CONN_TO_SESSION on session error
    (bsc#1012628).
  - kdb: Fix the putarea helper function (bsc#1012628).
  - perf stat: Fix forked applications enablement of counters
    (bsc#1012628).
  - net: stmmac: dwmac-qcom-ethqos: Enable RGMII functional clock
    on resume (bsc#1012628).
  - clk: qcom: gcc-msm8994: Fix gpll4 width (bsc#1012628).
  - vsock/virtio: initialize vdev->priv before using VQs
    (bsc#1012628).
  - vsock/virtio: read the negotiated features before using VQs
    (bsc#1012628).
  - vsock/virtio: enable VQs early on probe (bsc#1012628).
  - clk: Initialize orphan req_rate (bsc#1012628).
  - xen: fix is_xen_pmu() (bsc#1012628).
  - net: enetc: report software timestamping via SO_TIMESTAMPING
    (bsc#1012628).
  - net: hns3: fix bug when PF set the duplicate MAC address for
    VFs (bsc#1012628).
  - net: hns3: fix port base vlan add fail when concurrent with
    reset (bsc#1012628).
  - net: hns3: add vlan list lock to protect vlan list
    (bsc#1012628).
  - net: hns3: refine the process when PF set VF VLAN (bsc#1012628).
  - net: phy: broadcom: Fix brcm_fet_config_init() (bsc#1012628).
  - selftests: test_vxlan_under_vrf: Fix broken test case
    (bsc#1012628).
  - NFS: Don't loop forever in nfs_do_recoalesce() (bsc#1012628).
  - libperf tests: Fix typo in perf_evlist__open() failure error
    messages (bsc#1012628).
  - net: hns3: fix ethtool tx copybreak buf size indicating not
    aligned issue (bsc#1012628).
  - net: hns3: add max order judgement for tx spare buffer
    (bsc#1012628).
  - net: hns3: clean residual vf config after disable sriov
    (bsc#1012628).
  - net: hns3: add netdev reset check for hns3_set_tunable()
    (bsc#1012628).
  - net: hns3: add NULL pointer check for hns3_set/get_ringparam()
    (bsc#1012628).
  - net: hns3: fix phy can not link up when autoneg off and reset
    (bsc#1012628).
  - net: sparx5: depends on PTP_1588_CLOCK_OPTIONAL (bsc#1012628).
  - qlcnic: dcb: default to returning -EOPNOTSUPP (bsc#1012628).
  - net/x25: Fix null-ptr-deref caused by x25_disconnect
    (bsc#1012628).
  - net: sparx5: switchdev: fix possible NULL pointer dereference
    (bsc#1012628).
  - octeontx2-af: initialize action variable (bsc#1012628).
  - selftests: tls: skip cmsg_to_pipe tests with TLS=n
    (bsc#1012628).
  - net/sched: act_ct: fix ref leak when switching zones
    (bsc#1012628).
  - NFSv4/pNFS: Fix another issue with a list iterator pointing
    to the head (bsc#1012628).
  - net: dsa: bcm_sf2_cfp: fix an incorrect NULL check on list
    iterator (bsc#1012628).
  - fs: fd tables have to be multiples of BITS_PER_LONG
    (bsc#1012628).
  - lib/test: use after free in register_test_dev_kmod()
    (bsc#1012628).
  - fs: fix fd table size alignment properly (bsc#1012628).
  - LSM: general protection fault in legacy_parse_param
    (bsc#1012628).
  - regulator: rpi-panel: Handle I2C errors/timing to the Atmel
    (bsc#1012628).
  - crypto: hisilicon/qm - cleanup warning in qm_vf_read_qos
    (bsc#1012628).
  - crypto: octeontx2 - CN10K CPT to RNM workaround (bsc#1012628).
  - gcc-plugins/stackleak: Exactly match strings instead of prefixes
    (bsc#1012628).
  - rcu: Kill rnp->ofl_seq and use only rcu_state.ofl_lock for
    exclusion (bsc#1012628).
  - pinctrl: npcm: Fix broken references to chip->parent_device
    (bsc#1012628).
  - rcu: Mark writes to the rcu_segcblist structure's ->flags field
    (bsc#1012628).
  - block: throttle split bio in case of iops limit (bsc#1012628).
  - memstick/mspro_block: fix handling of read-only devices
    (bsc#1012628).
  - block/bfq_wf2q: correct weight to ioprio (bsc#1012628).
  - crypto: xts - Add softdep on ecb (bsc#1012628).
  - crypto: hisilicon/sec - not need to enable sm4 extra mode at
    HW V3 (bsc#1012628).
  - block, bfq: don't move oom_bfqq (bsc#1012628).
  - selinux: use correct type for context length (bsc#1012628).
  - powercap/dtpm_cpu: Reset per_cpu variable in the release
    function (bsc#1012628).
  - arm64: module: remove (NOLOAD) from linker script (bsc#1012628).
  - selinux: allow FIOCLEX and FIONCLEX with policy capability
    (bsc#1012628).
  - loop: use sysfs_emit() in the sysfs xxx show() (bsc#1012628).
  - Fix incorrect type in assignment of ipv6 port for audit
    (bsc#1012628).
  - irqchip/qcom-pdc: Fix broken locking (bsc#1012628).
  - irqchip/nvic: Release nvic_base upon failure (bsc#1012628).
  - fs/binfmt_elf: Fix AT_PHDR for unusual ELF files (bsc#1012628).
  - hwrng: cavium - fix NULL but dereferenced coccicheck error
    (bsc#1012628).
  - bfq: fix use-after-free in bfq_dispatch_request (bsc#1012628).
  - ACPICA: Avoid walking the ACPI Namespace if it is not there
    (bsc#1012628).
  - ACPI / x86: Add skip i2c clients quirk for Nextbook Ares 8
    (bsc#1012628).
  - ACPI / x86: Add skip i2c clients quirk for Lenovo Yoga Tablet
    1050F/L (bsc#1012628).
  - lib/raid6/test/Makefile: Use $(pound) instead of \# for Make
    4.3 (bsc#1012628).
  - Revert "Revert "block, bfq: honor already-setup queue merges""
    (bsc#1012628).
  - ACPI/APEI: Limit printable size of BERT table data
    (bsc#1012628).
  - PM: core: keep irq flags in device_pm_check_callbacks()
    (bsc#1012628).
  - parisc: Fix non-access data TLB cache flush faults
    (bsc#1012628).
  - parisc: Fix handling off probe non-access faults (bsc#1012628).
  - nvme-tcp: lockdep: annotate in-kernel sockets (bsc#1012628).
  - spi: tegra20: Use of_device_get_match_data() (bsc#1012628).
  - spi: fsi: Implement a timeout for polling status (bsc#1012628).
  - atomics: Fix atomic64_{read_acquire,set_release} fallbacks
    (bsc#1012628).
  - locking/lockdep: Iterate lock_classes directly when reading
    lockdep files (bsc#1012628).
  - ext4: correct cluster len and clusters changed accounting in
    ext4_mb_mark_bb (bsc#1012628).
  - ext4: fix ext4_mb_mark_bb() with flex_bg with fast_commit
    (bsc#1012628).
  - sched/tracing: Report TASK_RTLOCK_WAIT tasks as
    TASK_UNINTERRUPTIBLE (bsc#1012628).
  - ext4: don't BUG if someone dirty pages without asking ext4 first
    (bsc#1012628).
  - f2fs: fix to do sanity check on curseg->alloc_type
    (bsc#1012628).
  - NFSD: Fix nfsd_breaker_owns_lease() return values (bsc#1012628).
  - f2fs: don't get FREEZE lock in f2fs_evict_inode in frozen fs
    (bsc#1012628).
  - btrfs: harden identification of a stale device (bsc#1012628).
  - btrfs: make search_csum_tree return 0 if we get -EFBIG
    (bsc#1012628).
  - btrfs: handle csum lookup errors properly on reads
    (bsc#1012628).
  - btrfs: do not double complete bio on errors during compressed
    reads (bsc#1012628).
  - btrfs: do not clean up repair bio if submit fails (bsc#1012628).
  - f2fs: use spin_lock to avoid hang (bsc#1012628).
  - f2fs: compress: fix to print raw data size in error path of
    lz4 decompression (bsc#1012628).
  - Adjust cifssb maximum read size (bsc#1012628).
  - ntfs: add sanity check on allocation size (bsc#1012628).
  - media: staging: media: zoran: move videodev alloc (bsc#1012628).
  - media: staging: media: zoran: calculate the right buffer number
    for zoran_reap_stat_com (bsc#1012628).
  - media: staging: media: zoran: fix various V4L2 compliance errors
    (bsc#1012628).
  - media: atmel: atmel-isc-base: report frame sizes as full
    supported range (bsc#1012628).
  - media: ir_toy: free before error exiting (bsc#1012628).
  - ASoC: sh: rz-ssi: Make the data structures available before
    registering the handlers (bsc#1012628).
  - ASoC: cs42l42: Report full jack status when plug is detected
    (bsc#1012628).
  - ASoC: SOF: Intel: match sdw version on link_slaves_found
    (bsc#1012628).
  - media: imx-jpeg: Prevent decoding NV12M jpegs into single-planar
    buffers (bsc#1012628).
  - ASoC: SOF: Intel: hda: Remove link assignment limitation
    (bsc#1012628).
  - media: iommu/mediatek-v1: Free the existed fwspec if the master
    dev already has (bsc#1012628).
  - media: iommu/mediatek: Return ENODEV if the device is NULL
    (bsc#1012628).
  - media: iommu/mediatek: Add device_link between the consumer
    and the larb devices (bsc#1012628).
  - video: fbdev: nvidiafb: Use strscpy() to prevent buffer overflow
    (bsc#1012628).
  - video: fbdev: w100fb: Reset global state (bsc#1012628).
  - video: fbdev: cirrusfb: check pixclock to avoid divide by zero
    (bsc#1012628).
  - video: fbdev: omapfb: acx565akm: replace snprintf with
    sysfs_emit (bsc#1012628).
  - ARM: dts: qcom: fix gic_irq_domain_translate warnings for
    msm8960 (bsc#1012628).
  - ARM: dts: bcm2837: Add the missing L1/L2 cache information
    (bsc#1012628).
  - ASoC: madera: Add dependencies on MFD (bsc#1012628).
  - media: atomisp_gmin_platform: Add DMI quirk to not turn AXP
    ELDO2 regulator off on some boards (bsc#1012628).
  - media: atomisp: fix dummy_ptr check to avoid duplicate active_bo
    (bsc#1012628).
  - ARM: ftrace: avoid redundant loads or clobbering IP
    (bsc#1012628).
  - ALSA: hda: Fix driver index handling at re-binding
    (bsc#1012628).
  - ARM: dts: imx7: Use audio_mclk_post_div instead
    audio_mclk_root_clk (bsc#1012628).
  - arm64: defconfig: build imx-sdma as a module (bsc#1012628).
  - video: fbdev: omapfb: panel-dsi-cm: Use sysfs_emit() instead
    of snprintf() (bsc#1012628).
  - video: fbdev: omapfb: panel-tpo-td043mtea1: Use sysfs_emit()
    instead of snprintf() (bsc#1012628).
  - video: fbdev: udlfb: replace snprintf in show functions with
    sysfs_emit (bsc#1012628).
  - ARM: dts: bcm2711: Add the missing L1/L2 cache information
    (bsc#1012628).
  - ASoC: soc-core: skip zero num_dai component in searching dai
    name (bsc#1012628).
  - ASoC: Intel: sof_es8336: add quirk for Huawei D15 2021
    (bsc#1012628).
  - media: imx-jpeg: fix a bug of accessing array out of bounds
    (bsc#1012628).
  - media: cx88-mpeg: clear interrupt status register before
    streaming video (bsc#1012628).
  - ASoC: rt5682s: Fix the wrong jack type detected (bsc#1012628).
  - ARM: tegra: transformer: Drop reg-shift for Tegra HS UART
    (bsc#1012628).
  - uaccess: fix type mismatch warnings from access_ok()
    (bsc#1012628).
  - lib/test_lockup: fix kernel pointer check for separate address
    spaces (bsc#1012628).
  - ARM: tegra: tamonten: Fix I2C3 pad setting (bsc#1012628).
  - ARM: mmp: Fix failure to remove sram device (bsc#1012628).
  - ASoC: amd: vg: fix for pm resume callback sequence
    (bsc#1012628).
  - ASoC: amd: vangogh: fix uninitialized symbol warning in machine
    driver (bsc#1012628).
  - video: fbdev: sm712fb: Fix crash in smtcfb_write()
    (bsc#1012628).
  - media: i2c: ov5648: Fix lockdep error (bsc#1012628).
  - media: Revert "media: em28xx: add missing
    em28xx_close_extension" (bsc#1012628).
  - media: hdpvr: initialize dev->worker at hdpvr_register_videodev
    (bsc#1012628).
  - ASoC: SOF: debug: clarify operator precedence (bsc#1012628).
  - ASoC: Intel: sof_sdw: fix quirks for 2022 HP Spectre x360 13"
    (bsc#1012628).
  - ASoC: SOF: Intel: hda: retrieve DMIC number for I2S boards
    (bsc#1012628).
  - ALSA: intel-nhlt: add helper to detect SSP link mask
    (bsc#1012628).
  - ALSA: intel-dsp-config: add more ACPI HIDs for ES83x6 devices
    (bsc#1012628).
  - ASoC: Intel: soc-acpi: add more ACPI HIDs for ES83x6 devices
    (bsc#1012628).
  - ALSA: intel-dspconfig: add ES8336 support for CNL (bsc#1012628).
  - ASoC: Intel: Revert "ASoC: Intel: sof_es8336: add quirk for
    Huawei D15 2021" (bsc#1012628).
  - ASoC: Intel: sof_es8336: log all quirks (bsc#1012628).
  - tracing: Have TRACE_DEFINE_ENUM affect trace event types as well
    (bsc#1012628).
  - mmc: host: Return an error when ->enable_sdio_irq() ops is
    missing (bsc#1012628).
  - ASoC: mediatek: Fix error handling in
    mt8183_da7219_max98357_dev_probe (bsc#1012628).
  - media: atomisp: fix bad usage at error handling logic
    (bsc#1012628).
  - ALSA: hda/realtek: Add alc256-samsung-headphone fixup
    (bsc#1012628).
  - KVM: SVM: Allow AVIC support on system w/ physical APIC ID >
    255 (bsc#1012628).
  - KVM: x86: Reinitialize context if host userspace toggles
    EFER.LME (bsc#1012628).
  - KVM: x86/mmu: Use common TDP MMU zap helper for MMU notifier
    unmap hook (bsc#1012628).
  - KVM: x86/mmu: Move "invalid" check out of kvm_tdp_mmu_get_root()
    (bsc#1012628).
  - KVM: x86/mmu: Zap _all_ roots when unmapping gfn range in TDP
    MMU (bsc#1012628).
  - KVM: x86/mmu: Check for present SPTE when clearing dirty bit
    in TDP MMU (bsc#1012628).
  - KVM: x86: hyper-v: Drop redundant 'ex' parameter from
    kvm_hv_send_ipi() (bsc#1012628).
  - KVM: x86: hyper-v: Drop redundant 'ex' parameter from
    kvm_hv_flush_tlb() (bsc#1012628).
  - KVM: x86: hyper-v: Fix the maximum number of sparse banks for
    XMM fast TLB flush hypercalls (bsc#1012628).
  - KVM: x86: hyper-v: HVCALL_SEND_IPI_EX is an XMM fast hypercall
    (bsc#1012628).
  - powerpc/kasan: Fix early region not updated correctly
    (bsc#1012628).
  - powerpc/tm: Fix more userspace r13 corruption (bsc#1012628).
  - powerpc/lib/sstep: Fix 'sthcx' instruction (bsc#1012628).
  - powerpc/lib/sstep: Fix build errors with newer binutils
    (bsc#1012628).
  - powerpc: Add set_memory_{p/np}() and remove set_memory_attr()
    (bsc#1012628).
  - powerpc: Fix build errors with newer binutils (bsc#1012628).
  - drm/dp: Fix off-by-one in register cache size (bsc#1012628).
  - drm/i915: Treat SAGV block time 0 as SAGV disabled
    (bsc#1012628).
  - drm/i915: Fix PSF GV point mask when SAGV is not possible
    (bsc#1012628).
  - drm/i915: Reject unsupported TMDS rates on ICL+ (bsc#1012628).
  - scsi: qla2xxx: Refactor asynchronous command initialization
    (bsc#1012628).
  - scsi: qla2xxx: Implement ref count for SRB (bsc#1012628).
  - scsi: qla2xxx: Fix stuck session in gpdb (bsc#1012628).
  - scsi: qla2xxx: Fix warning message due to adisc being flushed
    (bsc#1012628).
  - scsi: qla2xxx: Fix scheduling while atomic (bsc#1012628).
  - scsi: qla2xxx: Fix premature hw access after PCI error
    (bsc#1012628).
  - scsi: qla2xxx: Fix wrong FDMI data for 64G adapter
    (bsc#1012628).
  - scsi: qla2xxx: Fix warning for missing error code (bsc#1012628).
  - scsi: qla2xxx: Fix device reconnect in loop topology
    (bsc#1012628).
  - scsi: qla2xxx: edif: Fix clang warning (bsc#1012628).
  - scsi: qla2xxx: Fix T10 PI tag escape and IP guard options for
    28XX adapters (bsc#1012628).
  - scsi: qla2xxx: Add devids and conditionals for 28xx
    (bsc#1012628).
  - scsi: qla2xxx: Check for firmware dump already collected
    (bsc#1012628).
  - scsi: qla2xxx: Suppress a kernel complaint in qla_create_qpair()
    (bsc#1012628).
  - scsi: qla2xxx: Fix disk failure to rediscover (bsc#1012628).
  - scsi: qla2xxx: Fix incorrect reporting of task management
    failure (bsc#1012628).
  - scsi: qla2xxx: Fix hang due to session stuck (bsc#1012628).
  - scsi: qla2xxx: Fix laggy FC remote port session recovery
    (bsc#1012628).
  - scsi: qla2xxx: Fix missed DMA unmap for NVMe ls requests
    (bsc#1012628).
  - scsi: qla2xxx: Fix crash during module load unload test
    (bsc#1012628).
  - scsi: qla2xxx: Fix N2N inconsistent PLOGI (bsc#1012628).
  - scsi: qla2xxx: Fix stuck session of PRLI reject (bsc#1012628).
  - scsi: qla2xxx: Reduce false trigger to login (bsc#1012628).
  - scsi: qla2xxx: Use correct feature type field during RFF_ID
    processing (bsc#1012628).
  - platform: chrome: Split trace include file (bsc#1012628).
  - MIPS: crypto: Fix CRC32 code (bsc#1012628).
  - KVM: x86: Check lapic_in_kernel() before attempting to set a
    SynIC irq (bsc#1012628).
  - KVM: x86: Avoid theoretical NULL pointer dereference in
    kvm_irq_delivery_to_apic_fast() (bsc#1012628).
  - KVM: x86: Forbid VMM to set SYNIC/STIMER MSRs when SynIC wasn't
    activated (bsc#1012628).
  - KVM: x86/mmu: do compare-and-exchange of gPTE via the user
    address (bsc#1012628).
  - KVM: Prevent module exit until all VMs are freed (bsc#1012628).
  - KVM: x86: fix sending PV IPI (bsc#1012628).
  - KVM: SVM: fix panic on out-of-bounds guest IRQ (bsc#1012628).
  - KVM: avoid double put_page with gfn-to-pfn cache (bsc#1012628).
  - ubifs: rename_whiteout: Fix double free for whiteout_ui->data
    (bsc#1012628).
  - ubifs: Fix deadlock in concurrent rename whiteout and inode
    writeback (bsc#1012628).
  - ubifs: Add missing iput if do_tmpfile() failed in rename
    whiteout (bsc#1012628).
  - ubifs: Rename whiteout atomically (bsc#1012628).
  - ubifs: Fix 'ui->dirty' race between do_tmpfile() and writeback
    work (bsc#1012628).
  - ubifs: Rectify space amount budget for mkdir/tmpfile operations
    (bsc#1012628).
  - ubifs: setflags: Make dirtied_ino_d 8 bytes aligned
    (bsc#1012628).
  - ubifs: Fix read out-of-bounds in ubifs_wbuf_write_nolock()
    (bsc#1012628).
  - ubifs: Fix to add refcount once page is set private
    (bsc#1012628).
  - ubifs: rename_whiteout: correct old_dir size computing
    (bsc#1012628).
  - nvme: allow duplicate NSIDs for private namespaces
    (bsc#1012628).
  - nvme: fix the read-only state for zoned namespaces with
    unsupposed features (bsc#1012628).
  - wireguard: queueing: use CFI-safe ptr_ring cleanup function
    (bsc#1012628).
  - wireguard: socket: free skb in send6 when ipv6 is disabled
    (bsc#1012628).
  - wireguard: socket: ignore v6 endpoints when ipv6 is disabled
    (bsc#1012628).
  - XArray: Fix xas_create_range() when multi-order entry present
    (bsc#1012628).
  - can: mcba_usb: properly check endpoint type (bsc#1012628).
  - can: mcp251xfd: mcp251xfd_register_get_dev_id(): fix return
    of error value (bsc#1012628).
  - XArray: Include bitmap.h from xarray.h (bsc#1012628).
  - XArray: Update the LRU list in xas_split() (bsc#1012628).
  - modpost: restore the warning message for missing symbol versions
    (bsc#1012628).
  - rtc: gamecube: Fix refcount leak in
    gamecube_rtc_read_offset_from_sram (bsc#1012628).
  - rtc: check if __rtc_read_time was successful (bsc#1012628).
  - loop: fix ioctl calls using compat_loop_info (bsc#1012628).
  - gfs2: gfs2_setattr_size error path fix (bsc#1012628).
  - gfs2: Fix gfs2_file_buffered_write endless loop workaround
    (bsc#1012628).
  - gfs2: Make sure FITRIM minlen is rounded up to fs block size
    (bsc#1012628).
  - net: hns3: fix the concurrency between functions reading debugfs
    (bsc#1012628).
  - net: hns3: fix software vlan talbe of vlan 0 inconsistent with
    hardware (bsc#1012628).
  - rxrpc: fix some null-ptr-deref bugs in server_key.c
    (bsc#1012628).
  - rxrpc: Fix call timer start racing with call destruction
    (bsc#1012628).
  - mailbox: imx: fix wakeup failure from freeze mode (bsc#1012628).
  - crypto: x86/poly1305 - Fixup SLS (bsc#1012628).
  - crypto: arm/aes-neonbs-cbc - Select generic cbc and aes
    (bsc#1012628).
  - watch_queue: Free the page array when watch_queue is dismantled
    (bsc#1012628).
  - pinctrl: pinconf-generic: Print arguments for bias-pull-*
    (bsc#1012628).
  - watchdog: rti-wdt: Add missing pm_runtime_disable() in probe
    function (bsc#1012628).
  - net: sparx5: uses, depends on BRIDGE or !BRIDGE (bsc#1012628).
  - pinctrl: nuvoton: npcm7xx: Rename DS() macro to DSTR()
    (bsc#1012628).
  - pinctrl: nuvoton: npcm7xx: Use %zu printk format for
    ARRAY_SIZE() (bsc#1012628).
  - ASoC: rockchip: i2s_tdm: Fixup config for SND_SOC_DAIFMT_DSP_A/B
    (bsc#1012628).
  - ASoC: mediatek: mt6358: add missing EXPORT_SYMBOLs
    (bsc#1012628).
  - ubi: Fix race condition between ctrl_cdev_ioctl and
    ubi_cdev_ioctl (bsc#1012628).
  - ARM: iop32x: offset IRQ numbers by 1 (bsc#1012628).
  - block: Fix the maximum minor value is blk_alloc_ext_minor()
    (bsc#1012628).
  - Revert "virtio-pci: harden INTX interrupts" (bsc#1012628).
  - Revert "virtio_pci: harden MSI-X interrupts" (bsc#1012628).
  - virtio: use virtio_device_ready() in virtio_device_restore()
    (bsc#1012628).
  - io_uring: remove poll entry from list when canceling all
    (bsc#1012628).
  - io_uring: bump poll refs to full 31-bits (bsc#1012628).
  - io_uring: fix memory leak of uid in files registration
    (bsc#1012628).
  - riscv module: remove (NOLOAD) (bsc#1012628).
  - ACPI: CPPC: Avoid out of bounds access when parsing _CPC data
    (bsc#1012628).
  - vhost: handle error while adding split ranges to iotlb
    (bsc#1012628).
  - spi: Fix Tegra QSPI example (bsc#1012628).
  - platform/chrome: cros_ec_typec: Check for EC device
    (bsc#1012628).
  - platform/x86: asus-wmi: Fix regression when probing for fan
    curve control (bsc#1012628).
  - can: isotp: restore accidentally removed MSG_PEEK feature
    (bsc#1012628).
  - proc: bootconfig: Add null pointer check (bsc#1012628).
  - x86/fpu/xstate: Fix the ARCH_REQ_XCOMP_PERM implementation
    (bsc#1012628).
  - drm/connector: Fix typo in documentation (bsc#1012628).
  - scsi: qla2xxx: Add qla2x00_async_done() for async routines
    (bsc#1012628).
  - staging: mt7621-dts: fix pinctrl-0 items to be size-1 items
    on ethernet (bsc#1012628).
  - docs: fix 'make htmldocs' warning in SCTP.rst (bsc#1012628).
  - arm64: mm: Drop 'const' from conditional arm64_dma_phys_limit
    definition (bsc#1012628).
  - ASoC: soc-compress: Change the check for codec_dai
    (bsc#1012628).
  - KVM: x86: SVM: fix avic spec based definitions again
    (bsc#1012628).
  - ax25: fix UAF bug in ax25_send_control() (bsc#1012628).
  - Reinstate some of "swiotlb: rework "fix info leak with
    DMA_FROM_DEVICE"" (bsc#1012628).
  - tracing: Have type enum modifications copy the strings
    (bsc#1012628).
  - mips: Enable KCSAN - take 2 (bsc#1012628).
  - net: add skb_set_end_offset() helper (bsc#1012628).
  - mm/mmap: return 1 from stack_guard_gap __setup() handler
    (bsc#1012628).
  - ARM: 9187/1: JIVE: fix return value of __setup handler
    (bsc#1012628).
  - mm/memcontrol: return 1 from cgroup.memory __setup() handler
    (bsc#1012628).
  - mm/usercopy: return 1 from hardened_usercopy __setup() handler
    (bsc#1012628).
  - af_unix: Support POLLPRI for OOB (bsc#1012628).
  - libbpf: Define BTF_KIND_* constants in btf.h to avoid
    compilation errors (bsc#1012628).
  - bpf: Adjust BPF stack helper functions to accommodate skip >
    0 (bsc#1012628).
  - bpf: Fix comment for helper bpf_current_task_under_cgroup()
    (bsc#1012628).
  - nbd: fix possible overflow on 'first_minor' in nbd_dev_add()
    (bsc#1012628).
  - mmc: rtsx: Use pm_runtime_{get,put}() to handle runtime PM
    (bsc#1012628).
  - dt-bindings: mtd: nand-controller: Fix the reg property
    description (bsc#1012628).
  - dt-bindings: mtd: nand-controller: Fix a comment in the examples
    (bsc#1012628).
  - dt-bindings: spi: mxic: The interrupt property is not mandatory
    (bsc#1012628).
  - media: dt-binding: media: hynix,hi846: use $defs/port-base
    port description (bsc#1012628).
  - media: dt-bindings: media: hynix,hi846: add link-frequencies
    description (bsc#1012628).
  - dt-bindings: memory: mtk-smi: Rename clock to clocks
    (bsc#1012628).
  - dt-bindings: memory: mtk-smi: No need mediatek,larb-id for
    mt8167 (bsc#1012628).
  - dt-bindings: memory: mtk-smi: Correct minItems to 2 for the
    gals clocks (bsc#1012628).
  - dt-bindings: pinctrl: mt8195: fix bias-pull-{up,down} checks
    (bsc#1012628).
  - dt-bindings: pinctrl: pinctrl-microchip-sgpio: Fix example
    (bsc#1012628).
  - ubi: fastmap: Return error code if memory allocation fails in
    add_aeb() (bsc#1012628).
  - net: preserve skb_end_offset() in skb_unclone_keeptruesize()
    (bsc#1012628).
  - ASoC: SOF: Intel: Fix build error without SND_SOC_SOF_PCI_DEV
    (bsc#1012628).
  - ASoC: topology: Allow TLV control to be either read or write
    (bsc#1012628).
  - perf vendor events: Update metrics for SkyLake Server
    (bsc#1012628).
  - media: ov6650: Add try support to selection API operations
    (bsc#1012628).
  - media: ov6650: Fix crop rectangle affected by set format
    (bsc#1012628).
  - pinctrl: canonical rsel resistance selection property
    (bsc#1012628).
  - spi: mediatek: support tick_delay without enhance_timing
    (bsc#1012628).
  - ARM: dts: spear1340: Update serial node properties
    (bsc#1012628).
  - ARM: dts: spear13xx: Update SPI dma properties (bsc#1012628).
  - arm64: dts: ls1043a: Update i2c dma properties (bsc#1012628).
  - arm64: dts: ls1046a: Update i2c node dma properties
    (bsc#1012628).
  - um: Fix uml_mconsole stop/go (bsc#1012628).
  - docs: sysctl/kernel: add missing bit to panic_print
    (bsc#1012628).
  - xsk: Do not write NULL in SW ring at allocation failure
    (bsc#1012628).
  - ice: xsk: Fix indexing in ice_tx_xsk_pool() (bsc#1012628).
  - vdpa/mlx5: Avoid processing works if workqueue was destroyed
    (bsc#1012628).
  - openvswitch: Fixed nd target mask field in the flow dump
    (bsc#1012628).
  - torture: Make torture.sh help message match reality
    (bsc#1012628).
  - n64cart: convert bi_disk to bi_bdev->bd_disk fix build
    (bsc#1012628).
  - Revert "nbd: fix possible overflow on 'first_minor' in
    nbd_dev_add()" (bsc#1012628).
  - mmc: rtsx: Let MMC core handle runtime PM (bsc#1012628).
  - mmc: rtsx: Fix build errors/warnings for unused variable
    (bsc#1012628).
  - coredump: Snapshot the vmas in do_coredump (bsc#1012628).
  - coredump: Remove the WARN_ON in dump_vma_snapshot (bsc#1012628).
  - coredump/elf: Pass coredump_params into fill_note_info
    (bsc#1012628).
  - coredump: Use the vma snapshot in fill_files_note (bsc#1012628).
  - Update config files.
  - commit b49cf22
* Fri Apr 08 2022 jroedel@suse.de
  - x86/sev: Unroll string mmio with CC_ATTR_GUEST_UNROLL_STRING_IO
    (bsc#1196961).
  - commit 18b6eb8
* Wed Apr 06 2022 bp@suse.de
  - x86/speculation: Restore speculation related MSRs during S3
    resume (git-fixes).
  - commit ffe3c2b
* Wed Apr 06 2022 bp@suse.de
  - x86/pm: Save the MSR validity status at context setup
    (git-fixes).
  - commit b756c61
* Mon Apr 04 2022 mkubecek@suse.cz
  - net/fungible: Fix reference to __udivdi3 on 32b builds.
    Fix i386 build failure.
  - commit 6385d80
* Mon Apr 04 2022 tiwai@suse.de
  - can: mcba_usb: mcba_usb_start_xmit(): fix double dev_kfree_skb
    in error path (CVE-2022-28389 bsc#1198033).
  - can: usb_8dev: usb_8dev_start_xmit(): fix double dev_kfree_skb()
    in error path (CVE-2022-28388 bsc#1198032).
  - can: ems_usb: ems_usb_start_xmit(): fix double dev_kfree_skb()
    in error path (CVE-2022-28390 bsc#1198031).
  - commit e456953
* Mon Apr 04 2022 mkubecek@suse.cz
  - Update to 5.18-rc1
  - eliminate 47 patches (42 stable, 5 mainline)
    - patches.kernel.org/*
    - patches.suse/Bluetooth-btusb-Add-missing-Chicony-device-for-Realt.patch
    - patches.suse/Revert-Input-clear-BTN_RIGHT-MIDDLE-on-buttonpads.patch
    - patches.suse/Revert-swiotlb-rework-fix-info-leak-with-DMA_FROM_DE.patch
    - patches.suse/block-restore-the-old-set_task_ioprio-behaviour-wrt-.patch
    - patches.suse/bpf-add-config-to-allow-loading-modules-with-BTF-mis.patch
  - refresh
    - patches.suse/s390-export-symbols-for-crash-kmp.patch
    - patches.suse/vfs-add-super_operations-get_inode_dev
  - disable ARM architectures (need config update)
  - new config options
    - General setup
      CLOCKSOURCE_WATCHDOG_MAX_SKEW_US=100
    - Processor type and features
      X86_KERNEL_IBT=n
    - Binary Emulations
      X86_X32_ABI=n (renamed X86_X32)
    - General architecture-dependent options
      RANDOMIZE_KSTACK_OFFSET=y
    - Enable the block layer
      BLOCK_LEGACY_AUTOLOAD=y
    - Networking support
      PAGE_POOL_STATS=n
    - File systems
      F2FS_UNFAIR_RWSEM=n
    - Security options
      USER_DECRYPTED_DATA=n
    - Cryptographic API
      CRYPTO_DH_RFC7919_GROUPS=y
      CRYPTO_SM3_AVX_X86_64=m
    - Kernel hacking
      DEBUG_INFO_NONE=n
      DEBUG_INFO_DWARF5=n
      KFENCE_DEFERRABLE=n
      FPROBE=y
    - PCI support
      CXL_PCI=m
    - NVME Support
      NVME_VERBOSE_ERRORS=n
    - Serial ATA and Parallel ATA drivers (libata)
      SATA_LPM_POLICY=0
    - Network device support
      NET_DSA_REALTEK=m
      NET_VENDOR_DAVICOM=y
      DM9051=m
      NET_VENDOR_FUNGIBLE=y
      FUN_ETH=m
      MT7921U=m
    - Input device support
      TOUCHSCREEN_IMAGIS=m
    - Power supply class support
      IP5XXX_POWER=m
      BATTERY_SAMSUNG_SDI=n
      BATTERY_UG3105=m
    - Hardware Monitoring support
      I8K=n
      SENSORS_LM25066_REGULATOR=y
      SENSORS_PLI1209BC=m
      SENSORS_PLI1209BC_REGULATOR=y
      SENSORS_XDPE122_REGULATOR=y
      SENSORS_SY7636A=m
      SENSORS_TMP464=m
      SENSORS_ASUS_EC=m
    - Voltage and Current Regulator Support
      REGULATOR_RT5190A=m
      REGULATOR_SY7636A=m
    - Multimedia support
      VIDEO_HI847=m
      VIDEO_OG01A1B=m
      VIDEO_OV08D10=m
    - Graphics support
      DRM_PANEL_MIPI_DBI=m
      DRM_SSD130X=n
    - Sound card support
      SND_SOC_AMD_ACP_PCI=m
      SND_SOC_INTEL_AVS=m
      SND_SOC_INTEL_SOF_SSP_AMP_MACH=m
      SND_SOC_AW8738=n
      SND_SOC_TAS5805M=n
    - HID support
      HID_RAZER=m
      HID_SIGMAMICRO=m
    - USB support
      TYPEC_RT1719=m
      TYPEC_WUSB3801=m
    - Staging drivers
      VIDEO_ZORAN_DC30=y
      VIDEO_ZORAN_ZR36060=y
      VIDEO_ZORAN_BUZ=y
      VIDEO_ZORAN_DC10=y
      VIDEO_ZORAN_LML33=y
      VIDEO_ZORAN_LML33R10=y
      VIDEO_ZORAN_AVS6EYES=y
    - X86 Platform Specific Device Drivers
      AMD_HSMP=m
      INTEL_CHTWC_INT33FE=m
      INTEL_SDSI=m
      SERIAL_MULTI_INSTANTIATE=m
    - Industrial I/O support
      ADXL367_SPI=n
      ADXL367_I2C=n
      ADA4250=n
      LTC2688=n
      ADMV1014=n
      ADMV4420=n
      SX9324=n
      SX9360=n
      PECI=n
      PECI=n
    - Misc drivers
      MTD_NAND_ECC_MXIC=n
      I2C_DESIGNWARE_AMDPSP=y
      SPI_INTEL_PCI=m
      SPI_INTEL_PLATFORM=m
      INTEL_HFI_THERMAL=y
      MFD_SIMPLE_MFD_I2C=n
      MLX5_VFIO_PCI=m
      VMGENID=y
      CHROMEOS_PRIVACY_SCREEN=m
      RPMSG_CTRL=m
    - OF dependent (i386, ppc64/ppc64le, riscv64)
    - OPEN_DICE=m
    - MFD_MAX77714=n
    - REGULATOR_TPS6286X=m
    - VIDEO_ISL7998X=m
    - DRM_PANEL_ILITEK_ILI9341=n
    - DRM_PANEL_NOVATEK_NT35560=n
    - DRM_ITE_IT6505=n
    - COMMON_CLK_RS9_PCIE=m
    - PHY_CADENCE_DPHY_RX=m
    - i586
    - DTPM_DEVFREQ=y
    - INTEGRITY_MACHINE_KEYRING=y
    - ppc64 / ppc64le
    - NET_DSA_REALTEK_MDIO=m
    - NET_DSA_REALTEK_RTL8365MB=m
    - NET_DSA_REALTEK_RTL8366RB=m
    - MCTP_TRANSPORT_I2C=m
    - CRC64_ROCKSOFT=m
    - s390x
    - EXPOLINE_EXTERN=y
    - CRC64_ROCKSOFT=m
    - riscv64
    - RSEQ=y
    - DEBUG_RSEQ=n
    - CPU_IDLE=y
    - CPU_IDLE_GOV_LADDER=y
    - CPU_IDLE_GOV_TEO=y
    - RISCV_SBI_CPUIDLE=y
    - CPU_IDLE_GOV_MENU=y
    - PARPORT_PC=m
    - PARPORT_SERIAL=m
    - PARPORT_PC_FIFO=y
    - PARPORT_PC_PCMCIA=n
    - PARIDE=m
    - PARIDE_*=m (PARIDE_EPATC8=y
    - SCSI_PPA=m
    - SCSI_IMM=m
    - SCSI_IZIP_EPP16=n
    - SCSI_IZIP_SLOW_CTR=n
    - NET_DSA_REALTEK_MDIO=m
    - NET_DSA_REALTEK_RTL8365MB=m
    - NET_DSA_REALTEK_RTL8366RB=m
    - KS0108=n
    - CLK_STARFIVE_JH7100_AUDIO=m
    - POLARFIRE_SOC_SYS_CTRL=m
    - IDLE_INJECT=y
    - RISCV_PMU=y
    - RISCV_PMU_LEGACY=y
    - RISCV_PMU_SBI=y
    - CPU_IDLE_THERMAL=y
  - commit e499f10
* Sun Apr 03 2022 mkubecek@suse.cz
  - series.conf: cleanup
  - update upstream references and move into sorted section:
    - patches.suse/Revert-Input-clear-BTN_RIGHT-MIDDLE-on-buttonpads.patch
    - patches.suse/block-restore-the-old-set_task_ioprio-behaviour-wrt-.patch
  - commit 6038bd3
* Thu Mar 31 2022 mkubecek@suse.cz
  - Revert "config: Enable BPF LSM" (bsc#1197746)
    This reverts commit c2c25b18721866d6211054f542987036ed6e0a50.
    This config change was reported to break boot if SELinux is enabled. Revert
    until we have a fix.
  - commit 0a20128
* Thu Mar 31 2022 jslaby@suse.cz
  - Revert "config: Enable BPF LSM" (bsc#1197746)
    This reverts commit c2c25b18721866d6211054f542987036ed6e0a50.
  - commit 58205bc
* Wed Mar 30 2022 msuchanek@suse.de
  - Refresh patches.rpmify/powerpc-64-BE-option-to-use-ELFv2-ABI-for-big-endian.patch.
  - Refresh config files.
  - commit bd4767f
* Tue Mar 29 2022 jslaby@suse.cz
  - Refresh
    patches.suse/block-restore-the-old-set_task_ioprio-behaviour-wrt-.patch.
    Update to upstream version.
  - commit eed8aee
* Mon Mar 28 2022 tiwai@suse.de
  - Revert "swiotlb: rework "fix info leak with DMA_FROM_DEVICE""
    (bsc#1197460).
  - commit ffd9dce
* Mon Mar 28 2022 jslaby@suse.cz
  - block: restore the old set_task_ioprio() behaviour wrt
    PF_EXITING (bsc#1197582).
  - commit c349fed
* Mon Mar 28 2022 jslaby@suse.cz
  - Linux 5.17.1 (bsc#1012628).
  - llc: only change llc->dev when bind() succeeds (bsc#1012628).
  - drm/msm/gpu: Fix crash on devices without devfreq support (v2)
    (bsc#1012628).
  - nds32: fix access_ok() checks in get/put_user (bsc#1012628).
  - m68k: fix access_ok for coldfire (bsc#1012628).
  - wcn36xx: Differentiate wcn3660 from wcn3620 (bsc#1012628).
  - tpm: use try_get_ops() in tpm-space.c (bsc#1012628).
  - tpm: fix reference counting for struct tpm_chip (bsc#1012628).
  - mac80211: fix potential double free on mesh join (bsc#1012628).
  - uaccess: fix integer overflow on access_ok() (bsc#1012628).
  - rcu: Don't deboost before reporting expedited quiescent state
    (bsc#1012628).
  - jbd2: fix use-after-free of transaction_t race (bsc#1012628).
  - drm/virtio: Ensure that objs is not NULL in
    virtio_gpu_array_put_free() (bsc#1012628).
  - Revert "ath: add support for special 0x0 regulatory domain"
    (bsc#1012628).
  - Bluetooth: btusb: Use quirk to skip HCI_FLT_CLEAR_ALL on fake
    CSR controllers (bsc#1012628).
  - Bluetooth: hci_sync: Add a new quirk to skip HCI_FLT_CLEAR_ALL
    (bsc#1012628).
  - Bluetooth: btusb: Add one more Bluetooth part for the Realtek
    RTL8852AE (bsc#1012628).
  - crypto: qat - disable registration of algorithms (bsc#1012628).
  - ACPI: video: Force backlight native for Clevo NL5xRU and NL5xNU
    (bsc#1012628).
  - ACPI: battery: Add device HID and quirk for Microsoft Surface
    Go 3 (bsc#1012628).
  - ACPI / x86: Work around broken XSDT on Advantech DAC-BJ01 board
    (bsc#1012628).
  - netfilter: nf_tables: validate registers coming from userspace
    (bsc#1012628).
  - netfilter: nf_tables: initialize registers in nft_do_chain()
    (bsc#1012628).
  - drivers: net: xgene: Fix regression in CRC stripping
    (bsc#1012628).
  - ALSA: pci: fix reading of swapped values from pcmreg in AC97
    codec (bsc#1012628).
  - ALSA: cmipci: Restore aux vol on suspend/resume (bsc#1012628).
  - ALSA: usb-audio: Add mute TLV for playback volumes on RODE
    NT-USB (bsc#1012628).
  - ALSA: pcm: Add stream lock during PCM reset ioctl operations
    (bsc#1012628).
  - ALSA: pcm: Fix races among concurrent prealloc proc writes
    (bsc#1012628).
  - ALSA: pcm: Fix races among concurrent prepare and
    hw_params/hw_free calls (bsc#1012628).
  - ALSA: pcm: Fix races among concurrent read/write and buffer
    changes (bsc#1012628).
  - ALSA: pcm: Fix races among concurrent hw_params and hw_free
    calls (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for ASUS GA402 (bsc#1012628).
  - ALSA: hda/realtek - Fix headset mic problem for a HP machine
    with alc671 (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Clevo NP50PNJ (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Clevo NP70PNJ (bsc#1012628).
  - ALSA: usb-audio: add mapping for new Corsair Virtuoso SE
    (bsc#1012628).
  - ALSA: oss: Fix PCM OSS buffer allocation overflow (bsc#1012628).
  - ASoC: sti: Fix deadlock via snd_pcm_stop_xrun() call
    (bsc#1012628).
  - llc: fix netdevice reference leaks in llc_ui_bind()
    (bsc#1012628).
  - Bluetooth: btusb: Add another Realtek 8761BU (bsc#1012628).
  - tpm: Fix error handling in async work (bsc#1012628).
  - commit e830013
* Fri Mar 25 2022 mkubecek@suse.cz
  - series.conf: cleanup
  - update mainline references and move into sorted section:
    - patches.suse/Bluetooth-btusb-Add-missing-Chicony-device-for-Realt.patch
    - patches.suse/bpf-add-config-to-allow-loading-modules-with-BTF-mis.patch
  - commit 62d2682
* Fri Mar 25 2022 tiwai@suse.de
  - Revert "Input: clear BTN_RIGHT/MIDDLE on buttonpads"
    (bsc#1197243).
  - commit 7257225
* Fri Mar 25 2022 tiwai@suse.de
  - Drop HID multitouch fix patch (bsc#1197243)
    Delete patches.suse/HID-multitouch-fix-Dell-Precision-7550-and-7750-butt.patch.
    Replaced with another revert patch.
  - commit 01821ca
* Mon Mar 21 2022 dmueller@suse.com
  - rpm/constraints.in: skip SLOW_DISK workers for kernel-source
  - commit e84694f
* Mon Mar 21 2022 msuchanek@suse.de
  - Revert "rpm/macros.kernel-source: avoid %if's and %define's"
    This reverts commit d0cec50d019c853336e26f5ff5df5a4c9c3ea120.
  - commit b20736a
* Mon Mar 21 2022 msuchanek@suse.de
  - macros.kernel-source: Fix conditional expansion.
    Fixes: bb95fef3cf19 ("rpm: Use bash for %() expansion (jsc#SLE-18234).")
  - commit 7e857f7
* Mon Mar 21 2022 jslaby@suse.cz
  - rpm/macros.kernel-source: avoid %if's and %define's
    It's not supported in rpm macros scripts. So for now, resolve
    %kernel_build_shell_package to bash-sh in stable branch unconditionally.
    When this is fixed in the packaging branch, revert this.
  - commit d0cec50
* Mon Mar 21 2022 jslaby@suse.cz
  - Refresh
    patches.suse/Bluetooth-btusb-Add-missing-Chicony-device-for-Realt.patch.
    Update upstream status.
  - commit 36a1351
* Sun Mar 20 2022 mkubecek@suse.cz
  - Update to 5.17 final
  - refresh configs (headers only)
  - commit be2cbd1
* Sat Mar 19 2022 msuchanek@suse.de
  - rpm: Use bash for %() expansion (jsc#SLE-18234).
    Since 15.4 alternatives for /bin/sh are provided by packages
    <something>-sh. While the interpreter for the build script can be
    selected the interpreter for %() cannot.
    The kernel spec files use bashisms in %().
    While this could technically be fixed there is more serious underlying
    problem: neither bash nor any of the alternatives are 100% POSIX
    compliant nor bug-free.
    It is not my intent to maintain bug compatibility with any number of
    shells for shell scripts embedded in the kernel spec file. The spec file
    syntax is not documented so embedding the shell script in it causes some
    unspecified transformation to be applied to it. That means that
    ultimately any changes must be tested by building the kernel, n times if
    n shells are supported.
    To reduce maintenance effort require that bash is used for kernel build
    always.
  - commit bb95fef
* Sat Mar 19 2022 jslaby@suse.cz
  - Linux 5.16.16 (bsc#1012628).
  - ice: Fix race condition during interface enslave (bsc#1012628).
  - kselftest/vm: fix tests build with old libc (bsc#1012628).
  - bnx2: Fix an error message (bsc#1012628).
  - sfc: extend the locking on mcdi->seqno (bsc#1012628).
  - tcp: make tcp_read_sock() more robust (bsc#1012628).
  - nl80211: Update bss channel on channel switch for P2P_CLIENT
    (bsc#1012628).
  - drm/vrr: Set VRR capable prop only if it is attached to
    connector (bsc#1012628).
  - iwlwifi: don't advertise TWT support (bsc#1012628).
  - Input: goodix - workaround Cherry Trail devices with a bogus
    ACPI Interrupt() resource (bsc#1012628).
  - Input: goodix - use the new soc_intel_is_byt() helper
    (bsc#1012628).
  - netfilter: egress: silence egress hook lockdep splats
    (bsc#1012628).
  - atm: firestream: check the return value of ioremap() in
    fs_init() (bsc#1012628).
  - can: rcar_canfd: rcar_canfd_channel_probe(): register the CAN
    device when fully ready (bsc#1012628).
  - Bluetooth: hci_core: Fix leaking sent_cmd skb (bsc#1012628).
  - ARM: 9178/1: fix unmet dependency on BITREVERSE for
    HAVE_ARCH_BITREVERSE (bsc#1012628).
  - MIPS: smp: fill in sibling and core maps earlier (bsc#1012628).
  - mac80211: refuse aggregations sessions before authorized
    (bsc#1012628).
  - ARM: dts: rockchip: fix a typo on rk3288 crypto-controller
    (bsc#1012628).
  - ARM: dts: rockchip: reorder rk322x hmdi clocks (bsc#1012628).
  - arm64: dts: agilex: use the compatible
    "intel,socfpga-agilex-hsotg" (bsc#1012628).
  - arm64: dts: rockchip: reorder rk3399 hdmi clocks (bsc#1012628).
  - arm64: dts: rockchip: align pl330 node name with dtschema
    (bsc#1012628).
  - arm64: dts: rockchip: fix rk3399-puma eMMC HS400 signal
    integrity (bsc#1012628).
  - xfrm: Fix xfrm migrate issues when address family changes
    (bsc#1012628).
  - xfrm: Check if_id in xfrm_migrate (bsc#1012628).
  - arm64: dts: rockchip: fix rk3399-puma-haikou USB OTG mode
    (bsc#1012628).
  - arm64: dts: rockchip: fix dma-controller node names on rk356x
    (bsc#1012628).
  - Revert "xfrm: state and policy should fail if XFRMA_IF_ID 0"
    (bsc#1012628).
  - commit d9656de
* Sat Mar 19 2022 tiwai@suse.de
  - HID: multitouch: fix Dell Precision 7550 and 7750 button type
    (bsc#1197243).
  - commit 5500e44
* Fri Mar 18 2022 neilb@suse.de
  - Disable 5.16.10-026-NFSv4.1-query-for-fs_location-attr-on-a-new-f.patch (boo#1196521)
    This patch causes a regression and probably should not have been
    backported to stable anyway.  Disable it.
    Links to upstream discussions in the bug.
  - commit 40a4b1d
* Wed Mar 16 2022 jslaby@suse.cz
  - Linux 5.16.15 (bsc#1012628).
  - arm64: dts: qcom: sm8350: Describe GCC dependency clocks
    (bsc#1012628).
  - arm64: dts: qcom: sm8350: Correct UFS symbol clocks
    (bsc#1012628).
  - HID: elo: Revert USB reference counting (bsc#1012628).
  - HID: hid-thrustmaster: fix OOB read in thrustmaster_interrupts
    (bsc#1012628).
  - ARM: boot: dts: bcm2711: Fix HVS register range (bsc#1012628).
  - clk: qcom: gdsc: Add support to update GDSC transition delay
    (bsc#1012628).
  - clk: qcom: dispcc: Update the transition delay for MDSS GDSC
    (bsc#1012628).
  - soc: mediatek: mt8192-mmsys: Fix dither to dsi0 path's input
    sel (bsc#1012628).
  - HID: vivaldi: fix sysfs attributes leak (bsc#1012628).
  - HID: nintendo: check the return value of alloc_workqueue()
    (bsc#1012628).
  - arm64: dts: armada-3720-turris-mox: Add missing ethernet0 alias
    (bsc#1012628).
  - tipc: fix kernel panic when enabling bearer (bsc#1012628).
  - vdpa/mlx5: add validation for VIRTIO_NET_CTRL_MQ_VQ_PAIRS_SET
    command (bsc#1012628).
  - vduse: Fix returning wrong type in vduse_domain_alloc_iova()
    (bsc#1012628).
  - net: phy: meson-gxl: fix interrupt handling in forced mode
    (bsc#1012628).
  - mISDN: Fix memory leak in dsp_pipeline_build() (bsc#1012628).
  - vhost: fix hung thread due to erroneous iotlb entries
    (bsc#1012628).
  - virtio-blk: Don't use MAX_DISCARD_SEGMENTS if max_discard_seg
    is zero (bsc#1012628).
  - virtio-blk: Remove BUG_ON() in virtio_queue_rq() (bsc#1012628).
  - vdpa: fix use-after-free on vp_vdpa_remove (bsc#1012628).
  - isdn: hfcpci: check the return value of dma_set_mask() in
    setup_hw() (bsc#1012628).
  - net: qlogic: check the return value of dma_alloc_coherent()
    in qed_vf_hw_prepare() (bsc#1012628).
  - esp: Fix BEET mode inter address family tunneling on GSO
    (bsc#1012628).
  - net: gro: move skb_gro_receive_list to udp_offload.c
    (bsc#1012628).
  - qed: return status of qed_iov_get_link (bsc#1012628).
  - smsc95xx: Ignore -ENODEV errors when device is unplugged
    (bsc#1012628).
  - gpiolib: acpi: Convert ACPI value of debounce to microseconds
    (bsc#1012628).
  - drm/i915/psr: Set "SF Partial Frame Enable" also on full update
    (bsc#1012628).
  - drm/sun4i: mixer: Fix P010 and P210 format numbers
    (bsc#1012628).
  - net: dsa: mt7530: fix incorrect test in
    mt753x_phylink_validate() (bsc#1012628).
  - ARM: dts: aspeed: Fix AST2600 quad spi group (bsc#1012628).
  - iavf: Fix handling of vlan strip virtual channel messages
    (bsc#1012628).
  - i40e: stop disabling VFs due to PF error responses
    (bsc#1012628).
  - ice: stop disabling VFs due to PF error responses (bsc#1012628).
  - ice: Fix error with handling of bonding MTU (bsc#1012628).
  - ice: Don't use GFP_KERNEL in atomic context (bsc#1012628).
  - ice: Fix curr_link_speed advertised speed (bsc#1012628).
  - ethernet: Fix error handling in xemaclite_of_probe
    (bsc#1012628).
  - tipc: fix incorrect order of state message data sanity check
    (bsc#1012628).
  - net: ethernet: ti: cpts: Handle error for clk_enable
    (bsc#1012628).
  - net: ethernet: lpc_eth: Handle error for clk_enable
    (bsc#1012628).
  - net: marvell: prestera: Add missing of_node_put() in
    prestera_switch_set_base_mac_addr (bsc#1012628).
  - ax25: Fix NULL pointer dereference in ax25_kill_by_device
    (bsc#1012628).
  - net/mlx5: Fix size field in bufferx_reg struct (bsc#1012628).
  - net/mlx5: Fix a race on command flush flow (bsc#1012628).
  - net/mlx5e: Lag, Only handle events from highest priority
    multipath entry (bsc#1012628).
  - net/mlx5e: SHAMPO, reduce TIR indication (bsc#1012628).
  - NFC: port100: fix use-after-free in port100_send_complete
    (bsc#1012628).
  - selftests: pmtu.sh: Kill tcpdump processes launched by subshell
    (bsc#1012628).
  - selftests: pmtu.sh: Kill nettest processes launched in subshell
    (bsc#1012628).
  - gpio: ts4900: Do not set DAT and OE together (bsc#1012628).
  - mm: gup: make fault_in_safe_writeable() use fixup_user_fault()
    (bsc#1012628).
  - gianfar: ethtool: Fix refcount leak in gfar_get_ts_info
    (bsc#1012628).
  - net: phy: DP83822: clear MISR2 register to disable interrupts
    (bsc#1012628).
  - sctp: fix kernel-infoleak for SCTP sockets (bsc#1012628).
  - net: arc_emac: Fix use after free in arc_mdio_probe()
    (bsc#1012628).
  - net: bcmgenet: Don't claim WOL when its not available
    (bsc#1012628).
  - net: phy: meson-gxl: improve link-up behavior (bsc#1012628).
  - selftests/bpf: Add test for bpf_timer overwriting crash
    (bsc#1012628).
  - swiotlb: fix info leak with DMA_FROM_DEVICE (bsc#1012628).
  - usb: dwc3: pci: add support for the Intel Raptor Lake-S
    (bsc#1012628).
  - pinctrl: tigerlake: Revert "Add Alder Lake-M ACPI ID"
    (bsc#1012628).
  - KVM: Fix lockdep false negative during host resume
    (bsc#1012628).
  - kvm: x86: Disable KVM_HC_CLOCK_PAIRING if tsc is in always
    catchup mode (bsc#1012628).
  - spi: rockchip: Fix error in getting num-cs property
    (bsc#1012628).
  - spi: rockchip: terminate dma transmission when slave abort
    (bsc#1012628).
  - drm/vc4: hdmi: Unregister codec device on unbind (bsc#1012628).
  - of/fdt: move elfcorehdr reservation early for crash dump kernel
    (bsc#1012628).
  - x86/kvm: Don't use pv tlb/ipi/sched_yield if on 1 vCPU
    (bsc#1012628).
  - drivers: hamradio: 6pack: fix UAF bug caused by mod_timer()
    (bsc#1012628).
  - net-sysfs: add check for netdevice being present to speed_show
    (bsc#1012628).
  - hwmon: (pmbus) Clear pmbus fault/warning bits after read
    (bsc#1012628).
  - nvme-tcp: send H2CData PDUs based on MAXH2CDATA (bsc#1012628).
  - PCI: Mark all AMD Navi10 and Navi14 GPU ATS as broken
    (bsc#1012628).
  - gpio: Return EPROBE_DEFER if gc->to_irq is NULL (bsc#1012628).
  - drm/amdgpu: bypass tiling flag check in virtual display case
    (v2) (bsc#1012628).
  - Revert "xen-netback: remove 'hotplug-status' once it has served
    its purpose" (bsc#1012628).
  - Revert "xen-netback: Check for hotplug-status existence before
    watching" (bsc#1012628).
  - ipv6: prevent a possible race condition with lifetimes
    (bsc#1012628).
  - tracing: Ensure trace buffer is at least 4096 bytes large
    (bsc#1012628).
  - tracing/osnoise: Make osnoise_main to sleep for microseconds
    (bsc#1012628).
  - tracing: Fix selftest config check for function graph start
    up test (bsc#1012628).
  - selftest/vm: fix map_fixed_noreplace test failure (bsc#1012628).
  - selftests/memfd: clean up mapping in mfd_fail_write
    (bsc#1012628).
  - ARM: Spectre-BHB: provide empty stub for non-config
    (bsc#1012628).
  - fuse: fix fileattr op failure (bsc#1012628).
  - fuse: fix pipe buffer lifetime for direct_io (bsc#1012628).
  - staging: rtl8723bs: Fix access-point mode deadlock
    (bsc#1012628).
  - staging: gdm724x: fix use after free in gdm_lte_rx()
    (bsc#1012628).
  - net: macb: Fix lost RX packet wakeup race in NAPI receive
    (bsc#1012628).
  - riscv: alternative only works on !XIP_KERNEL (bsc#1012628).
  - mmc: meson: Fix usage of meson_mmc_post_req() (bsc#1012628).
  - riscv: Fix auipc+jalr relocation range checks (bsc#1012628).
  - tracing/osnoise: Force quiescent states while tracing
    (bsc#1012628).
  - tracing/osnoise: Do not unregister events twice (bsc#1012628).
  - arm64: dts: marvell: armada-37xx: Remap IO space to bus address
    0x0 (bsc#1012628).
  - arm64: Ensure execute-only permissions are not allowed without
    EPAN (bsc#1012628).
  - arm64: kasan: fix include error in MTE functions (bsc#1012628).
  - swiotlb: rework "fix info leak with DMA_FROM_DEVICE"
    (bsc#1012628).
  - virtio: unexport virtio_finalize_features (bsc#1012628).
  - virtio: acknowledge all features before access (bsc#1012628).
  - net/mlx5: Fix offloading with ESWITCH_IPV4_TTL_MODIFY_ENABLE
    (bsc#1012628).
  - ARM: fix Thumb2 regression with Spectre BHB (bsc#1012628).
  - watch_queue: Fix filter limit check (bsc#1012628).
  - watch_queue, pipe: Free watchqueue state after clearing pipe
    ring (bsc#1012628).
  - watch_queue: Fix to release page in ->release() (bsc#1012628).
  - watch_queue: Fix to always request a pow-of-2 pipe ring size
    (bsc#1012628).
  - watch_queue: Fix the alloc bitmap size to reflect notes
    allocated (bsc#1012628).
  - watch_queue: Free the alloc bitmap when the watch_queue is
    torn down (bsc#1012628).
  - watch_queue: Fix lack of barrier/sync/lock between post and read
    (bsc#1012628).
  - watch_queue: Make comment about setting ->defunct more accurate
    (bsc#1012628).
  - x86/boot: Fix memremap of setup_indirect structures
    (bsc#1012628).
  - x86/boot: Add setup_indirect support in
    early_memremap_is_setup_data() (bsc#1012628).
  - x86/module: Fix the paravirt vs alternative order (bsc#1012628).
  - x86/sgx: Free backing memory after faulting the enclave page
    (bsc#1012628).
  - x86/traps: Mark do_int3() NOKPROBE_SYMBOL (bsc#1012628).
  - drm/panel: Select DRM_DP_HELPER for DRM_PANEL_EDP (bsc#1012628).
  - perf parse: Fix event parser error for hybrid systems
    (bsc#1012628).
  - btrfs: make send work with concurrent block group relocation
    (bsc#1012628).
  - riscv: dts: k210: fix broken IRQs on hart1 (bsc#1012628).
  - vhost: allow batching hint without size (bsc#1012628).
  - commit 2bd8d63
* Wed Mar 16 2022 ailiop@suse.com
  - config: enable XFS_RT (bsc#1197190)
  - commit 253c423
* Wed Mar 16 2022 msuchanek@suse.de
  - rpm: Run external scriptlets on uninstall only when available
    (bsc#1196514 bsc#1196114 bsc#1196942).
    When dependency cycles are encountered package dependencies may not be
    fulfilled during zypper transaction at the time scriptlets are run.
    This is a problem for kernel scriptlets provided by suse-module-tools
    when migrating to a SLE release that provides these scriptlets only as
    part of LTSS. The suse-module-tools that provides kernel scriptlets may
    be removed early causing migration to fail.
  - commit ab8dd2d
* Wed Mar 16 2022 dmueller@suse.com
  - rpm/*.spec.in: remove backtick usage
  - commit 87ca1fb
* Wed Mar 16 2022 msuchanek@suse.de
  - rpm: SC2006: Use $(...) notation instead of legacy backticked `...`.
  - commit f0d0e90
* Tue Mar 15 2022 mkubecek@suse.cz
  - esp: Fix possible buffer overflow in ESP transformation
    (CVE-2022-0886 bsc#1197131).
  - commit f5ed8a3
* Tue Mar 15 2022 dmueller@suse.com
  - rpm/kernel-source.spec.in: call fdupes per subpackage
    It is a waste of time to do a global fdupes when we have
    subpackages.
  - commit 1da8439
* Mon Mar 14 2022 dmueller@suse.com
  - Revert "- rpm/fdupes_relink: dups linking implementation in perl (bsc#1195709)"
    This has been fixed in fdupes directly, and is no longer necessary. Plus
    this causes conflicts with packaging branch, where this should have
    landed.
    This reverts commit 359854d6ca73269851c604addecdd247d01dfbf0.
  - commit d0317f8
* Mon Mar 14 2022 mkubecek@suse.cz
  - Update to 5.17-rc8
  - update configs
    - arm64
    - MITIGATE_SPECTRE_BRANCH_HISTORY=y
    - armv7hl
    - HARDEN_BRANCH_HISTORY=y
  - commit 9555b2a
* Fri Mar 11 2022 jslaby@suse.cz
  - Linux 5.16.14 (bsc#1012628).
  - Revert "ACPI: PM: s2idle: Cancel wakeup before dispatching EC
    GPE" (bsc#1012628).
  - xen/netfront: react properly to failing
    gnttab_end_foreign_access_ref() (bsc#1012628).
  - xen/gnttab: fix gnttab_end_foreign_access() without page
    specified (bsc#1012628).
  - xen/pvcalls: use alloc/free_pages_exact() (bsc#1012628).
  - xen/9p: use alloc/free_pages_exact() (bsc#1012628).
  - xen: remove gnttab_query_foreign_access() (bsc#1012628).
  - xen/gntalloc: don't use gnttab_query_foreign_access()
    (bsc#1012628).
  - xen/scsifront: don't use gnttab_query_foreign_access() for
    mapped status (bsc#1012628).
  - xen/netfront: don't use gnttab_query_foreign_access() for
    mapped status (bsc#1012628).
  - xen/blkfront: don't use gnttab_query_foreign_access() for
    mapped status (bsc#1012628).
  - xen/grant-table: add gnttab_try_end_foreign_access()
    (bsc#1012628).
  - xen/xenbus: don't let xenbus_grant_ring() remove grants in
    error case (bsc#1012628).
  - ARM: fix build warning in proc-v7-bugs.c (bsc#1012628).
  - arm64: Do not include __READ_ONCE() block in assembly files
    (bsc#1012628).
  - ARM: Do not use NOCROSSREFS directive with ld.lld (bsc#1012628).
  - ARM: fix co-processor register typo (bsc#1012628).
  - ARM: fix build error when BPF_SYSCALL is disabled (bsc#1012628).
  - arm64: proton-pack: Include unprivileged eBPF status in Spectre
    v2 mitigation reporting (bsc#1012628).
  - arm64: Use the clearbhb instruction in mitigations
    (bsc#1012628).
  - KVM: arm64: Allow SMCCC_ARCH_WORKAROUND_3 to be discovered
    and migrated (bsc#1012628).
  - arm64: Mitigate spectre style branch history side channels
    (bsc#1012628).
  - Update config files.
  - arm64: proton-pack: Report Spectre-BHB vulnerabilities as part
    of Spectre-v2 (bsc#1012628).
  - arm64: Add percpu vectors for EL1 (bsc#1012628).
  - arm64: entry: Add macro for reading symbol addresses from the
    trampoline (bsc#1012628).
  - arm64: entry: Add vectors that have the bhb mitigation sequences
    (bsc#1012628).
  - arm64: entry: Add non-kpti __bp_harden_el1_vectors for
    mitigations (bsc#1012628).
  - arm64: entry: Allow the trampoline text to occupy multiple pages
    (bsc#1012628).
  - arm64: entry: Make the kpti trampoline's kpti sequence optional
    (bsc#1012628).
  - arm64: entry: Move trampoline macros out of ifdef'd section
    (bsc#1012628).
  - arm64: entry: Don't assume tramp_vectors is the start of the
    vectors (bsc#1012628).
  - arm64: entry: Allow tramp_alias to access symbols after the
    4K boundary (bsc#1012628).
  - arm64: entry: Move the trampoline data page before the text page
    (bsc#1012628).
  - arm64: entry: Free up another register on kpti's tramp_exit path
    (bsc#1012628).
  - arm64: entry: Make the trampoline cleanup optional
    (bsc#1012628).
  - KVM: arm64: Allow indirect vectors to be used without
    SPECTRE_V3A (bsc#1012628).
  - arm64: spectre: Rename spectre_v4_patch_fw_mitigation_conduit
    (bsc#1012628).
  - arm64: entry.S: Add ventry overflow sanity checks (bsc#1012628).
  - arm64: cpufeature: add HWCAP for FEAT_RPRES (bsc#1012628).
  - arm64: cpufeature: add HWCAP for FEAT_AFP (bsc#1012628).
  - arm64: add ID_AA64ISAR2_EL1 sys register (bsc#1012628).
  - ARM: include unprivileged BPF status in Spectre V2 reporting
    (bsc#1012628).
  - ARM: Spectre-BHB workaround (bsc#1012628).
  - Update config files.
  - ARM: use LOADADDR() to get load address of sections
    (bsc#1012628).
  - ARM: early traps initialisation (bsc#1012628).
  - ARM: report Spectre v2 status through sysfs (bsc#1012628).
  - Update config files.
  - x86/speculation: Warn about eIBRS + LFENCE + Unprivileged eBPF +
    SMT (bsc#1012628).
  - x86/speculation: Warn about Spectre v2 LFENCE mitigation
    (bsc#1012628).
  - x86/speculation: Update link to AMD speculation whitepaper
    (bsc#1012628).
  - x86/speculation: Use generic retpoline by default on AMD
    (bsc#1012628).
  - x86/speculation: Include unprivileged eBPF status in Spectre
    v2 mitigation reporting (bsc#1012628).
  - Documentation/hw-vuln: Update spectre doc (bsc#1012628).
  - x86/speculation: Add eIBRS + Retpoline options (bsc#1012628).
  - x86/speculation: Rename RETPOLINE_AMD to RETPOLINE_LFENCE
    (bsc#1012628).
  - commit 80acc65
* Thu Mar 10 2022 dmueller@suse.com
  - rpm/arch-symbols,guards,*driver: Replace Novell with SUSE.
  - commit 174a64f
* Thu Mar 10 2022 dmueller@suse.com
  - rpm/kernel-docs.spec.in: use %%license for license declarations
    Limited to SLE15+ to avoid compatibility nightmares.
  - commit 73d560e
* Wed Mar 09 2022 dmueller@suse.com
  - rpm/*.spec.in: Use https:// urls
  - commit 77b5f8e
* Wed Mar 09 2022 tiwai@suse.de
  - Bluetooth: btusb: Add missing Chicony device for Realtek
    RTL8723BE (bsc#1196779).
  - commit 47faa85
* Tue Mar 08 2022 jslaby@suse.cz
  - Linux 5.16.13 (bsc#1012628).
  - mac80211_hwsim: report NOACK frames in tx_status (bsc#1012628).
  - mac80211_hwsim: initialize ieee80211_tx_info at hw_scan_work
    (bsc#1012628).
  - i2c: bcm2835: Avoid clock stretching timeouts (bsc#1012628).
  - ASoC: rt5682s: do not block workqueue if card is unbound
    (bsc#1012628).
  - ASoC: rt5668: do not block workqueue if card is unbound
    (bsc#1012628).
  - ASoC: rt5682: do not block workqueue if card is unbound
    (bsc#1012628).
  - regulator: core: fix false positive in regulator_late_cleanup()
    (bsc#1012628).
  - Input: clear BTN_RIGHT/MIDDLE on buttonpads (bsc#1012628).
  - btrfs: get rid of warning on transaction commit when using
    flushoncommit (bsc#1012628).
  - KVM: arm64: vgic: Read HW interrupt pending state from the HW
    (bsc#1012628).
  - block: loop:use kstatfs.f_bsize of backing file to set discard
    granularity (bsc#1012628).
  - tipc: fix a bit overflow in tipc_crypto_key_rcv() (bsc#1012628).
  - cifs: do not use uninitialized data in the owner/group sid
    (bsc#1012628).
  - cifs: fix double free race when mount fails in cifs_get_root()
    (bsc#1012628).
  - HID: amd_sfh: Handle amd_sfh work buffer in PM ops
    (bsc#1012628).
  - HID: amd_sfh: Add functionality to clear interrupts
    (bsc#1012628).
  - HID: amd_sfh: Add interrupt handler to process interrupts
    (bsc#1012628).
  - cifs: modefromsids must add an ACE for authenticated users
    (bsc#1012628).
  - selftests/seccomp: Fix seccomp failure by adding missing headers
    (bsc#1012628).
  - drm/amd/pm: correct UMD pstate clocks for Dimgrey Cavefish
    and Beige Goby (bsc#1012628).
  - selftests/ftrace: Do not trace do_softirq because of PREEMPT_RT
    (bsc#1012628).
  - dmaengine: shdma: Fix runtime PM imbalance on error
    (bsc#1012628).
  - i2c: cadence: allow COMPILE_TEST (bsc#1012628).
  - i2c: imx: allow COMPILE_TEST (bsc#1012628).
  - i2c: qup: allow COMPILE_TEST (bsc#1012628).
  - net: usb: cdc_mbim: avoid altsetting toggling for Telit FN990
    (bsc#1012628).
  - block-map: add __GFP_ZERO flag for alloc_page in function
    bio_copy_kern (bsc#1012628).
  - exfat: reuse exfat_inode_info variable instead of calling
    EXFAT_I() (bsc#1012628).
  - exfat: fix i_blocks for files truncated over 4 GiB
    (bsc#1012628).
  - tracing: Add test for user space strings when filtering on
    string pointers (bsc#1012628).
  - arm64: Mark start_backtrace() notrace and NOKPROBE_SYMBOL
    (bsc#1012628).
  - serial: stm32: prevent TDR register overwrite when sending
    x_char (bsc#1012628).
  - KVM: arm64: Workaround Cortex-A510's single-step and PAC trap
    errata (bsc#1012628).
  - ext4: drop ineligible txn start stop APIs (bsc#1012628).
  - ext4: simplify updating of fast commit stats (bsc#1012628).
  - ext4: fast commit may not fallback for ineligible commit
    (bsc#1012628).
  - ext4: fast commit may miss file actions (bsc#1012628).
  - sched/fair: Fix fault in reweight_entity (bsc#1012628).
  - KVM: x86: Add KVM_CAP_ENABLE_CAP to x86 (bsc#1012628).
  - ata: pata_hpt37x: fix PCI clock detection (bsc#1012628).
  - drm/amdgpu: check vm ready by amdgpu_vm->evicting flag
    (bsc#1012628).
  - tracing: Add ustring operation to filtering string pointers
    (bsc#1012628).
  - ipv6: fix skb drops in igmp6_event_query() and
    igmp6_event_report() (bsc#1012628).
  - btrfs: defrag: bring back the old file extent search behavior
    (bsc#1012628).
  - btrfs: defrag: don't use merged extent map for their generation
    check (bsc#1012628).
  - ALSA: intel_hdmi: Fix reference to PCM buffer address
    (bsc#1012628).
  - ucounts: Fix systemd LimitNPROC with private users regression
    (bsc#1012628).
  - binfmt_elf: Avoid total_mapping_size for ET_EXEC (bsc#1012628).
  - riscv/efi_stub: Fix get_boot_hartid_from_fdt() return value
    (bsc#1012628).
  - riscv: Fix config KASAN && SPARSEMEM && !SPARSE_VMEMMAP
    (bsc#1012628).
  - riscv: Fix config KASAN && DEBUG_VIRTUAL (bsc#1012628).
  - iwlwifi: mvm: check debugfs_dir ptr before use (bsc#1012628).
  - ASoC: ops: Shift tested values in snd_soc_put_volsw() by +min
    (bsc#1012628).
  - iommu/vt-d: Fix double list_add when enabling VMD in scalable
    mode (bsc#1012628).
  - iommu/amd: Recover from event log overflow (bsc#1012628).
  - drm/i915: s/JSP2/ICP2/ PCH (bsc#1012628).
  - drm/amd/display: Reduce dmesg error to a debug print
    (bsc#1012628).
  - xen/netfront: destroy queues before real_num_tx_queues is zeroed
    (bsc#1012628).
  - thermal: core: Fix TZ_GET_TRIP NULL pointer dereference
    (bsc#1012628).
  - mac80211: fix EAPoL rekey fail in 802.3 rx path (bsc#1012628).
  - blktrace: fix use after free for struct blk_trace (bsc#1012628).
  - ntb: intel: fix port config status offset for SPR (bsc#1012628).
  - mm: Consider __GFP_NOWARN flag for oversized kvmalloc() calls
    (bsc#1012628).
  - xfrm: fix MTU regression (bsc#1012628).
  - netfilter: fix use-after-free in __nf_register_net_hook()
    (bsc#1012628).
  - bpf, sockmap: Do not ignore orig_len parameter (bsc#1012628).
  - xfrm: fix the if_id check in changelink (bsc#1012628).
  - xfrm: enforce validity of offload input flags (bsc#1012628).
  - e1000e: Correct NVM checksum verification flow (bsc#1012628).
  - net: fix up skbs delta_truesize in UDP GRO frag_list
    (bsc#1012628).
  - netfilter: nf_queue: don't assume sk is full socket
    (bsc#1012628).
  - netfilter: nf_queue: fix possible use-after-free (bsc#1012628).
  - netfilter: nf_queue: handle socket prefetch (bsc#1012628).
  - batman-adv: Request iflink once in batadv-on-batadv check
    (bsc#1012628).
  - batman-adv: Request iflink once in batadv_get_real_netdevice
    (bsc#1012628).
  - batman-adv: Don't expect inter-netns unique iflink indices
    (bsc#1012628).
  - net: ipv6: ensure we call ipv6_mc_down() at most once
    (bsc#1012628).
  - net: dcb: flush lingering app table entries for unregistered
    devices (bsc#1012628).
  - net: ipa: fix a build dependency (bsc#1012628).
  - net: ipa: add an interconnect dependency (bsc#1012628).
  - net/smc: fix connection leak (bsc#1012628).
  - net/smc: fix unexpected SMC_CLC_DECL_ERR_REGRMB error generated
    by client (bsc#1012628).
  - net/smc: fix unexpected SMC_CLC_DECL_ERR_REGRMB error cause
    by server (bsc#1012628).
  - btrfs: fix ENOSPC failure when attempting direct IO write into
    NOCOW range (bsc#1012628).
  - platform/x86: amd-pmc: Set QOS during suspend on CZN w/ timer
    wakeup (bsc#1012628).
  - net: dsa: microchip: fix bridging with more than two member
    ports (bsc#1012628).
  - mac80211: fix forwarded mesh frames AC & queue selection
    (bsc#1012628).
  - net: stmmac: fix return value of __setup handler (bsc#1012628).
  - mac80211: treat some SAE auth steps as final (bsc#1012628).
  - iavf: Fix missing check for running netdev (bsc#1012628).
  - net: sxgbe: fix return value of __setup handler (bsc#1012628).
  - ibmvnic: register netdev after init of adapter (bsc#1012628).
  - net: arcnet: com20020: Fix null-ptr-deref in com20020pci_probe()
    (bsc#1012628).
  - ixgbe: xsk: change !netif_carrier_ok() handling in
    ixgbe_xmit_zc() (bsc#1012628).
  - iavf: Fix deadlock in iavf_reset_task (bsc#1012628).
  - efivars: Respect "block" flag in efivar_entry_set_safe()
    (bsc#1012628).
  - auxdisplay: lcd2s: Fix lcd2s_redefine_char() feature
    (bsc#1012628).
  - firmware: arm_scmi: Remove space in MODULE_ALIAS name
    (bsc#1012628).
  - ASoC: cs4265: Fix the duplicated control name (bsc#1012628).
  - auxdisplay: lcd2s: Fix memory leak in ->remove() (bsc#1012628).
  - auxdisplay: lcd2s: Use proper API to free the instance of
    charlcd object (bsc#1012628).
  - can: gs_usb: change active_channels's type from atomic_t to u8
    (bsc#1012628).
  - iommu/tegra-smmu: Fix missing put_device() call in
    tegra_smmu_find (bsc#1012628).
  - arm64: dts: rockchip: Switch RK3399-Gru DP to SPDIF output
    (bsc#1012628).
  - igc: igc_read_phy_reg_gpy: drop premature return (bsc#1012628).
  - ARM: Fix kgdb breakpoint for Thumb2 (bsc#1012628).
  - mips: setup: fix setnocoherentio() boolean setting
    (bsc#1012628).
  - ARM: 9182/1: mmu: fix returns from early_param() and __setup()
    functions (bsc#1012628).
  - mptcp: Correctly set DATA_FIN timeout when number of retransmits
    is large (bsc#1012628).
  - selftests: mlxsw: tc_police_scale: Make test more robust
    (bsc#1012628).
  - pinctrl: sunxi: Use unique lockdep classes for IRQs
    (bsc#1012628).
  - igc: igc_write_phy_reg_gpy: drop premature return (bsc#1012628).
  - ibmvnic: free reset-work-item when flushing (bsc#1012628).
  - memfd: fix F_SEAL_WRITE after shmem huge page allocated
    (bsc#1012628).
  - s390/setup: preserve memory at OLDMEM_BASE and OLDMEM_SIZE
    (bsc#1012628).
  - s390/extable: fix exception table sorting (bsc#1012628).
  - sched: Fix yet more sched_fork() races (bsc#1012628).
  - arm64: dts: rockchip: drop pclk_xpcs from gmac0 on rk3568
    (bsc#1012628).
  - arm64: dts: juno: Remove GICv2m dma-range (bsc#1012628).
  - arm64: dts: rockchip: fix Quartz64-A ddr regulator voltage
    (bsc#1012628).
  - arm64: dts: imx8mm: Fix VPU Hanging (bsc#1012628).
  - iommu/amd: Fix I/O page table memory leak (bsc#1012628).
  - MIPS: ralink: mt7621: do memory detection on KSEG1
    (bsc#1012628).
  - ARM: dts: switch timer config to common devkit8000 devicetree
    (bsc#1012628).
  - ARM: dts: Use 32KiHz oscillator on devkit8000 (bsc#1012628).
  - soc: fsl: guts: Revert commit 3c0d64e867ed (bsc#1012628).
  - soc: fsl: guts: Add a missing memory allocation failure check
    (bsc#1012628).
  - soc: fsl: qe: Check of ioremap return value (bsc#1012628).
  - soc: imx: gpcv2: Fix clock disabling imbalance in error path
    (bsc#1012628).
  - netfilter: nf_tables: prefer kfree_rcu(ptr, rcu) variant
    (bsc#1012628).
  - ARM: tegra: Move panels to AUX bus (bsc#1012628).
  - Bluetooth: Fix bt_skb_sendmmsg not allocating partial chunks
    (bsc#1012628).
  - can: etas_es58x: change opened_channel_cnt's type from atomic_t
    to u8 (bsc#1012628).
  - net: stmmac: enhance XDP ZC driver level switching performance
    (bsc#1012628).
  - net: stmmac: only enable DMA interrupts when ready
    (bsc#1012628).
  - ibmvnic: initialize rc before completing wait (bsc#1012628).
  - ibmvnic: define flush_reset_queue helper (bsc#1012628).
  - ibmvnic: complete init_done on transport events (bsc#1012628).
  - ibmvnic: Update driver return codes (bsc#1012628).
  - ibmvnic: init init_done_rc earlier (bsc#1012628).
  - ibmvnic: clear fop when retrying probe (bsc#1012628).
  - ibmvnic: Allow queueing resets during probe (bsc#1012628).
  - net: chelsio: cxgb3: check the return value of
    pci_find_capability() (bsc#1012628).
  - net: sparx5: Fix add vlan when invalid operation (bsc#1012628).
  - iavf: Add trace while removing device (bsc#1012628).
  - iavf: Rework mutexes for better synchronisation (bsc#1012628).
  - iavf: Add waiting so the port is initialized in remove
    (bsc#1012628).
  - iavf: Fix init state closure on remove (bsc#1012628).
  - iavf: Fix locking for VIRTCHNL_OP_GET_OFFLOAD_VLAN_V2_CAPS
    (bsc#1012628).
  - iavf: Fix race in init state (bsc#1012628).
  - iavf: Fix __IAVF_RESETTING state usage (bsc#1012628).
  - drm/i915/guc/slpc: Correct the param count for unset param
    (bsc#1012628).
  - drm/bridge: ti-sn65dsi86: Properly undo autosuspend
    (bsc#1012628).
  - e1000e: Fix possible HW unit hang after an s0ix exit
    (bsc#1012628).
  - MIPS: ralink: mt7621: use bitwise NOT instead of logical
    (bsc#1012628).
  - nl80211: Handle nla_memdup failures in handle_nan_filter
    (bsc#1012628).
  - ptp: ocp: Add ptp_ocp_adjtime_coarse for large adjustments
    (bsc#1012628).
  - drm/amdgpu: fix suspend/resume hang regression (bsc#1012628).
  - net: dcb: disable softirqs in dcbnl_flush_dev() (bsc#1012628).
  - selftests: mlxsw: resource_scale: Fix return value
    (bsc#1012628).
  - net: stmmac: perserve TX and RX coalesce value during XDP setup
    (bsc#1012628).
  - Input: elan_i2c - move regulator_[en|dis]able() out of
    elan_[en|dis]able_power() (bsc#1012628).
  - Input: elan_i2c - fix regulator enable count imbalance after
    suspend/resume (bsc#1012628).
  - Input: samsung-keypad - properly state IOMEM dependency
    (bsc#1012628).
  - HID: add mapping for KEY_DICTATE (bsc#1012628).
  - HID: add mapping for KEY_ALL_APPLICATIONS (bsc#1012628).
  - tracing/histogram: Fix sorting on old "cpu" value (bsc#1012628).
  - tracing: Fix return value of __setup handlers (bsc#1012628).
  - btrfs: fix lost prealloc extents beyond eof after full fsync
    (bsc#1012628).
  - btrfs: fix relocation crash due to premature return from
    btrfs_commit_transaction() (bsc#1012628).
  - btrfs: subpage: fix a wrong check on subpage->writers
    (bsc#1012628).
  - btrfs: do not WARN_ON() if we have PageError set (bsc#1012628).
  - btrfs: qgroup: fix deadlock between rescan worker and remove
    qgroup (bsc#1012628).
  - btrfs: add missing run of delayed items after unlink during
    log replay (bsc#1012628).
  - btrfs: fallback to blocking mode when doing async dio over
    multiple extents (bsc#1012628).
  - btrfs: do not start relocation until in progress drops are done
    (bsc#1012628).
  - Revert "xfrm: xfrm_state_mtu should return at least 1280 for
    ipv6" (bsc#1012628).
  - proc: fix documentation and description of pagemap
    (bsc#1012628).
  - x86/kvmclock: Fix Hyper-V Isolated VM's boot issue when vCPUs >
    64 (bsc#1012628).
  - s390/ftrace: fix arch_ftrace_get_regs implementation
    (bsc#1012628).
  - s390/ftrace: fix ftrace_caller/ftrace_regs_caller generation
    (bsc#1012628).
  - KVM: x86/mmu: Passing up the error state of
    mmu_alloc_shadow_roots() (bsc#1012628).
  - Update config files.
  - commit bd40cb2
* Tue Mar 08 2022 jslaby@suse.cz
  - Update
    patches.kernel.org/5.16.11-207-lib-iov_iter-initialize-flags-in-new-pipe_buf.patch
    (bsc#1012628 bsc#1196584 CVE-2022-0847).
    Add references.
  - commit 82f40a9
* Mon Mar 07 2022 tiwai@suse.de
  - nfc: st21nfca: Fix potential buffer overflows in EVT_TRANSACTION
    (CVE-2022-26490 bsc#1196830).
  - commit b002fe2
* Mon Mar 07 2022 mkubecek@suse.cz
  - Update to 5.17-rc7
  - commit 04b7727
* Fri Mar 04 2022 mkubecek@suse.cz
  - config: refresh
    Since commit bb988d4625a3 ("kernel-binary: Do not include sourcedir in
    certificate path."), MODULE_SIG_HASH config option is mandatory in diff
    configs.
  - commit 191d88f
* Thu Mar 03 2022 pvorel@suse.cz
  - config: ppc64{,le}: build vmx-crypto as module (bsc#1195768)
    Building CONFIG_CRYPTO_DEV_VMX_ENCRYPT as module is the default in
    mainline since v4.8, we use it in SLES and already in
    config/ppc64/default. Thus unify it in the other configs.
    There are build dependencies which has been fixed in mainline
    647d41d3952d ("crypto: vmx - add missing dependencies")
    (currently still at maintainer herbert/cryptodev-2.6 tree)
    But instead of waiting commit to be accepted or backporting it we just
    unify configs, which is useful anyway
  - commit 70a0d71
* Wed Mar 02 2022 msuchanek@suse.de
  - kernel-binary.spec: Also exclude the kernel signing key from devel package.
    There is a check in OBS that fails when it is included. Also the key is
    not reproducible.
    Fixes: bb988d4625a3 ("kernel-binary: Do not include sourcedir in certificate path.")
  - commit 68fa069
* Wed Mar 02 2022 msuchanek@suse.de
  - rpm/check-for-config-changes: Ignore PAHOLE_VERSION.
  - commit 88ba5ec
* Wed Mar 02 2022 jslaby@suse.cz
  - Linux 5.16.12 (bsc#1012628).
  - memblock: use kfree() to release kmalloced memblock regions
    (bsc#1012628).
  - gpio: tegra186: Fix chip_data type confusion (bsc#1012628).
  - pinctrl: k210: Fix bias-pull-up (bsc#1012628).
  - pinctrl: fix loop in k210_pinconf_get_drive() (bsc#1012628).
  - tty: n_gsm: fix deadlock in gsmtty_open() (bsc#1012628).
  - tty: n_gsm: fix wrong modem processing in convergence layer
    type 2 (bsc#1012628).
  - tty: n_gsm: fix wrong tty control line for flow control
    (bsc#1012628).
  - tty: n_gsm: fix NULL pointer access due to DLCI release
    (bsc#1012628).
  - tty: n_gsm: fix proper link termination after failed open
    (bsc#1012628).
  - tty: n_gsm: fix encoding of command/response bit (bsc#1012628).
  - tty: n_gsm: fix encoding of control signal octet bit DV
    (bsc#1012628).
  - hugetlbfs: fix a truncation issue in hugepages parameter
    (bsc#1012628).
  - mm/hugetlb: fix kernel crash with hugetlb mremap (bsc#1012628).
  - riscv: fix oops caused by irqsoff latency tracer (bsc#1012628).
  - riscv: fix nommu_k210_sdcard_defconfig (bsc#1012628).
  - IB/qib: Fix duplicate sysfs directory name (bsc#1012628).
  - tps6598x: clear int mask on probe failure (bsc#1012628).
  - staging: fbtft: fb_st7789v: reset display before initialization
    (bsc#1012628).
  - thermal: int340x: fix memory leak in int3400_notify()
    (bsc#1012628).
  - RDMA/cma: Do not change route.addr.src_addr outside state checks
    (bsc#1012628).
  - btrfs: reduce extent threshold for autodefrag (bsc#1012628).
  - btrfs: autodefrag: only scan one inode once (bsc#1012628).
  - btrfs: defrag: allow defrag_one_cluster() to skip large extent
    which is not a target (bsc#1012628).
  - btrfs: prevent copying too big compressed lzo segment
    (bsc#1012628).
  - btrfs: defrag: remove an ambiguous condition for rejection
    (bsc#1012628).
  - btrfs: defrag: don't defrag extents which are already at max
    capacity (bsc#1012628).
  - btrfs: defrag: don't try to merge regular extents with
    preallocated extents (bsc#1012628).
  - driver core: Free DMA range map when device is released
    (bsc#1012628).
  - mtd: core: Fix a conflict between MTD and NVMEM on wp-gpios
    property (bsc#1012628).
  - nvmem: core: Fix a conflict between MTD and NVMEM on wp-gpios
    property (bsc#1012628).
  - xhci: Prevent futile URB re-submissions due to incorrect return
    value (bsc#1012628).
  - xhci: re-initialize the HC during resume if HCE was set
    (bsc#1012628).
  - usb: dwc3: gadget: Let the interrupt handler disable bottom
    halves (bsc#1012628).
  - usb: dwc3: pci: Fix Bay Trail phy GPIO mappings (bsc#1012628).
  - usb: dwc3: pci: Add "snps,dis_u2_susphy_quirk" for Intel Bay
    Trail (bsc#1012628).
  - usb: dwc2: drd: fix soft connect when gadget is unconfigured
    (bsc#1012628).
  - USB: serial: option: add Telit LE910R1 compositions
    (bsc#1012628).
  - USB: serial: option: add support for DW5829e (bsc#1012628).
  - tracefs: Set the group ownership in apply_options() not
    parse_options() (bsc#1012628).
  - USB: gadget: validate endpoint index for xilinx udc
    (bsc#1012628).
  - usb: gadget: rndis: add spinlock for rndis response list
    (bsc#1012628).
  - Revert "USB: serial: ch341: add new Product ID for CH341A"
    (bsc#1012628).
  - ata: pata_hpt37x: disable primary channel on HPT371
    (bsc#1012628).
  - sc16is7xx: Fix for incorrect data being transmitted
    (bsc#1012628).
  - iio: Fix error handling for PM (bsc#1012628).
  - iio: imu: st_lsm6dsx: wait for settling time in
    st_lsm6dsx_read_oneshot (bsc#1012628).
  - iio: accel: fxls8962af: add padding to regmap for SPI
    (bsc#1012628).
  - iio: adc: ad7124: fix mask used for setting AIN_BUFP & AIN_BUFM
    bits (bsc#1012628).
  - iio: adc: tsc2046: fix memory corruption by preventing array
    overflow (bsc#1012628).
  - iio: adc: men_z188_adc: Fix a resource leak in an error handling
    path (bsc#1012628).
  - iio:imu:adis16480: fix buffering for devices with no burst mode
    (bsc#1012628).
  - tracing: Have traceon and traceoff trigger honor the instance
    (bsc#1012628).
  - tracing: Dump stacktrace trigger to the corresponding instance
    (bsc#1012628).
  - bpf: Fix crash due to out of bounds access into reg2btf_ids
    (bsc#1012628).
  - bpf: Extend kfunc with PTR_TO_CTX, PTR_TO_MEM argument support
    (bsc#1012628).
  - RDMA/ib_srp: Fix a deadlock (bsc#1012628).
  - configfs: fix a race in configfs_{,un}register_subsystem()
    (bsc#1012628).
  - bnxt_en: Increase firmware message response DMA wait time
    (bsc#1012628).
  - RDMA/rtrs-clt: Move free_permit from free_clt to rtrs_clt_close
    (bsc#1012628).
  - RDMA/rtrs-clt: Fix possible double free in error case
    (bsc#1012628).
  - net-timestamp: convert sk->sk_tskey to atomic_t (bsc#1012628).
  - net: use sk_is_tcp() in more places (bsc#1012628).
  - regmap-irq: Update interrupt clear register for proper reset
    (bsc#1012628).
  - gpio: rockchip: Reset int_bothedge when changing trigger
    (bsc#1012628).
  - PCI: mvebu: Fix device enumeration regression (bsc#1012628).
  - spi: spi-zynq-qspi: Fix a NULL pointer dereference in
    zynq_qspi_exec_mem_op() (bsc#1012628).
  - net/mlx5e: Add missing increment of count (bsc#1012628).
  - net/mlx5: Update log_max_qp value to be 17 at most
    (bsc#1012628).
  - net/mlx5: DR, Fix slab-out-of-bounds in mlx5_cmd_dr_create_fte
    (bsc#1012628).
  - net/mlx5e: kTLS, Use CHECKSUM_UNNECESSARY for device-offloaded
    packets (bsc#1012628).
  - net/mlx5e: MPLSoUDP decap, fix check for unsupported matches
    (bsc#1012628).
  - net/mlx5: DR, Fix the threshold that defines when pool sync
    is initiated (bsc#1012628).
  - net/mlx5: Fix wrong limitation of metadata match on ecpf
    (bsc#1012628).
  - net/mlx5: Fix possible deadlock on rule deletion (bsc#1012628).
  - net/mlx5: DR, Don't allow match on IP w/o matching on full
    ethertype/ip_version (bsc#1012628).
  - ibmvnic: schedule failover only if vioctl fails (bsc#1012628).
  - net/mlx5: DR, Cache STE shadow memory (bsc#1012628).
  - udp_tunnel: Fix end of loop test in udp_tunnel_nic_unregister()
    (bsc#1012628).
  - surface: surface3_power: Fix battery readings on batteries
    without a serial number (bsc#1012628).
  - net/smc: Use a mutex for locking "struct smc_pnettable"
    (bsc#1012628).
  - netfilter: nf_tables: fix memory leak during stateful obj update
    (bsc#1012628).
  - net: mdio-ipq4019: add delay after clock enable (bsc#1012628).
  - nfp: flower: Fix a potential leak in nfp_tunnel_add_shared_mac()
    (bsc#1012628).
  - net: dsa: avoid call to __dev_set_promiscuity() while rtnl_mutex
    isn't held (bsc#1012628).
  - netfilter: nf_tables: unregister flowtable hooks on netns exit
    (bsc#1012628).
  - net: Force inlining of checksum functions in net/checksum.h
    (bsc#1012628).
  - net: ll_temac: check the return value of devm_kmalloc()
    (bsc#1012628).
  - net/sched: act_ct: Fix flow table lookup after ct clear or
    switching zones (bsc#1012628).
  - drm/amd/display: For vblank_disable_immediate, check PSR is
    really used (bsc#1012628).
  - drm/i915/dg2: Print PHY name properly on calibration error
    (bsc#1012628).
  - drm/vc4: crtc: Fix runtime_pm reference counting (bsc#1012628).
  - block: clear iocb->private in blkdev_bio_end_io_async()
    (bsc#1012628).
  - net/mlx5e: TC, Reject rules with drop and modify hdr action
    (bsc#1012628).
  - net/mlx5e: TC, Reject rules with forward and drop actions
    (bsc#1012628).
  - net/mlx5e: Fix wrong return value on ioctl EEPROM query failure
    (bsc#1012628).
  - drm/edid: Always set RGB444 (bsc#1012628).
  - openvswitch: Fix setting ipv6 fields causing hw csum failure
    (bsc#1012628).
  - net: mv643xx_eth: process retval from of_get_mac_address
    (bsc#1012628).
  - gso: do not skip outer ip header in case of ipip and
    net_failover (bsc#1012628).
  - clk: qcom: gcc-msm8994: Remove NoC clocks (bsc#1012628).
  - tipc: Fix end of loop tests for list_for_each_entry()
    (bsc#1012628).
  - nvme: also mark passthrough-only namespaces ready in
    nvme_update_ns_info (bsc#1012628).
  - net: __pskb_pull_tail() & pskb_carve_frag_list() drop_monitor
    friends (bsc#1012628).
  - io_uring: add a schedule point in io_add_buffers()
    (bsc#1012628).
  - bpf: Add schedule points in batch ops (bsc#1012628).
  - bpf: Fix a bpf_timer initialization issue (bsc#1012628).
  - selftests: bpf: Check bpf_msg_push_data return value
    (bsc#1012628).
  - bpf: Do not try bpf_msg_push_data with len 0 (bsc#1012628).
  - bpf: Fix crash due to incorrect copy_map_value (bsc#1012628).
  - net/mlx5: Update the list of the PCI supported devices
    (bsc#1012628).
  - ice: initialize local variable 'tlv' (bsc#1012628).
  - ice: check the return of ice_ptp_gettimex64 (bsc#1012628).
  - ice: fix concurrent reset and removal of VFs (bsc#1012628).
  - ice: fix setting l4 port flag when adding filter (bsc#1012628).
  - net/mlx5: Fix tc max supported prio for nic mode (bsc#1012628).
  - hwmon: Handle failure to register sensor with thermal zone
    correctly (bsc#1012628).
  - bnxt_en: Restore the resets_reliable flag in bnxt_open()
    (bsc#1012628).
  - bnxt_en: Fix incorrect multicast rx mask setting when not
    requested (bsc#1012628).
  - bnxt_en: Fix occasional ethtool -t loopback test failures
    (bsc#1012628).
  - bnxt_en: Fix offline ethtool selftest with RDMA enabled
    (bsc#1012628).
  - bnxt_en: Fix active FEC reporting to ethtool (bsc#1012628).
  - bnxt_en: Fix devlink fw_activate (bsc#1012628).
  - bnx2x: fix driver load from initrd (bsc#1012628).
  - selftests: mptcp: be more conservative with cookie MPJ limits
    (bsc#1012628).
  - selftests: mptcp: fix diag instability (bsc#1012628).
  - mptcp: add mibs counter for ignored incoming options
    (bsc#1012628).
  - mptcp: fix race in incoming ADD_ADDR option processing
    (bsc#1012628).
  - perf data: Fix double free in perf_session__delete()
    (bsc#1012628).
  - perf evlist: Fix failed to use cpu list for uncore events
    (bsc#1012628).
  - gpu: host1x: Always return syncpoint value when waiting
    (bsc#1012628).
  - Revert "i40e: Fix reset bw limit when DCB enabled with 1 TC"
    (bsc#1012628).
  - ping: remove pr_err from ping_lookup (bsc#1012628).
  - netfilter: nf_tables_offload: incorrect flow offload action
    array size (bsc#1012628).
  - netfilter: xt_socket: missing ifdef CONFIG_IP6_NF_IPTABLES
    dependency (bsc#1012628).
  - netfilter: xt_socket: fix a typo in socket_mt_destroy()
    (bsc#1012628).
  - CDC-NCM: avoid overflow in sanity checking (bsc#1012628).
  - USB: zaurus: support another broken Zaurus (bsc#1012628).
  - sr9700: sanity check for packet length (bsc#1012628).
  - drm/i915: Fix bw atomic check when switching between SAGV
    vs. no SAGV (bsc#1012628).
  - drm/i915: Correctly populate use_sagv_wm for all pipes
    (bsc#1012628).
  - drm/i915: Disconnect PHYs left connected by BIOS on disabled
    ports (bsc#1012628).
  - drm/i915: Widen the QGV point mask (bsc#1012628).
  - drm/amdgpu: do not enable asic reset for raven2 (bsc#1012628).
  - drm/amdgpu: disable MMHUB PG for Picasso (bsc#1012628).
  - drm/amd: Check if ASPM is enabled from PCIe subsystem
    (bsc#1012628).
  - drm/amd/pm: fix some OEM SKU specific stability issues
    (bsc#1012628).
  - drm/amd/display: Protect update_bw_bounding_box FPU code
    (bsc#1012628).
  - drm/amd/display: Fix stream->link_enc unassigned during stream
    removal (bsc#1012628).
  - KVM: x86: nSVM: disallow userspace setting of
    MSR_AMD64_TSC_RATIO to non default value when tsc scaling
    disabled (bsc#1012628).
  - KVM: x86/mmu: make apf token non-zero to fix bug (bsc#1012628).
  - parisc/unaligned: Fix ldw() and stw() unalignment handlers
    (bsc#1012628).
  - parisc/unaligned: Fix fldd and fstd unaligned handlers on
    32-bit kernel (bsc#1012628).
  - vhost/vsock: don't check owner in vhost_vsock_stop() while
    releasing (bsc#1012628).
  - selinux: fix misuse of mutex_is_locked() (bsc#1012628).
  - io_uring: disallow modification of rsrc_data during quiesce
    (bsc#1012628).
  - io_uring: don't convert to jiffies for waiting on timeouts
    (bsc#1012628).
  - clk: jz4725b: fix mmc0 clock gating (bsc#1012628).
  - slab: remove __alloc_size attribute from __kmalloc_track_caller
    (bsc#1012628).
  - btrfs: tree-checker: check item_size for dev_item (bsc#1012628).
  - btrfs: tree-checker: check item_size for inode_item
    (bsc#1012628).
  - cgroup-v1: Correct privileges check in release_agent writes
    (bsc#1012628).
  - cgroup/cpuset: Fix a race between cpuset_attach() and cpu
    hotplug (bsc#1012628).
  - mm/filemap: Fix handling of THPs in generic_file_buffered_read()
    (bsc#1012628).
  - commit 9b89dd3
* Mon Feb 28 2022 mkubecek@suse.cz
  - Update to 5.17-rc6
  - commit 3bbcd8f
* Sun Feb 27 2022 mkubecek@suse.cz
  - config: update vanilla configs
    FB_BOOT_VESA_SUPPORT was replaced BOOT_VESA_SUPPORT by a patch but this
    patch is not applied to vanilla flavor so that we have to keep the option
    in */vanilla configs until the patch reaches mainline.
  - commit 22f5560
* Sun Feb 27 2022 dmueller@suse.com
  - rpm/kernel-obs-build.spec.in: add systemd-initrd and terminfo dracut module (bsc#1195775)
  - commit d9a821b
* Thu Feb 24 2022 jslaby@suse.cz
  - Update config files.
    A vanilla fix for commit 17ec1907657a (simplefb: Enable boot time VESA
    graphic mode selection (bsc#1193250).)
  - commit 90630c5
* Wed Feb 23 2022 jslaby@suse.cz
  - Linux 5.16.11 (bsc#1012628).
  - drm/nouveau/pmu/gm200-: use alternate falcon reset sequence
    (bsc#1012628).
  - bpf: Introduce composable reg, ret and arg types (bsc#1012628).
  - bpf: Replace ARG_XXX_OR_NULL with ARG_XXX | PTR_MAYBE_NULL
    (bsc#1012628).
  - bpf: Replace RET_XXX_OR_NULL with RET_XXX | PTR_MAYBE_NULL
    (bsc#1012628).
  - bpf: Replace PTR_TO_XXX_OR_NULL with PTR_TO_XXX | PTR_MAYBE_NULL
    (bsc#1012628).
  - bpf: Introduce MEM_RDONLY flag (bsc#1012628).
  - bpf: Convert PTR_TO_MEM_OR_NULL to composable types
    (bsc#1012628).
  - bpf: Make per_cpu_ptr return rdonly PTR_TO_MEM (bsc#1012628).
  - bpf: Add MEM_RDONLY for helper args that are pointers to rdonly
    mem (bsc#1012628).
  - bpf/selftests: Test PTR_TO_RDONLY_MEM (bsc#1012628).
  - HID:Add support for UGTABLET WP5540 (bsc#1012628).
  - Revert "svm: Add warning message for AVIC IPI invalid target"
    (bsc#1012628).
  - parisc: Show error if wrong 32/64-bit compiler is being used
    (bsc#1012628).
  - serial: parisc: GSC: fix build when IOSAPIC is not set
    (bsc#1012628).
  - parisc: Drop __init from map_pages declaration (bsc#1012628).
  - parisc: Fix data TLB miss in sba_unmap_sg (bsc#1012628).
  - parisc: Fix sglist access in ccio-dma.c (bsc#1012628).
  - mmc: block: fix read single on recovery logic (bsc#1012628).
  - mm: don't try to NUMA-migrate COW pages that have other uses
    (bsc#1012628).
  - HID: amd_sfh: Add illuminance mask to limit ALS max value
    (bsc#1012628).
  - HID: i2c-hid: goodix: Fix a lockdep splat (bsc#1012628).
  - HID: amd_sfh: Increase sensor command timeout (bsc#1012628).
  - selftests: kvm: Remove absent target file (bsc#1012628).
  - HID: amd_sfh: Correct the structure field name (bsc#1012628).
  - PCI: hv: Fix NUMA node assignment when kernel boots with custom
    NUMA topology (bsc#1012628).
  - parisc: Add ioread64_lo_hi() and iowrite64_lo_hi()
    (bsc#1012628).
  - HID: apple: Set the tilde quirk flag on the Wellspring 5 and
    later (bsc#1012628).
  - btrfs: don't hold CPU for too long when defragging a file
    (bsc#1012628).
  - btrfs: send: in case of IO error log it (bsc#1012628).
  - btrfs: defrag: don't try to defrag extents which are under
    writeback (bsc#1012628).
  - ASoC: mediatek: fix unmet dependency on GPIOLIB for SND_SOC_DMIC
    (bsc#1012628).
  - platform/x86: touchscreen_dmi: Add info for the RWC NANOTE P8
    AY07J 2-in-1 (bsc#1012628).
  - platform/x86: ISST: Fix possible circular locking dependency
    detected (bsc#1012628).
  - platform/x86: amd-pmc: Correct usage of SMU version
    (bsc#1012628).
  - kunit: tool: Import missing importlib.abc (bsc#1012628).
  - selftests: rtc: Increase test timeout so that all tests run
    (bsc#1012628).
  - kselftest: signal all child processes (bsc#1012628).
  - selftests: netfilter: reduce zone stress test running time
    (bsc#1012628).
  - net: ieee802154: at86rf230: Stop leaking skb's (bsc#1012628).
  - selftests/zram: Skip max_comp_streams interface on newer kernel
    (bsc#1012628).
  - selftests/zram01.sh: Fix compression ratio calculation
    (bsc#1012628).
  - selftests/zram: Adapt the situation that /dev/zram0 is being
    used (bsc#1012628).
  - selftests: openat2: Print also errno in failure messages
    (bsc#1012628).
  - selftests: openat2: Add missing dependency in Makefile
    (bsc#1012628).
  - selftests: openat2: Skip testcases that fail with EOPNOTSUPP
    (bsc#1012628).
  - selftests: skip mincore.check_file_mmap when fs lacks needed
    support (bsc#1012628).
  - ax25: improve the incomplete fix to avoid UAF and NPD bugs
    (bsc#1012628).
  - cifs: unlock chan_lock before calling cifs_put_tcp_session
    (bsc#1012628).
  - pinctrl: bcm63xx: fix unmet dependency on REGMAP for GPIO_REGMAP
    (bsc#1012628).
  - vfs: make freeze_super abort when sync_filesystem returns error
    (bsc#1012628).
  - vfs: make sync_filesystem return errors from ->sync_fs
    (bsc#1012628).
  - quota: make dquot_quota_sync return errors from ->sync_fs
    (bsc#1012628).
  - scsi: pm80xx: Fix double completion for SATA devices
    (bsc#1012628).
  - kselftest: Fix vdso_test_abi return status (bsc#1012628).
  - scsi: core: Reallocate device's budget map on queue depth change
    (bsc#1012628).
  - scsi: pm8001: Fix use-after-free for aborted TMF sas_task
    (bsc#1012628).
  - scsi: pm8001: Fix use-after-free for aborted SSP/STP sas_task
    (bsc#1012628).
  - drm/amd: Warn users about potential s0ix problems (bsc#1012628).
  - mailmap: update Christian Brauner's email address (bsc#1012628).
  - nvme: fix a possible use-after-free in controller reset during
    load (bsc#1012628).
  - nvme-tcp: fix possible use-after-free in transport
    error_recovery work (bsc#1012628).
  - nvme-rdma: fix possible use-after-free in transport
    error_recovery work (bsc#1012628).
  - net: sparx5: do not refer to skb after passing it on
    (bsc#1012628).
  - drm/amd: add support to check whether the system is set to s3
    (bsc#1012628).
  - drm/amd: Only run s3 or s0ix if system is configured properly
    (bsc#1012628).
  - drm/amdgpu: fix logic inversion in check (bsc#1012628).
  - x86/Xen: streamline (and fix) PV CPU enumeration (bsc#1012628).
  - Revert "module, async: async_synchronize_full() on module init
    iff async is used" (bsc#1012628).
  - gcc-plugins/stackleak: Use noinstr in favor of notrace
    (bsc#1012628).
  - random: wake up /dev/random writers after zap (bsc#1012628).
  - KVM: x86/xen: Fix runstate updates to be atomic when preempting
    vCPU (bsc#1012628).
  - KVM: x86: nSVM/nVMX: set nested_run_pending on VM entry which
    is a result of RSM (bsc#1012628).
  - KVM: x86: SVM: don't passthrough SMAP/SMEP/PKE bits in !NPT &&
    !gCR0.PG case (bsc#1012628).
  - KVM: x86: nSVM: fix potential NULL derefernce on nested
    migration (bsc#1012628).
  - KVM: x86: nSVM: mark vmcb01 as dirty when restoring SMM saved
    state (bsc#1012628).
  - iwlwifi: remove deprecated broadcast filtering feature
    (bsc#1012628).
  - iwlwifi: fix use-after-free (bsc#1012628).
  - drm/mediatek: mtk_dsi: Avoid EPROBE_DEFER loop with external
    bridge (bsc#1012628).
  - drm/radeon: Fix backlight control on iMac 12,1 (bsc#1012628).
  - drm/atomic: Don't pollute crtc_state->mode_blob with error
    pointers (bsc#1012628).
  - drm/amd/pm: correct the sequence of sending gpu reset msg
    (bsc#1012628).
  - drm/amdgpu: skipping SDMA hw_init and hw_fini for S0ix
    (bsc#1012628).
  - drm/i915/opregion: check port number bounds for SWSCI display
    power state (bsc#1012628).
  - drm/i915: Fix dbuf slice config lookup (bsc#1012628).
  - drm/i915: Fix mbus join config lookup (bsc#1012628).
  - vsock: remove vsock from connected table when connect is
    interrupted by a signal (bsc#1012628).
  - tee: export teedev_open() and teedev_close_context()
    (bsc#1012628).
  - optee: use driver internal tee_context for some rpc
    (bsc#1012628).
  - drm/cma-helper: Set VM_DONTEXPAND for mmap (bsc#1012628).
  - drm/i915/gvt: Make DRM_I915_GVT depend on X86 (bsc#1012628).
  - drm/i915/ttm: tweak priority hint selection (bsc#1012628).
  - iwlwifi: pcie: fix locking when "HW not ready" (bsc#1012628).
  - iwlwifi: pcie: gen2: fix locking when "HW not ready"
    (bsc#1012628).
  - iwlwifi: mvm: fix condition which checks the version of
    rate_n_flags (bsc#1012628).
  - iwlwifi: fix iwl_legacy_rate_to_fw_idx (bsc#1012628).
  - iwlwifi: mvm: don't send SAR GEO command for 3160 devices
    (bsc#1012628).
  - selftests: netfilter: fix exit value for nft_concat_range
    (bsc#1012628).
  - netfilter: nft_synproxy: unregister hooks on init error path
    (bsc#1012628).
  - selftests: netfilter: disable rp_filter on router (bsc#1012628).
  - ipv4: fix data races in fib_alias_hw_flags_set (bsc#1012628).
  - ipv6: fix data-race in fib6_info_hw_flags_set / fib6_purge_rt
    (bsc#1012628).
  - ipv6: mcast: use rcu-safe version of ipv6_get_lladdr()
    (bsc#1012628).
  - ipv6: per-netns exclusive flowlabel checks (bsc#1012628).
  - Revert "net: ethernet: bgmac: Use
    devm_platform_ioremap_resource_byname" (bsc#1012628).
  - mac80211: mlme: check for null after calling kmemdup
    (bsc#1012628).
  - brcmfmac: firmware: Fix crash in brcm_alt_fw_path (bsc#1012628).
  - cfg80211: fix race in netlink owner interface destruction
    (bsc#1012628).
  - net: dsa: lan9303: fix reset on probe (bsc#1012628).
  - net: dsa: mv88e6xxx: flush switchdev FDB workqueue before
    removing VLAN (bsc#1012628).
  - net: dsa: lantiq_gswip: fix use after free in gswip_remove()
    (bsc#1012628).
  - net: dsa: lan9303: handle hwaccel VLAN tags (bsc#1012628).
  - net: dsa: lan9303: add VLAN IDs to master device (bsc#1012628).
  - net: ieee802154: ca8210: Fix lifs/sifs periods (bsc#1012628).
  - ping: fix the dif and sdif check in ping_lookup (bsc#1012628).
  - bonding: force carrier update when releasing slave
    (bsc#1012628).
  - mctp: fix use after free (bsc#1012628).
  - drop_monitor: fix data-race in dropmon_net_event /
    trace_napi_poll_hit (bsc#1012628).
  - net_sched: add __rcu annotation to netdev->qdisc (bsc#1012628).
  - crypto: af_alg - get rid of alg_memory_allocated (bsc#1012628).
  - bonding: fix data-races around agg_select_timer (bsc#1012628).
  - nfp: flower: netdev offload check for ip6gretap (bsc#1012628).
  - net/smc: Avoid overwriting the copies of clcsock callback
    functions (bsc#1012628).
  - net: phy: mediatek: remove PHY mode check on MT7531
    (bsc#1012628).
  - atl1c: fix tx timeout after link flap on Mikrotik 10/25G NIC
    (bsc#1012628).
  - tipc: fix wrong publisher node address in link publications
    (bsc#1012628).
  - dpaa2-switch: fix default return of
    dpaa2_switch_flower_parse_mirror_key (bsc#1012628).
  - dpaa2-eth: Initialize mutex used in one step timestamping path
    (bsc#1012628).
  - net: mscc: ocelot: fix use-after-free in ocelot_vlan_del()
    (bsc#1012628).
  - net: bridge: multicast: notify switchdev driver whenever MC
    processing gets disabled (bsc#1012628).
  - perf bpf: Defer freeing string after possible strlen() on it
    (bsc#1012628).
  - selftests/exec: Add non-regular to TEST_GEN_PROGS (bsc#1012628).
  - arm64: Correct wrong label in macro __init_el2_gicv3
    (bsc#1012628).
  - ALSA: usb-audio: revert to IMPLICIT_FB_FIXED_DEV for M-Audio
    FastTrack Ultra (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Legion Y9000X 2019
    (bsc#1012628).
  - ALSA: hda: Fix regression on forced probe mask option
    (bsc#1012628).
  - ALSA: hda: Fix missing codec probe on Shenker Dock 15
    (bsc#1012628).
  - ASoC: ops: Fix stereo change notifications in
    snd_soc_put_volsw() (bsc#1012628).
  - ASoC: ops: Fix stereo change notifications in
    snd_soc_put_volsw_range() (bsc#1012628).
  - ASoC: ops: Fix stereo change notifications in
    snd_soc_put_volsw_sx() (bsc#1012628).
  - ASoC: ops: Fix stereo change notifications in
    snd_soc_put_xr_sx() (bsc#1012628).
  - cifs: fix set of group SID via NTSD xattrs (bsc#1012628).
  - cifs: fix confusing unneeded warning message on smb2.1 and
    earlier (bsc#1012628).
  - ACPI: processor: idle: fix lockup regression on 32-bit ThinkPad
    T40 (bsc#1012628).
  - powerpc/603: Fix boot failure with DEBUG_PAGEALLOC and KFENCE
    (bsc#1012628).
  - powerpc/lib/sstep: fix 'ptesync' build error (bsc#1012628).
  - mtd: rawnand: gpmi: don't leak PM reference in error path
    (bsc#1012628).
  - smb3: fix snapshot mount option (bsc#1012628).
  - tipc: fix wrong notification node addresses (bsc#1012628).
  - scsi: ufs: Remove dead code (bsc#1012628).
  - scsi: ufs: Fix a deadlock in the error handler (bsc#1012628).
  - ASoC: tas2770: Insert post reset delay (bsc#1012628).
  - ASoC: qcom: Actually clear DMA interrupt register for HDMI
    (bsc#1012628).
  - block/wbt: fix negative inflight counter when remove scsi device
    (bsc#1012628).
  - NFS: Remove an incorrect revalidation in
    nfs4_update_changeattr_locked() (bsc#1012628).
  - NFS: LOOKUP_DIRECTORY is also ok with symlinks (bsc#1012628).
  - NFS: Do not report writeback errors in nfs_getattr()
    (bsc#1012628).
  - tty: n_tty: do not look ahead for EOL character past the end
    of the buffer (bsc#1012628).
  - block: fix surprise removal for drivers calling
    blk_set_queue_dying (bsc#1012628).
  - mtd: rawnand: qcom: Fix clock sequencing in qcom_nandc_probe()
    (bsc#1012628).
  - mtd: parsers: qcom: Fix kernel panic on skipped partition
    (bsc#1012628).
  - mtd: parsers: qcom: Fix missing free for pparts in cleanup
    (bsc#1012628).
  - mtd: phram: Prevent divide by zero bug in phram_setup()
    (bsc#1012628).
  - mtd: rawnand: brcmnand: Fixed incorrect sub-page ECC status
    (bsc#1012628).
  - scsi: lpfc: Fix pt2pt NVMe PRLI reject LOGO loop (bsc#1012628).
  - EDAC: Fix calculation of returned address and next offset in
    edac_align_ptr() (bsc#1012628).
  - x86/ptrace: Fix xfpregs_set()'s incorrect xmm clearing
    (bsc#1012628).
  - ucounts: Base set_cred_ucounts changes on the real user
    (bsc#1012628).
  - ucounts: Handle wrapping in is_ucounts_overlimit (bsc#1012628).
  - ucounts: Enforce RLIMIT_NPROC not RLIMIT_NPROC+1 (bsc#1012628).
  - rlimit: Fix RLIMIT_NPROC enforcement failure caused by
    capability calls in set_user (bsc#1012628).
  - ucounts: Move RLIMIT_NPROC handling after set_user
    (bsc#1012628).
  - net: sched: limit TC_ACT_REPEAT loops (bsc#1012628).
  - dmaengine: sh: rcar-dmac: Check for error num after setting mask
    (bsc#1012628).
  - dmaengine: stm32-dmamux: Fix PM disable depth imbalance in
    stm32_dmamux_probe (bsc#1012628).
  - dmaengine: sh: rcar-dmac: Check for error num after
    dma_set_max_seg_size (bsc#1012628).
  - tests: fix idmapped mount_setattr test (bsc#1012628).
  - i2c: qcom-cci: don't delete an unregistered adapter
    (bsc#1012628).
  - i2c: qcom-cci: don't put a device tree node before
    i2c_add_adapter() (bsc#1012628).
  - dmaengine: ptdma: Fix the error handling path in pt_core_init()
    (bsc#1012628).
  - copy_process(): Move fd_install() out of sighand->siglock
    critical section (bsc#1012628).
  - scsi: qedi: Fix ABBA deadlock in qedi_process_tmf_resp()
    and qedi_process_cmd_cleanup_resp() (bsc#1012628).
  - ASoC: wm_adsp: Correct control read size when parsing compressed
    buffer (bsc#1012628).
  - ice: enable parsing IPSEC SPI headers for RSS (bsc#1012628).
  - i2c: brcmstb: fix support for DSL and CM variants (bsc#1012628).
  - lockdep: Correct lock_classes index mapping (bsc#1012628).
  - HID: elo: fix memory leak in elo_probe (bsc#1012628).
  - mtd: rawnand: ingenic: Fix missing put_device in ingenic_ecc_get
    (bsc#1012628).
  - Drivers: hv: vmbus: Fix memory leak in vmbus_add_channel_kobj
    (bsc#1012628).
  - KVM: x86/pmu: Refactoring find_arch_event() to pmc_perf_hw_id()
    (bsc#1012628).
  - KVM: x86/pmu: Don't truncate the PerfEvtSeln MSR when creating
    a perf event (bsc#1012628).
  - KVM: x86/pmu: Use AMD64_RAW_EVENT_MASK for PERF_TYPE_RAW
    (bsc#1012628).
  - ARM: OMAP2+: hwmod: Add of_node_put() before break
    (bsc#1012628).
  - ARM: OMAP2+: adjust the location of put_device() call in
    omapdss_init_of (bsc#1012628).
  - phy: usb: Leave some clocks running during suspend
    (bsc#1012628).
  - staging: vc04_services: Fix RCU dereference check (bsc#1012628).
  - phy: phy-mtk-tphy: Fix duplicated argument in phy-mtk-tphy
    (bsc#1012628).
  - irqchip/sifive-plic: Add missing thead,c900-plic match string
    (bsc#1012628).
  - x86/bug: Merge annotate_reachable() into _BUG_FLAGS() asm
    (bsc#1012628).
  - netfilter: conntrack: don't refresh sctp entries in closed state
    (bsc#1012628).
  - ksmbd: fix same UniqueId for dot and dotdot entries
    (bsc#1012628).
  - ksmbd: don't align last entry offset in smb2 query directory
    (bsc#1012628).
  - lib/iov_iter: initialize "flags" in new pipe_buffer
    (bsc#1012628).
  - arm64: dts: meson-gx: add ATF BL32 reserved-memory region
    (bsc#1012628).
  - arm64: dts: meson-g12: add ATF BL32 reserved-memory region
    (bsc#1012628).
  - arm64: dts: meson-g12: drop BL32 region from SEI510/SEI610
    (bsc#1012628).
  - pidfd: fix test failure due to stack overflow on some arches
    (bsc#1012628).
  - selftests: fixup build warnings in pidfd / clone3 tests
    (bsc#1012628).
  - mm: io_uring: allow oom-killer from io_uring_setup
    (bsc#1012628).
  - ACPI: PM: Revert "Only mark EC GPE for wakeup on Intel systems"
    (bsc#1012628).
  - kconfig: let 'shell' return enough output for deep path names
    (bsc#1012628).
  - ata: libata-core: Disable TRIM on M88V29 (bsc#1012628).
  - soc: aspeed: lpc-ctrl: Block error printing on probe defer cases
    (bsc#1012628).
  - xprtrdma: fix pointer derefs in error cases of rpcrdma_ep_create
    (bsc#1012628).
  - drm/rockchip: dw_hdmi: Do not leave clock enabled in error case
    (bsc#1012628).
  - tracing: Fix tp_printk option related with
    tp_printk_stop_on_boot (bsc#1012628).
  - display/amd: decrease message verbosity about watermarks table
    failure (bsc#1012628).
  - drm/amdgpu: add utcl2_harvest to gc 10.3.1 (bsc#1012628).
  - drm/amd/display: Cap pflip irqs per max otg number
    (bsc#1012628).
  - drm/amd/display: fix yellow carp wm clamping (bsc#1012628).
  - net: usb: qmi_wwan: Add support for Dell DW5829e (bsc#1012628).
  - net: macb: Align the dma and coherent dma masks (bsc#1012628).
  - kconfig: fix failing to generate auto.conf (bsc#1012628).
  - Update config files.
  - commit 607a2b1
* Wed Feb 23 2022 jslaby@suse.cz
  - Refresh
    patches.suse/libsubcmd-Fix-use-after-free-for-realloc-.-0.patch.
    Update upstream status.
  - commit 1c604e1
* Wed Feb 23 2022 msuchanek@suse.de
  - bpf: add config to allow loading modules with BTF mismatches (bsc#1194501).
  - Update config files.
  - commit 4e672b2
* Wed Feb 23 2022 msuchanek@suse.de
  - simplefb: Enable boot time VESA graphic mode selection (bsc#1193250).
  - Update config files.
  - commit 17ec190
* Mon Feb 21 2022 iivanov@suse.de
  - Revert: reset: raspberrypi: Don't reset USB if already up (bsc#1180336)
  - commit f3fe985
* Mon Feb 21 2022 jslaby@suse.cz
  - libsubcmd: Fix use-after-free for realloc(..., 0) (gcc 12).
  - commit 6e98c6d
* Mon Feb 21 2022 mkubecek@suse.cz
  - Update to 5.17-rc5
  - refresh configs
  - commit a9b2c1d
* Wed Feb 16 2022 tzimmermann@suse.de
  - Revert "config: x86-64: Enable DRM stack for early-boot graphics (boo#1193472)"
    This reverts commit a6b1e6089c7fbcb3dc149eb1a005a32f0345fa13.
    Going back to efifb/vesafb for now. See boo#1195885 and boo#1195887.
  - commit 230a3c7
* Wed Feb 16 2022 tzimmermann@suse.de
  - Revert "config: x86-64: Enable DRM stack for early-boot graphics (boo#1193472)"
    This reverts commit 8e500f570e98a3997e9f4bc80b4c45bba2a50789.
    Going back to efifb/vesafb for now. See boo#1195885 and boo#1195887.
  - commit 20d1fcf
* Wed Feb 16 2022 jslaby@suse.cz
  - Linux 5.16.10 (bsc#1012628).
  - integrity: check the return value of audit_log_start()
    (bsc#1012628).
  - audit: don't deref the syscall args when checking the openat2
    open_how::flags (bsc#1012628).
  - ima: fix reference leak in asymmetric_verify() (bsc#1012628).
  - ima: Remove ima_policy file before directory (bsc#1012628).
  - ima: Allow template selection with ima_template[_fmt]= after
    ima_hash= (bsc#1012628).
  - ima: Do not print policy rule with inactive LSM labels
    (bsc#1012628).
  - mmc: sdhci-of-esdhc: Check for error num after setting mask
    (bsc#1012628).
  - mmc: core: Wait for command setting 'Power Off Notification'
    bit to complete (bsc#1012628).
  - mmc: sh_mmcif: Check for null res pointer (bsc#1012628).
  - can: isotp: fix potential CAN frame reception race in
    isotp_rcv() (bsc#1012628).
  - can: isotp: fix error path in isotp_sendmsg() to unlock wait
    queue (bsc#1012628).
  - net: phy: marvell: Fix RGMII Tx/Rx delays setting in
    88e1121-compatible PHYs (bsc#1012628).
  - net: phy: marvell: Fix MDI-x polarity setting in
    88e1118-compatible PHYs (bsc#1012628).
  - NFS: Fix initialisation of nfs_client cl_flags field
    (bsc#1012628).
  - NFSD: Fix NFSv3 SETATTR/CREATE's handling of large file sizes
    (bsc#1012628).
  - NFSD: Fix ia_size underflow (bsc#1012628).
  - NFSD: Clamp WRITE offsets (bsc#1012628).
  - NFSD: Fix offset type in I/O trace points (bsc#1012628).
  - NFSD: Fix the behavior of READ near OFFSET_MAX (bsc#1012628).
  - NFS: change nfs_access_get_cached to only report the mask
    (bsc#1012628).
  - NFSv4 only print the label when its queried (bsc#1012628).
  - nfs: nfs4clinet: check the return value of kstrdup()
    (bsc#1012628).
  - NFSv4.1: Fix uninitialised variable in devicenotify
    (bsc#1012628).
  - NFSv4 remove zero number of fs_locations entries error check
    (bsc#1012628).
  - NFSv4 store server support for fs_location attribute
    (bsc#1012628).
  - NFSv4.1 query for fs_location attr on a new file system
    (bsc#1012628).
  - NFSv4 expose nfs_parse_server_name function (bsc#1012628).
  - NFSv4 handle port presence in fs_location server string
    (bsc#1012628).
  - SUNRPC allow for unspecified transport time in rpc_clnt_add_xprt
    (bsc#1012628).
  - net/sunrpc: fix reference count leaks in
    rpc_sysfs_xprt_state_change (bsc#1012628).
  - sunrpc: Fix potential race conditions in
    rpc_sysfs_xprt_state_change() (bsc#1012628).
  - irqchip/realtek-rtl: Service all pending interrupts
    (bsc#1012628).
  - perf/x86/rapl: fix AMD event handling (bsc#1012628).
  - x86/perf: Avoid warning for Arch LBR without XSAVE
    (bsc#1012628).
  - sched: Avoid double preemption in __cond_resched_*lock*()
    (bsc#1012628).
  - drm/vc4: Fix deadlock on DSI device attach error (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirk for the 1Netbook
    OneXPlayer (bsc#1012628).
  - net: sched: Clarify error message when qdisc kind is unknown
    (bsc#1012628).
  - powerpc/fixmap: Fix VM debug warning on unmap (bsc#1012628).
  - s390/module: test loading modules with a lot of relocations
    (bsc#1012628).
  - arm64: Add Cortex-X2 CPU part definition (bsc#1012628).
  - arm64: errata: Update ARM64_ERRATUM_[2119858|2224489] with
    Cortex-X2 ranges (bsc#1012628).
  - scsi: target: iscsi: Make sure the np under each tpg is unique
    (bsc#1012628).
  - scsi: ufs: ufshcd-pltfrm: Check the return value of
    devm_kstrdup() (bsc#1012628).
  - scsi: qedf: Add stag_work to all the vports (bsc#1012628).
  - scsi: qedf: Fix refcount issue when LOGO is received during TMF
    (bsc#1012628).
  - scsi: qedf: Change context reset messages to ratelimited
    (bsc#1012628).
  - scsi: pm8001: Fix bogus FW crash for maxcpus=1 (bsc#1012628).
  - scsi: ufs: Use generic error code in ufshcd_set_dev_pwr_mode()
    (bsc#1012628).
  - scsi: ufs: Treat link loss as fatal error (bsc#1012628).
  - scsi: myrs: Fix crash in error case (bsc#1012628).
  - net: stmmac: reduce unnecessary wakeups from eee sw timer
    (bsc#1012628).
  - PM: hibernate: Remove register_nosave_region_late()
    (bsc#1012628).
  - drm/amd/display: Correct MPC split policy for DCN301
    (bsc#1012628).
  - drm/amdgpu/display: adjust msleep limit in
    dp_wait_for_training_aux_rd_interval (bsc#1012628).
  - drm/amdgpu/display: use msleep rather than udelay for long
    delays (bsc#1012628).
  - usb: dwc2: gadget: don't try to disable ep0 in
    dwc2_hsotg_suspend (bsc#1012628).
  - perf: Always wake the parent event (bsc#1012628).
  - nvme-pci: add the IGNORE_DEV_SUBNQN quirk for Intel P4500/P4600
    SSDs (bsc#1012628).
  - MIPS: Fix build error due to PTR used in more places
    (bsc#1012628).
  - net: stmmac: dwmac-sun8i: use return val of readl_poll_timeout()
    (bsc#1012628).
  - arm64: errata: Add detection for TRBE ignored system register
    writes (bsc#1012628).
  - arm64: errata: Add detection for TRBE invalid prohibited states
    (bsc#1012628).
  - arm64: errata: Add detection for TRBE trace data corruption
    (bsc#1012628).
  - arm64: cpufeature: List early Cortex-A510 parts as having
    broken dbm (bsc#1012628).
  - kasan: test: fix compatibility with FORTIFY_SOURCE
    (bsc#1012628).
  - KVM: eventfd: Fix false positive RCU usage warning
    (bsc#1012628).
  - KVM: nVMX: eVMCS: Filter out VM_EXIT_SAVE_VMX_PREEMPTION_TIMER
    (bsc#1012628).
  - KVM: nVMX: Also filter MSR_IA32_VMX_TRUE_PINBASED_CTLS when
    eVMCS (bsc#1012628).
  - KVM: SVM: Don't kill SEV guest if SMAP erratum triggers in
    usermode (bsc#1012628).
  - KVM: VMX: Set vmcs.PENDING_DBG.BS on #DB in STI/MOVSS blocking
    shadow (bsc#1012628).
  - KVM: x86: Report deprecated x87 features in supported CPUID
    (bsc#1012628).
  - riscv: fix build with binutils 2.38 (bsc#1012628).
  - riscv: Fix XIP_FIXUP_FLASH_OFFSET (bsc#1012628).
  - riscv: cpu-hotplug: clear cpu from numa map when teardown
    (bsc#1012628).
  - riscv/mm: Add XIP_FIXUP for phys_ram_base (bsc#1012628).
  - riscv: eliminate unreliable __builtin_frame_address(1)
    (bsc#1012628).
  - gfs2: Fix gfs2_release for non-writers regression (bsc#1012628).
  - Revert "gfs2: check context in gfs2_glock_put" (bsc#1012628).
  - Revert "PCI/portdrv: Do not setup up IRQs if there are no users"
    (bsc#1012628).
  - ARM: dts: imx23-evk: Remove MX23_PAD_SSP1_DETECT from hog group
    (bsc#1012628).
  - ARM: dts: Fix boot regression on Skomer (bsc#1012628).
  - ARM: socfpga: fix missing RESET_CONTROLLER (bsc#1012628).
  - nvme-tcp: fix bogus request completion when failing to send AER
    (bsc#1012628).
  - ACPI/IORT: Check node revision for PMCG resources (bsc#1012628).
  - PM: s2idle: ACPI: Fix wakeup interrupts handling (bsc#1012628).
  - drm/amdgpu/display: change pipe policy for DCN 2.0
    (bsc#1012628).
  - drm/rockchip: vop: Correct RK3399 VOP register fields
    (bsc#1012628).
  - drm/i915: Disable DRRS on IVB/HSW port != A (bsc#1012628).
  - ARM: dts: Fix timer regression for beagleboard revision c
    (bsc#1012628).
  - ARM: dts: meson: Fix the UART compatible strings (bsc#1012628).
  - ARM: dts: meson8: Fix the UART device-tree schema validation
    (bsc#1012628).
  - ARM: dts: meson8b: Fix the UART device-tree schema validation
    (bsc#1012628).
  - phy: broadcom: Kconfig: Fix PHY_BRCM_USB config option
    (bsc#1012628).
  - tee: optee: do not check memref size on return from Secure World
    (bsc#1012628).
  - optee: add error checks in optee_ffa_do_call_with_arg()
    (bsc#1012628).
  - staging: fbtft: Fix error path in fbtft_driver_module_init()
    (bsc#1012628).
  - ARM: dts: imx6qdl-udoo: Properly describe the SD card detect
    (bsc#1012628).
  - phy: xilinx: zynqmp: Fix bus width setting for SGMII
    (bsc#1012628).
  - phy: stm32: fix a refcount leak in stm32_usbphyc_pll_enable()
    (bsc#1012628).
  - ARM: dts: imx7ulp: Fix 'assigned-clocks-parents' typo
    (bsc#1012628).
  - arm64: dts: imx8mq: fix mipi_csi bidirectional port numbers
    (bsc#1012628).
  - usb: f_fs: Fix use-after-free for epfile (bsc#1012628).
  - arm64: Enable Cortex-A510 erratum 2051678 by default
    (bsc#1012628).
  - phy: dphy: Correct clk_pre parameter (bsc#1012628).
  - gpio: aggregator: Fix calling into sleeping GPIO controllers
    (bsc#1012628).
  - NFS: Don't overfill uncached readdir pages (bsc#1012628).
  - NFS: Don't skip directory entries when doing uncached readdir
    (bsc#1012628).
  - NFS: Avoid duplicate uncached readdir calls on eof
    (bsc#1012628).
  - drm/vc4: hdmi: Allow DBLCLK modes even if horz timing is odd
    (bsc#1012628).
  - misc: fastrpc: avoid double fput() on failed usercopy
    (bsc#1012628).
  - net: sparx5: Fix get_stat64 crash in tcpdump (bsc#1012628).
  - netfilter: nft_payload: don't allow th access for fragments
    (bsc#1012628).
  - netfilter: ctnetlink: disable helper autoassign (bsc#1012628).
  - arm64: dts: meson-g12b-odroid-n2: fix typo 'dio2133'
    (bsc#1012628).
  - arm64: dts: meson-sm1-odroid: use correct enable-gpio pin for
    tf-io regulator (bsc#1012628).
  - arm64: dts: meson-sm1-bananapi-m5: fix wrong GPIO domain for
    GPIOE_2 (bsc#1012628).
  - arm64: dts: meson-sm1-odroid: fix boot loop after reboot
    (bsc#1012628).
  - ixgbevf: Require large buffers for build_skb on 82599VF
    (bsc#1012628).
  - tcp: take care of mixed splice()/sendmsg(MSG_ZEROCOPY) case
    (bsc#1012628).
  - net: mscc: ocelot: fix all IP traffic getting trapped to CPU
    with PTP over IP (bsc#1012628).
  - drm/panel: simple: Assign data from panel_dpi_probe() correctly
    (bsc#1012628).
  - s390/module: fix building test_modules_helpers.o with clang
    (bsc#1012628).
  - ACPI: PM: s2idle: Cancel wakeup before dispatching EC GPE
    (bsc#1012628).
  - gpiolib: Never return internal error codes to user space
    (bsc#1012628).
  - gpio: sifive: use the correct register to read output values
    (bsc#1012628).
  - fbcon: Avoid 'cap' set but not used warning (bsc#1012628).
  - SUNRPC: lock against ->sock changing during sysfs read
    (bsc#1012628).
  - gve: Recording rx queue before sending to napi (bsc#1012628).
  - bonding: pair enable_port with slave_arr_updates (bsc#1012628).
  - net: dsa: mv88e6xxx: don't use devres for mdiobus (bsc#1012628).
  - net: dsa: ar9331: register the mdiobus under devres
    (bsc#1012628).
  - net: dsa: bcm_sf2: don't use devres for mdiobus (bsc#1012628).
  - net: dsa: felix: don't use devres for mdiobus (bsc#1012628).
  - net: dsa: ocelot: seville: utilize of_mdiobus_register
    (bsc#1012628).
  - net: dsa: seville: register the mdiobus under devres
    (bsc#1012628).
  - net: dsa: mt7530: fix kernel bug in mdiobus_free() when
    unbinding (bsc#1012628).
  - net: dsa: lantiq_gswip: don't use devres for mdiobus
    (bsc#1012628).
  - ibmvnic: don't release napi in __ibmvnic_open() (bsc#1012628).
  - net: ethernet: litex: Add the dependency on HAS_IOMEM
    (bsc#1012628).
  - ipmr,ip6mr: acquire RTNL before calling ip[6]mr_free_table()
    on failure path (bsc#1012628).
  - nfp: flower: fix ida_idx not being released (bsc#1012628).
  - net: do not keep the dst cache when uncloning an skb dst and
    its metadata (bsc#1012628).
  - net: fix a memleak when uncloning an skb dst and its metadata
    (bsc#1012628).
  - veth: fix races around rq->rx_notify_masked (bsc#1012628).
  - net: mdio: aspeed: Add missing MODULE_DEVICE_TABLE
    (bsc#1012628).
  - tipc: rate limit warning for received illegal binding update
    (bsc#1012628).
  - net: amd-xgbe: disable interrupts during pci removal
    (bsc#1012628).
  - net: dsa: fix panic when DSA master device unbinds on shutdown
    (bsc#1012628).
  - drm/amd/pm: fix hwmon node of power1_label create issue
    (bsc#1012628).
  - mptcp: netlink: process IPv6 addrs in creating listening sockets
    (bsc#1012628).
  - dpaa2-eth: unregister the netdev before disconnecting from
    the PHY (bsc#1012628).
  - ice: fix an error code in ice_cfg_phy_fec() (bsc#1012628).
  - ice: fix IPIP and SIT TSO offload (bsc#1012628).
  - ice: Fix KASAN error in LAG NETDEV_UNREGISTER handler
    (bsc#1012628).
  - ice: Avoid RTNL lock when re-creating auxiliary device
    (bsc#1012628).
  - net: mscc: ocelot: fix mutex lock error during ethtool stats
    read (bsc#1012628).
  - net: dsa: mv88e6xxx: fix use-after-free in
    mv88e6xxx_mdios_unregister (bsc#1012628).
  - vt_ioctl: fix array_index_nospec in vt_setactivate
    (bsc#1012628).
  - vt_ioctl: add array_index_nospec to VT_ACTIVATE (bsc#1012628).
  - n_tty: wake up poll(POLLRDNORM) on receiving data (bsc#1012628).
  - eeprom: ee1004: limit i2c reads to I2C_SMBUS_BLOCK_MAX
    (bsc#1012628).
  - usb: dwc2: drd: fix soft connect when gadget is unconfigured
    (bsc#1012628).
  - Revert "usb: dwc2: drd: fix soft connect when gadget is
    unconfigured" (bsc#1012628).
  - net: usb: ax88179_178a: Fix out-of-bounds accesses in RX fixup
    (bsc#1012628).
  - usb: ulpi: Move of_node_put to ulpi_dev_release (bsc#1012628).
  - usb: ulpi: Call of_node_put correctly (bsc#1012628).
  - usb: dwc3: gadget: Prevent core from processing stale TRBs
    (bsc#1012628).
  - usb: gadget: udc: renesas_usb3: Fix host to USB_ROLE_NONE
    transition (bsc#1012628).
  - USB: gadget: validate interface OS descriptor requests
    (bsc#1012628).
  - usb: gadget: rndis: check size of RNDIS_MSG_SET command
    (bsc#1012628).
  - usb: gadget: f_uac2: Define specific wTerminalType
    (bsc#1012628).
  - usb: raw-gadget: fix handling of dual-direction-capable
    endpoints (bsc#1012628).
  - USB: serial: ftdi_sio: add support for Brainboxes US-159/235/320
    (bsc#1012628).
  - USB: serial: option: add ZTE MF286D modem (bsc#1012628).
  - USB: serial: ch341: add support for GW Instek USB2.0-Serial
    devices (bsc#1012628).
  - USB: serial: cp210x: add NCR Retail IO box id (bsc#1012628).
  - USB: serial: cp210x: add CPI Bulk Coin Recycler id
    (bsc#1012628).
  - speakup-dectlk: Restore pitch setting (bsc#1012628).
  - phy: ti: Fix missing sentinel for clk_div_table (bsc#1012628).
  - iio: buffer: Fix file related error handling in
    IIO_BUFFER_GET_FD_IOCTL (bsc#1012628).
  - fs/proc: task_mmu.c: don't read mapcount for migration entry
    (bsc#1012628).
  - mm: vmscan: remove deadlock due to throttling failing to make
    progress (bsc#1012628).
  - mm: memcg: synchronize objcg lists with a dedicated spinlock
    (bsc#1012628).
  - seccomp: Invalidate seccomp mode to catch death failures
    (bsc#1012628).
  - signal: HANDLER_EXIT should clear SIGNAL_UNKILLABLE
    (bsc#1012628).
  - s390/cio: verify the driver availability for path_event call
    (bsc#1012628).
  - bus: mhi: pci_generic: Add mru_default for Foxconn SDX55
    (bsc#1012628).
  - bus: mhi: pci_generic: Add mru_default for Cinterion MV31-W
    (bsc#1012628).
  - x86/sgx: Silence softlockup detection when releasing large
    enclaves (bsc#1012628).
  - Makefile.extrawarn: Move -Wunaligned-access to W=1
    (bsc#1012628).
  - scsi: lpfc: Remove NVMe support if kernel has NVME_FC disabled
    (bsc#1012628).
  - scsi: lpfc: Reduce log messages seen after firmware download
    (bsc#1012628).
  - MIPS: octeon: Fix missed PTR->PTR_WD conversion (bsc#1012628).
  - arm64: dts: imx8mq: fix lcdif port node (bsc#1012628).
  - perf: Fix list corruption in perf_cgroup_switch() (bsc#1012628).
  - kconfig: fix missing fclose() on error paths (bsc#1012628).
  - docs/ABI: testing: aspeed-uart-routing: Escape asterisk
    (bsc#1012628).
  - iommu: Fix potential use-after-free during probe (bsc#1012628).
  - Update config files.
  - commit 3192082
* Wed Feb 16 2022 jslaby@suse.cz
  - Refresh
    patches.suse/drm-i915-Workaround-broken-BIOS-DBUF-configuration-o.patch.
    Update upstream status.
  - commit 9ffab43
* Wed Feb 16 2022 jslaby@suse.cz
  - Refresh
    patches.suse/drm-i915-Populate-pipe-dbuf-slices-more-accurately-d.patch.
    Update upstream status.
  - commit ae6fdf3
* Wed Feb 16 2022 jslaby@suse.cz
  - Refresh
    patches.suse/drm-i915-Allow-join_mbus-cases-for-adlp-dbuf-configu.patch.
    Update upstream status.
  - commit d6e9958
* Wed Feb 16 2022 dmueller@suse.com
  - config: Disable CONFIG_READ_ONLY_THP_FOR_FS (bsc#1195774)
  - commit 4a5d464
* Wed Feb 16 2022 dmueller@suse.com
  - rpm/kernel-obs-build.spec.in: use default dracut modules (bsc#1195926,
    bsc#1198484)
    Let's iron out the reduced initrd optimisation in Tumbleweed.
    Build full blown dracut initrd with systemd for SLE15 SP4.
  - commit ea76821
* Tue Feb 15 2022 dmueller@suse.com
  - config.conf: reenable armv6hl/armv7hl and aarch64
  - Update config files:
    Taken choices from x86_64/default for all new options
    Otherwise =m where possible, =y otherwise unless DEBUG or EXPERIMENTAL
  - commit 2ab3225
* Mon Feb 14 2022 tiwai@suse.de
  - usb: gadget: clear related members when goto fail
    (CVE-2022-24958 bsc#1195905).
  - usb: gadget: don't release an existing dev->buf (CVE-2022-24958
    bsc#1195905).
  - commit dedbf20
* Mon Feb 14 2022 tiwai@suse.de
  - ALSA: hda/realtek: Fix deadlock by COEF mutex (bsc#1195913).
  - ALSA: usb-audio: Don't abort resume upon errors (bsc#1195913).
  - ALSA: memalloc: invalidate SG pages before sync (bsc#1195913).
  - ALSA: memalloc: Fix dma_need_sync() checks (bsc#1195913).
  - commit 86181b2
* Sun Feb 13 2022 mkubecek@suse.cz
  - Update to 5.17-rc4
  - commit 660988d
* Fri Feb 11 2022 msuchanek@suse.de
  - kernel-binary: Do not include sourcedir in certificate path.
    The certs macro runs before build directory is set up so it creates the
    aggregate of supplied certificates in the source directory.
    Using this file directly as the certificate in kernel config works but
    embeds the source directory path in the kernel config.
    To avoid this symlink the certificate to the build directory and use
    relative path to refer to it.
    Also fabricate a certificate in the same location in build directory
    when none is provided.
  - commit bb988d4
* Fri Feb 11 2022 msuchanek@suse.de
  - BTF: Don't break ABI when debuginfo is disabled.
  - commit 9ff5fa4
* Fri Feb 11 2022 msuchanek@suse.de
  - constraints: Also adjust disk requirement for x86 and s390.
  - commit 9719db0
* Fri Feb 11 2022 msuchanek@suse.de
  - constraints: Increase disk space for aarch64
  - commit 09c2882
* Fri Feb 11 2022 jslaby@suse.cz
  - Linux 5.16.9 (bsc#1012628).
  - tipc: improve size validations for received domain records
    (bsc#1012628).
  - crypto: api - Move cryptomgr soft dependency into algapi
    (bsc#1012628).
  - ksmbd: fix SMB 3.11 posix extension mount failure (bsc#1012628).
  - KVM: s390: Return error on SIDA memop on normal guest
    (bsc#1012628).
  - moxart: fix potential use-after-free on remove path
    (bsc#1012628).
  - ata: libata-core: Fix ata_dev_config_cpr() (bsc#1012628).
  - commit 704dc30
* Thu Feb 10 2022 jslaby@suse.cz
  - Revert "build initrd without systemd" (bsc#1195775)
    This reverts commit ef4c569b998635a9369390d4e9cfe3a922815c76. systemd is
    needed to at least mount /sys/kernel/security/ during apparmor build.
  - commit 5d1f5d2
* Wed Feb 09 2022 jslaby@suse.cz
  - rpm/fdupes_relink: dups linking implementation in perl (bsc#1195709)
  - rpm/kernel-source.spec.in: use the above
    This is orders of magnitude faster.
  - commit 359854d
* Wed Feb 09 2022 jslaby@suse.cz
  - Update config files.
    Set CONFIG_FRAMEBUFFER_CONSOLE_LEGACY_ACCELERATION=y on i386. the
    rest to =n. Copied from master branch.
  - commit 224cad2
* Wed Feb 09 2022 jslaby@suse.cz
  - Linux 5.16.8 (bsc#1012628).
  - drm/i915: Disable DSB usage for now (bsc#1012628).
  - selinux: fix double free of cond_list on error paths
    (bsc#1012628).
  - audit: improve audit queue handling when "audit=1" on cmdline
    (bsc#1012628).
  - ipc/sem: do not sleep with a spin lock held (bsc#1012628).
  - spi: stm32-qspi: Update spi registering (bsc#1012628).
  - ASoC: hdmi-codec: Fix OOB memory accesses (bsc#1012628).
  - ASoC: ops: Reject out of bounds values in snd_soc_put_volsw()
    (bsc#1012628).
  - ASoC: ops: Reject out of bounds values in snd_soc_put_volsw_sx()
    (bsc#1012628).
  - ASoC: ops: Reject out of bounds values in snd_soc_put_xr_sx()
    (bsc#1012628).
  - ALSA: usb-audio: Correct quirk for VF0770 (bsc#1012628).
  - ALSA: hda: Fix UAF of leds class devs at unbinding
    (bsc#1012628).
  - ALSA: hda: realtek: Fix race at concurrent COEF updates
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for ASUS GU603 (bsc#1012628).
  - ALSA: hda/realtek: Add missing fixup-model entry for Gigabyte
    X570 ALC1220 quirks (bsc#1012628).
  - ALSA: hda/realtek: Fix silent output on Gigabyte X570S Aorus
    Master (newer chipset) (bsc#1012628).
  - ALSA: hda/realtek: Fix silent output on Gigabyte X570 Aorus
    Xtreme after reboot from Windows (bsc#1012628).
  - ata: libata-core: Introduce ATA_HORKAGE_NO_LOG_DIR horkage
    (bsc#1012628).
  - btrfs: don't start transaction for scrub if the fs is mounted
    read-only (bsc#1012628).
  - btrfs: fix deadlock between quota disable and qgroup rescan
    worker (bsc#1012628).
  - btrfs: fix use-after-free after failure to create a snapshot
    (bsc#1012628).
  - Revert "fs/9p: search open fids first" (bsc#1012628).
  - drm/nouveau: fix off by one in BIOS boundary checking
    (bsc#1012628).
  - drm/i915/adlp: Fix TypeC PHY-ready status readout (bsc#1012628).
  - drm/amdgpu: fix a potential GPU hang on cyan skillfish
    (bsc#1012628).
  - drm/amd/pm: correct the MGpuFanBoost support for Beige Goby
    (bsc#1012628).
  - drm/amd/display: Update watermark values for DCN301
    (bsc#1012628).
  - drm/amd/display: watermark latencies is not enough on DCN31
    (bsc#1012628).
  - drm/amd/display: Force link_rate as LINK_RATE_RBR2 for 2018 15"
    Apple Retina panels (bsc#1012628).
  - nvme-fabrics: fix state check in nvmf_ctlr_matches_baseopts()
    (bsc#1012628).
  - mm/debug_vm_pgtable: remove pte entry from the page table
    (bsc#1012628).
  - mm/pgtable: define pte_index so that preprocessor could
    recognize it (bsc#1012628).
  - mm/kmemleak: avoid scanning potential huge holes (bsc#1012628).
  - block: bio-integrity: Advance seed correctly for larger interval
    sizes (bsc#1012628).
  - dma-buf: heaps: Fix potential spectre v1 gadget (bsc#1012628).
  - IB/hfi1: Fix panic with larger ipoib send_queue_size
    (bsc#1012628).
  - IB/hfi1: Fix alloc failure with larger txqueuelen (bsc#1012628).
  - IB/hfi1: Fix AIP early init panic (bsc#1012628).
  - Revert "fbdev: Garbage collect fbdev scrolling acceleration,
    part 1 (from TODO list)" (bsc#1012628).
  - Revert "fbcon: Disable accelerated scrolling" (bsc#1012628).
  - fbcon: Add option to enable legacy hardware acceleration
    (bsc#1012628).
  - mptcp: fix msk traversal in mptcp_nl_cmd_set_flags()
    (bsc#1012628).
  - Revert "ASoC: mediatek: Check for error clk pointer"
    (bsc#1012628).
  - RISC-V: KVM: make CY, TM, and IR counters accessible in VU mode
    (bsc#1012628).
  - KVM: arm64: Avoid consuming a stale esr value when SError occur
    (bsc#1012628).
  - KVM: arm64: Stop handle_exit() from handling HVC twice when
    an SError occurs (bsc#1012628).
  - arm64: Add Cortex-A510 CPU part definition (bsc#1012628).
  - RDMA/cma: Use correct address when leaving multicast group
    (bsc#1012628).
  - RDMA/ucma: Protect mc during concurrent multicast leaves
    (bsc#1012628).
  - RDMA/siw: Fix refcounting leak in siw_create_qp() (bsc#1012628).
  - IB/rdmavt: Validate remote_addr during loopback atomic tests
    (bsc#1012628).
  - RDMA/siw: Fix broken RDMA Read Fence/Resume logic (bsc#1012628).
  - RDMA/mlx4: Don't continue event handler after memory allocation
    failure (bsc#1012628).
  - ALSA: usb-audio: initialize variables that could ignore errors
    (bsc#1012628).
  - ALSA: hda: Fix signedness of sscanf() arguments (bsc#1012628).
  - ALSA: hda: Skip codec shutdown in case the codec is not
    registered (bsc#1012628).
  - iommu/vt-d: Fix potential memory leak in
    intel_setup_irq_remapping() (bsc#1012628).
  - iommu/amd: Fix loop timeout issue in iommu_ga_log_enable()
    (bsc#1012628).
  - spi: bcm-qspi: check for valid cs before applying chip select
    (bsc#1012628).
  - spi: mediatek: Avoid NULL pointer crash in interrupt
    (bsc#1012628).
  - spi: meson-spicc: add IRQ check in meson_spicc_probe
    (bsc#1012628).
  - spi: uniphier: fix reference count leak in uniphier_spi_probe()
    (bsc#1012628).
  - IB/hfi1: Fix tstats alloc and dealloc (bsc#1012628).
  - IB/cm: Release previously acquired reference counter in the
    cm_id_priv (bsc#1012628).
  - net: ieee802154: hwsim: Ensure proper channel selection at
    probe time (bsc#1012628).
  - net: ieee802154: mcr20a: Fix lifs/sifs periods (bsc#1012628).
  - net: ieee802154: ca8210: Stop leaking skb's (bsc#1012628).
  - netfilter: nft_reject_bridge: Fix for missing reply from
    prerouting (bsc#1012628).
  - net: ieee802154: Return meaningful error codes from the netlink
    helpers (bsc#1012628).
  - net/smc: Forward wakeup to smc socket waitqueue after fallback
    (bsc#1012628).
  - net: stmmac: dwmac-visconti: No change to ETHER_CLOCK_SEL for
    unexpected speed request (bsc#1012628).
  - net: stmmac: properly handle with runtime pm in
    stmmac_dvr_remove() (bsc#1012628).
  - net: macsec: Fix offload support for NETDEV_UNREGISTER event
    (bsc#1012628).
  - net: macsec: Verify that send_sci is on when setting Tx sci
    explicitly (bsc#1012628).
  - net: stmmac: dump gmac4 DMA registers correctly (bsc#1012628).
  - net, neigh: Do not trigger immediate probes on NUD_FAILED from
    neigh_managed_work (bsc#1012628).
  - net: stmmac: ensure PTP time register reads are consistent
    (bsc#1012628).
  - drm: mxsfb: Fix NULL pointer dereference (bsc#1012628).
  - drm/kmb: Fix for build errors with Warray-bounds (bsc#1012628).
  - drm/i915/overlay: Prevent divide by zero bugs in scaling
    (bsc#1012628).
  - drm/i915: Lock timeline mutex directly in error path of
    eb_pin_timeline (bsc#1012628).
  - drm/amd: avoid suspend on dGPUs w/ s2idle support when runtime
    PM enabled (bsc#1012628).
  - ASoC: rt5682: Fix deadlock on resume (bsc#1012628).
  - ASoC: fsl: Add missing error handling in pcm030_fabric_probe
    (bsc#1012628).
  - ASoC: xilinx: xlnx_formatter_pcm: Make buffer bytes multiple
    of period bytes (bsc#1012628).
  - ASoC: simple-card: fix probe failure on platform component
    (bsc#1012628).
  - ASoC: cpcap: Check for NULL pointer after calling
    of_get_child_by_name (bsc#1012628).
  - ASoC: max9759: fix underflow in speaker_gain_control_put()
    (bsc#1012628).
  - ASoC: codecs: wcd938x: fix incorrect used of portid
    (bsc#1012628).
  - ASoC: codecs: lpass-rx-macro: fix sidetone register offsets
    (bsc#1012628).
  - ASoC: codecs: wcd938x: fix return value of mixer put function
    (bsc#1012628).
  - ASoC: qdsp6: q6apm-dai: only stop graphs that are started
    (bsc#1012628).
  - pinctrl: sunxi: Fix H616 I2S3 pin data (bsc#1012628).
  - pinctrl: intel: Fix a glitch when updating IRQ flags on a
    preconfigured line (bsc#1012628).
  - pinctrl: intel: fix unexpected interrupt (bsc#1012628).
  - pinctrl: bcm2835: Fix a few error paths (bsc#1012628).
  - btrfs: fix use of uninitialized variable at rm device ioctl
    (bsc#1012628).
  - scsi: bnx2fc: Make bnx2fc_recv_frame() mp safe (bsc#1012628).
  - nfsd: nfsd4_setclientid_confirm mistakenly expires confirmed
    client (bsc#1012628).
  - gve: fix the wrong AdminQ buffer queue index check
    (bsc#1012628).
  - bpf: Use VM_MAP instead of VM_ALLOC for ringbuf (bsc#1012628).
  - selftests/exec: Remove pipe from TEST_GEN_FILES (bsc#1012628).
  - selftests: futex: Use variable MAKE instead of make
    (bsc#1012628).
  - tools/resolve_btfids: Do not print any commands when building
    silently (bsc#1012628).
  - e1000e: Separate ADP board type from TGP (bsc#1012628).
  - rtc: cmos: Evaluate century appropriate (bsc#1012628).
  - objtool: Fix truncated string warning (bsc#1012628).
  - kvm: add guest_state_{enter,exit}_irqoff() (bsc#1012628).
  - kvm/arm64: rework guest entry logic (bsc#1012628).
  - perf: Copy perf_event_attr::sig_data on modification
    (bsc#1012628).
  - perf stat: Fix display of grouped aliased events (bsc#1012628).
  - perf/x86/intel/pt: Fix crash with stop filters in single-range
    mode (bsc#1012628).
  - x86/perf: Default set FREEZE_ON_SMI for all (bsc#1012628).
  - EDAC/altera: Fix deferred probing (bsc#1012628).
  - EDAC/xgene: Fix deferred probing (bsc#1012628).
  - ext4: prevent used blocks from being allocated during fast
    commit replay (bsc#1012628).
  - ext4: modify the logic of ext4_mb_new_blocks_simple
    (bsc#1012628).
  - ext4: fix error handling in ext4_restore_inline_data()
    (bsc#1012628).
  - ext4: fix error handling in ext4_fc_record_modified_inode()
    (bsc#1012628).
  - ext4: fix incorrect type issue during replay_del_range
    (bsc#1012628).
  - net: dsa: mt7530: make NET_DSA_MT7530 select MEDIATEK_GE_PHY
    (bsc#1012628).
  - cgroup/cpuset: Fix "suspicious RCU usage" lockdep warning
    (bsc#1012628).
  - tools include UAPI: Sync sound/asound.h copy with the kernel
    sources (bsc#1012628).
  - gpio: idt3243x: Fix an ignored error return from
    platform_get_irq() (bsc#1012628).
  - gpio: mpc8xxx: Fix an ignored error return from
    platform_get_irq() (bsc#1012628).
  - selftests: nft_concat_range: add test for reload with no
    element add/del (bsc#1012628).
  - selftests: netfilter: check stateless nat udp checksum fixup
    (bsc#1012628).
  - Update config files.
  - commit 1b44d21
* Tue Feb 08 2022 jslaby@suse.cz
  - Refresh
    patches.suse/0001-lib-raid6-Use-strict-priority-ranking-for-pq-gen-ben.patch.
  - Refresh
    patches.suse/0001-lib-raid6-skip-benchmark-of-non-chosen-xor_syndrome-.patch.
  - Refresh
    patches.suse/cifs-fix-workstation_name-for-multiuser-mounts.patch.
    Update upstream status and move to sorted section.
  - commit 9ebef3e
* Mon Feb 07 2022 tiwai@suse.de
  - drm/i915: Workaround broken BIOS DBUF configuration on TGL/RKL
    (bsc#1193640).
  - drm/i915: Populate pipe dbuf slices more accurately during
    readout (bsc#1193640).
  - drm/i915: Allow !join_mbus cases for adlp+ dbuf configuration
    (bsc#1193640).
  - commit 0503f69
* Sun Feb 06 2022 mkubecek@suse.cz
  - Update to 5.17-rc3
  - eliminate 1 patch
    - patches.suse/cifs-fix-workstation_name-for-multiuser-mounts.patch
  - update configs
    - FRAMEBUFFER_CONSOLE_LEGACY_ACCELERATION=n (y on i386)
  - commit 335402f
* Sun Feb 06 2022 jslaby@suse.cz
  - Linux 5.16.7 (bsc#1012628).
  - Revert "drm/vc4: hdmi: Make sure the device is powered with CEC"
    again (bsc#1012628).
  - Revert "drm/vc4: hdmi: Make sure the device is powered with CEC"
    (bsc#1012628).
  - commit 37d59fa
* Sat Feb 05 2022 jslaby@suse.cz
  - Linux 5.16.6 (bsc#1012628).
  - ovl: fix NULL pointer dereference in copy up warning
    (bsc#1012628).
  - tcp: add missing tcp_skb_can_collapse() test in
    tcp_shift_skb_data() (bsc#1012628).
  - tcp: fix mem under-charging with zerocopy sendmsg()
    (bsc#1012628).
  - af_packet: fix data-race in packet_setsockopt /
    packet_setsockopt (bsc#1012628).
  - e1000e: Handshake with CSME starts from ADL platforms
    (bsc#1012628).
  - cpuset: Fix the bug that subpart_cpus updated wrongly in
    update_cpumask() (bsc#1012628).
  - bpf: Fix possible race in inc_misses_counter (bsc#1012628).
  - net: ipa: request IPA register values be retained (bsc#1012628).
  - rtnetlink: make sure to refresh master_dev/m_ops in
    __rtnl_newlink() (bsc#1012628).
  - net: sched: fix use-after-free in tc_new_tfilter()
    (bsc#1012628).
  - fanotify: Fix stale file descriptor in copy_event_to_user()
    (bsc#1012628).
  - net: amd-xgbe: Fix skb data length underflow (bsc#1012628).
  - net: amd-xgbe: ensure to reset the tx_timer_active flag
    (bsc#1012628).
  - i40e: Fix reset path while removing the driver (bsc#1012628).
  - i40e: Fix reset bw limit when DCB enabled with 1 TC
    (bsc#1012628).
  - ipheth: fix EOVERFLOW in ipheth_rcvbulk_callback (bsc#1012628).
  - net/mlx5e: Avoid implicit modify hdr for decap drop rule
    (bsc#1012628).
  - net/mlx5: E-Switch, Fix uninitialized variable modact
    (bsc#1012628).
  - net/mlx5e: Fix broken SKB allocation in HW-GRO (bsc#1012628).
  - net/mlx5e: Fix wrong calculation of header index in HW_GRO
    (bsc#1012628).
  - net/mlx5e: Avoid field-overflowing memcpy() (bsc#1012628).
  - net/mlx5: Bridge, Fix devlink deadlock on net namespace deletion
    (bsc#1012628).
  - net/mlx5e: Don't treat small ceil values as unlimited in HTB
    offload (bsc#1012628).
  - net/mlx5: Fix offloading with ESWITCH_IPV4_TTL_MODIFY_ENABLE
    (bsc#1012628).
  - net/mlx5e: TC, Reject rules with forward and drop actions
    (bsc#1012628).
  - net/mlx5e: Fix module EEPROM query (bsc#1012628).
  - net/mlx5: Use del_timer_sync in fw reset flow of halting poll
    (bsc#1012628).
  - net/mlx5e: Fix handling of wrong devices during bond netevent
    (bsc#1012628).
  - net/mlx5: Bridge, ensure dev_name is null-terminated
    (bsc#1012628).
  - net/mlx5: Bridge, take rtnl lock in init error handler
    (bsc#1012628).
  - net/mlx5e: TC, Reject rules with drop and modify hdr action
    (bsc#1012628).
  - net/mlx5e: IPsec: Fix tunnel mode crypto offload for non
    TCP/UDP traffic (bsc#1012628).
  - net/mlx5e: IPsec: Fix crypto offload for non TCP/UDP
    encapsulated traffic (bsc#1012628).
  - lockd: fix failure to cleanup client locks (bsc#1012628).
  - lockd: fix server crash on reboot of client holding lock
    (bsc#1012628).
  - ovl: don't fail copy up if no fileattr support on upper
    (bsc#1012628).
  - net: phy: Fix qca8081 with speeds lower than 2.5Gb/s
    (bsc#1012628).
  - Revert "mm/gup: small refactoring: simplify try_grab_page()"
    (bsc#1012628).
  - cgroup-v1: Require capabilities to set release_agent
    (bsc#1012628).
  - drm/vc4: hdmi: Make sure the device is powered with CEC
    (bsc#1012628).
  - net: ipa: prevent concurrent replenish (bsc#1012628).
  - net: ipa: use a bitmap for endpoint replenish_enabled
    (bsc#1012628).
  - selftests: mptcp: fix ipv6 routing setup (bsc#1012628).
  - PCI: pciehp: Fix infinite loop in IRQ handler upon power fault
    (bsc#1012628).
  - commit 1e2a324
* Sat Feb 05 2022 tiwai@suse.de
  - Refresh patches.suse/Input-elan_i2c-Add-deny-list-for-Lenovo-Yoga-Slim-7.patch
    Fix section mistmatch warning
  - commit 672f0d5
* Wed Feb 02 2022 jslaby@suse.cz
  - cifs: fix workstation_name for multiuser mounts (bsc#1195360).
  - commit 6d27379
* Wed Feb 02 2022 jslaby@suse.cz
  - Linux 5.16.5 (bsc#1012628).
  - mtd: rawnand: mpc5121: Remove unused variable in
    ads5121_select_chip() (bsc#1012628).
  - block: Fix wrong offset in bio_truncate() (bsc#1012628).
  - KVM: nVMX: Allow VMREAD when Enlightened VMCS is in use
    (bsc#1012628).
  - KVM: nVMX: Implement evmcs_field_offset() suitable for
    handle_vmread() (bsc#1012628).
  - KVM: nVMX: Rename vmcs_to_field_offset{,_table} (bsc#1012628).
  - tools/testing/scatterlist: add missing defines (bsc#1012628).
  - usr/include/Makefile: add linux/nfc.h to the compile-test
    coverage (bsc#1012628).
  - usb: dwc3: xilinx: fix uninitialized return value (bsc#1012628).
  - psi: fix "defined but not used" warnings when CONFIG_PROC_FS=n
    (bsc#1012628).
  - psi: fix "no previous prototype" warnings when CONFIG_CGROUPS=n
    (bsc#1012628).
  - perf/core: Fix cgroup event list management (bsc#1012628).
  - PCI: mt7621: Remove unused function pcie_rmw() (bsc#1012628).
  - dt-bindings: can: tcan4x5x: fix mram-cfg RX FIFO config
    (bsc#1012628).
  - irqchip/realtek-rtl: Fix off-by-one in routing (bsc#1012628).
  - irqchip/realtek-rtl: Map control data to virq (bsc#1012628).
  - net: bridge: vlan: fix memory leak in __allowed_ingress
    (bsc#1012628).
  - ipv4: remove sparse error in ip_neigh_gw4() (bsc#1012628).
  - ipv4: tcp: send zero IPID in SYNACK messages (bsc#1012628).
  - ipv4: raw: lock the socket in raw_bind() (bsc#1012628).
  - net: bridge: vlan: fix single net device option dumping
    (bsc#1012628).
  - Revert "ipv6: Honor all IPv6 PIO Valid Lifetime values"
    (bsc#1012628).
  - gve: Fix GFP flags when allocing pages (bsc#1012628).
  - ceph: put the requests/sessions when it fails to alloc memory
    (bsc#1012628).
  - KVM: selftests: Don't skip L2's VMCALL in SMM test for SVM guest
    (bsc#1012628).
  - Revert "drm/ast: Support 1600x900 with 108MHz PCLK"
    (bsc#1012628).
  - sch_htb: Fail on unsupported parameters when offload is
    requested (bsc#1012628).
  - KVM: selftests: Re-enable access_tracking_perf_test
    (bsc#1012628).
  - net: hns3: handle empty unknown interrupt for VF (bsc#1012628).
  - net: cpsw: Properly initialise struct page_pool_params
    (bsc#1012628).
  - yam: fix a memory leak in yam_siocdevprivate() (bsc#1012628).
  - drm/msm/a6xx: Add missing suspend_count increment (bsc#1012628).
  - drm/msm/dpu: invalid parameter check in dpu_setup_dspp_pcc
    (bsc#1012628).
  - drm/msm/hdmi: Fix missing put_device() call in msm_hdmi_get_phy
    (bsc#1012628).
  - hwmon: (nct6775) Fix crash in clear_caseopen (bsc#1012628).
  - can: tcan4x5x: regmap: fix max register value (bsc#1012628).
  - video: hyperv_fb: Fix validation of screen resolution
    (bsc#1012628).
  - net/smc: Transitional solution for clcsock race issue
    (bsc#1012628).
  - ibmvnic: don't spin in tasklet (bsc#1012628).
  - ibmvnic: init ->running_cap_crqs early (bsc#1012628).
  - ibmvnic: Allow extra failures before disabling (bsc#1012628).
  - ipv4: fix ip option filtering for locally generated fragments
    (bsc#1012628).
  - powerpc/perf: Fix power_pmu_disable to call
    clear_pmi_irq_pending only if PMI is pending (bsc#1012628).
  - hwmon: (adt7470) Prevent divide by zero in adt7470_fan_write()
    (bsc#1012628).
  - hwmon: (lm90) Fix sysfs and udev notifications (bsc#1012628).
  - hwmon: (lm90) Mark alert as broken for MAX6654 (bsc#1012628).
  - hwmon: (lm90) Re-enable interrupts after alert clears
    (bsc#1012628).
  - Drivers: hv: balloon: account for vmbus packet header in
    max_pkt_size (bsc#1012628).
  - block: fix memory leak in
    disk_register_independent_access_ranges (bsc#1012628).
  - io_uring: fix bug in slow unregistering of nodes (bsc#1012628).
  - efi/libstub: arm64: Fix image check alignment at entry
    (bsc#1012628).
  - rxrpc: Adjust retransmission backoff (bsc#1012628).
  - octeontx2-af: Add KPU changes to parse NGIO as separate layer
    (bsc#1012628).
  - octeontx2-pf: Forward error codes to VF (bsc#1012628).
  - octeontx2-af: cn10k: Do not enable RPM loopback for LPC
    interfaces (bsc#1012628).
  - octeontx2-af: Increase link credit restore polling timeout
    (bsc#1012628).
  - octeontx2-pf: cn10k: Ensure valid pointers are freed to aura
    (bsc#1012628).
  - octeontx2-af: cn10k: Use appropriate register for LMAC enable
    (bsc#1012628).
  - octeontx2-af: Retry until RVU block reset complete
    (bsc#1012628).
  - octeontx2-af: Fix LBK backpressure id count (bsc#1012628).
  - octeontx2-af: Do not fixup all VF action entries (bsc#1012628).
  - phylib: fix potential use-after-free (bsc#1012628).
  - net: stmmac: dwmac-visconti: Fix clock configuration for RMII
    mode (bsc#1012628).
  - net: stmmac: dwmac-visconti: Fix bit definitions for
    ETHER_CLK_SEL (bsc#1012628).
  - ethtool: Fix link extended state for big endian (bsc#1012628).
  - net: phy: broadcom: hook up soft_reset for BCM54616S
    (bsc#1012628).
  - sched/pelt: Relax the sync of util_sum with util_avg
    (bsc#1012628).
  - perf: Fix perf_event_read_local() time (bsc#1012628).
  - powerpc/64s: Mask SRR0 before checking against the masked NIP
    (bsc#1012628).
  - remoteproc: qcom: q6v5: fix service routines build errors
    (bsc#1012628).
  - netfilter: conntrack: don't increment invalid counter on
    NF_REPEAT (bsc#1012628).
  - powerpc64/bpf: Limit 'ldbrx' to processors compliant with ISA
    v2.06 (bsc#1012628).
  - SUNRPC: Don't dereference xprt->snd_task if it's a cookie
    (bsc#1012628).
  - KVM: arm64: pkvm: Use the mm_ops indirection for cache
    maintenance (bsc#1012628).
  - NFS: Ensure the server has an up to date ctime before renaming
    (bsc#1012628).
  - NFS: Ensure the server has an up to date ctime before
    hardlinking (bsc#1012628).
  - ipv6: annotate accesses to fn->fn_sernum (bsc#1012628).
  - drm/msm/dsi: invalid parameter check in msm_dsi_phy_enable
    (bsc#1012628).
  - drm/msm/dsi: Fix missing put_device() call in dsi_get_phy
    (bsc#1012628).
  - drm/msm: Fix wrong size calculation (bsc#1012628).
  - net-procfs: show net devices bound packet types (bsc#1012628).
  - NFSv4: nfs_atomic_open() can race when looking up a non-regular
    file (bsc#1012628).
  - NFSv4: Handle case where the lookup of a directory fails
    (bsc#1012628).
  - hwmon: (lm90) Reduce maximum conversion rate for G781
    (bsc#1012628).
  - ipv4: avoid using shared IP generator for connected sockets
    (bsc#1012628).
  - ping: fix the sk_bound_dev_if match in ping_lookup
    (bsc#1012628).
  - hwmon: (lm90) Mark alert as broken for MAX6680 (bsc#1012628).
  - hwmon: (lm90) Mark alert as broken for MAX6646/6647/6649
    (bsc#1012628).
  - net: fix information leakage in /proc/net/ptype (bsc#1012628).
  - ARM: 9170/1: fix panic when kasan and kprobe are enabled
    (bsc#1012628).
  - ipv6_tunnel: Rate limit warning messages (bsc#1012628).
  - scsi: bnx2fc: Flush destroy_work queue before calling
    bnx2fc_interface_put() (bsc#1012628).
  - scsi: elx: efct: Don't use GFP_KERNEL under spin lock
    (bsc#1012628).
  - rpmsg: char: Fix race between the release of rpmsg_eptdev and
    cdev (bsc#1012628).
  - rpmsg: char: Fix race between the release of rpmsg_ctrldev
    and cdev (bsc#1012628).
  - usb: roles: fix include/linux/usb/role.h compile issue
    (bsc#1012628).
  - i40e: fix unsigned stat widths (bsc#1012628).
  - i40e: Fix for failed to init adminq while VF reset
    (bsc#1012628).
  - i40e: Fix queues reservation for XDP (bsc#1012628).
  - i40e: Fix issue when maximum queues is exceeded (bsc#1012628).
  - i40e: Increase delay to 1 s after global EMP reset
    (bsc#1012628).
  - powerpc/32: Fix boot failure with GCC latent entropy plugin
    (bsc#1012628).
  - powerpc/32s: Fix kasan_init_region() for KASAN (bsc#1012628).
  - powerpc/32s: Allocate one 256k IBAT instead of two consecutives
    128k IBATs (bsc#1012628).
  - x86/cpu: Add Xeon Icelake-D to list of CPUs that support PPIN
    (bsc#1012628).
  - x86/MCE/AMD: Allow thresholding interface updates after init
    (bsc#1012628).
  - PCI/sysfs: Find shadow ROM before static attribute
    initialization (bsc#1012628).
  - sched/membarrier: Fix membarrier-rseq fence command missing
    from query bitmask (bsc#1012628).
  - ocfs2: fix a deadlock when commit trans (bsc#1012628).
  - jbd2: export jbd2_journal_[grab|put]_journal_head (bsc#1012628).
  - mm, kasan: use compare-exchange operation to set KASAN page tag
    (bsc#1012628).
  - mt76: connac: introduce MCU_CE_CMD macro (bsc#1012628).
  - ucsi_ccg: Check DEV_INT bit only when starting CCG4
    (bsc#1012628).
  - usb: typec: tcpm: Do not disconnect when receiving VSAFE0V
    (bsc#1012628).
  - usb: typec: tcpm: Do not disconnect while receiving VBUS off
    (bsc#1012628).
  - usb: typec: tcpci: don't touch CC line if it's Vconn source
    (bsc#1012628).
  - USB: core: Fix hang in usb_kill_urb by adding memory barriers
    (bsc#1012628).
  - usb: dwc3: xilinx: Fix error handling when getting USB3 PHY
    (bsc#1012628).
  - usb: dwc3: xilinx: Skip resets and USB3 register settings for
    USB2.0 mode (bsc#1012628).
  - usb: cdnsp: Fix segmentation fault in cdns_lost_power function
    (bsc#1012628).
  - usb: gadget: f_sourcesink: Fix isoc transfer for
    USB_SPEED_SUPER_PLUS (bsc#1012628).
  - usb: common: ulpi: Fix crash in ulpi_match() (bsc#1012628).
  - usb: xhci-plat: fix crash when suspend if remote wake enable
    (bsc#1012628).
  - usb-storage: Add unusual-devs entry for VL817 USB-SATA bridge
    (bsc#1012628).
  - kbuild: remove include/linux/cyclades.h from header file check
    (bsc#1012628).
  - tty: Add support for Brainboxes UC cards (bsc#1012628).
  - tty: Partially revert the removal of the Cyclades public API
    (bsc#1012628).
  - tty: n_gsm: fix SW flow control encoding/handling (bsc#1012628).
  - tty: rpmsg: Fix race condition releasing tty port (bsc#1012628).
  - serial: stm32: fix software flow control transfer (bsc#1012628).
  - serial: 8250: of: Fix mapped region size when using reg-offset
    property (bsc#1012628).
  - serial: pl011: Fix incorrect rs485 RTS polarity on set_mctrl
    (bsc#1012628).
  - dm: properly fix redundant bio-based IO accounting
    (bsc#1012628).
  - block: add bio_start_io_acct_time() to control start_time
    (bsc#1012628).
  - dm: revert partial fix for redundant bio-based IO accounting
    (bsc#1012628).
  - arm64: extable: fix load_unaligned_zeropad() reg indices
    (bsc#1012628).
  - security, lsm: dentry_init_security() Handle multi LSM
    registration (bsc#1012628).
  - KVM: PPC: Book3S HV Nested: Fix nested HFSCR being clobbered
    with multiple vCPUs (bsc#1012628).
  - KVM: x86: Sync the states size with the XCR0/IA32_XSS at,
    any time (bsc#1012628).
  - KVM: x86: Update vCPU's runtime CPUID on write to MSR_IA32_XSS
    (bsc#1012628).
  - KVM: x86: Keep MSR_IA32_XSS unchanged for INIT (bsc#1012628).
  - KVM: x86: Check .flags in kvm_cpuid_check_equal() too
    (bsc#1012628).
  - KVM: x86: Forcibly leave nested virt when SMM state is toggled
    (bsc#1012628).
  - KVM: x86: Free kvm_cpuid_entry2 array on post-KVM_RUN
    KVM_SET_CPUID{,2} (bsc#1012628).
  - KVM: x86: Move CPUID.(EAX=0x12,ECX=1) mangling to
    __kvm_update_cpuid_runtime() (bsc#1012628).
  - KVM: x86: nSVM: skip eax alignment check for non-SVM
    instructions (bsc#1012628).
  - KVM: SVM: Don't intercept #GP for SEV guests (bsc#1012628).
  - KVM: SVM: Never reject emulation due to SMAP errata for !SEV
    guests (bsc#1012628).
  - KVM: LAPIC: Also cancel preemption timer during SET_LAPIC
    (bsc#1012628).
  - drm/amd/display: Wrap dcn301_calculate_wm_and_dlg for FPU
    (bsc#1012628).
  - drm/amd/display: Fix FP start/end for dcn30_internal_validate_bw
    (bsc#1012628).
  - drm/amdgpu/display: Remove t_srx_delay_us (bsc#1012628).
  - drm/amdgpu: filter out radeon secondary ids as well
    (bsc#1012628).
  - drm/atomic: Add the crtc to affected crtc only if uapi.enable =
    true (bsc#1012628).
  - drm/etnaviv: relax submit size limits (bsc#1012628).
  - perf/x86/intel: Add a quirk for the calculation of the number
    of counters on Alder Lake (bsc#1012628).
  - perf/x86/intel/uncore: Fix CAS_COUNT_WRITE issue for ICX
    (bsc#1012628).
  - powerpc/audit: Fix syscall_get_arch() (bsc#1012628).
  - psi: Fix uaf issue when psi trigger is destroyed while being
    polled (bsc#1012628).
  - Revert "KVM: SVM: avoid infinite loop on NPF from bad address"
    (bsc#1012628).
  - fsnotify: fix fsnotify hooks in pseudo filesystems
    (bsc#1012628).
  - fsnotify: invalidate dcache before IN_DELETE event
    (bsc#1012628).
  - ceph: set pool_ns in new inode layout for async creates
    (bsc#1012628).
  - ceph: properly put ceph_string reference after async create
    attempt (bsc#1012628).
  - tracing: Don't inc err_log entry count if entry allocation fails
    (bsc#1012628).
  - tracing: Propagate is_signed to expression (bsc#1012628).
  - tracing/histogram: Fix a potential memory leak for kstrdup()
    (bsc#1012628).
  - PM: wakeup: simplify the output logic of pm_show_wakelocks()
    (bsc#1012628).
  - efi: runtime: avoid EFIv2 runtime services on Apple x86 machines
    (bsc#1012628).
  - udf: Fix NULL ptr deref when converting from inline format
    (bsc#1012628).
  - udf: Restore i_lenAlloc when inode expansion fails
    (bsc#1012628).
  - scsi: zfcp: Fix failed recovery on gone remote port with
    non-NPIV FCP devices (bsc#1012628).
  - ucount: Make get_ucount a safe get_user replacement
    (bsc#1012628).
  - powerpc/bpf: Update ldimm64 instructions during extra pass
    (bsc#1012628).
  - powerpc32/bpf: Fix codegen for bpf-to-bpf calls (bsc#1012628).
  - bpf: Guard against accessing NULL pt_regs in
    bpf_get_task_stack() (bsc#1012628).
  - s390/nmi: handle vector validity failures for KVM guests
    (bsc#1012628).
  - s390/nmi: handle guarded storage validity failures for KVM
    guests (bsc#1012628).
  - s390/hypfs: include z/VM guests with access control group set
    (bsc#1012628).
  - s390/module: fix loading modules with a lot of relocations
    (bsc#1012628).
  - KVM: arm64: vgic-v3: Restrict SEIS workaround to known broken
    systems (bsc#1012628).
  - KVM: arm64: Use shadow SPSR_EL1 when injecting exceptions on
    !VHE (bsc#1012628).
  - ARM: 9180/1: Thumb2: align ALT_UP() sections in modules
    sufficiently (bsc#1012628).
  - ARM: 9179/1: uaccess: avoid alignment faults in
    copy_[from|to]_kernel_nofault (bsc#1012628).
  - net: stmmac: skip only stmmac_ptp_register when resume from
    suspend (bsc#1012628).
  - net: stmmac: configure PTP clock source prior to PTP
    initialization (bsc#1012628).
  - net: sfp: ignore disabled SFP node (bsc#1012628).
  - can: m_can: m_can_fifo_{read,write}: don't read or write
    from/to FIFO if length is 0 (bsc#1012628).
  - btrfs: update writeback index when starting defrag
    (bsc#1012628).
  - btrfs: add back missing dirty page rate limiting to defrag
    (bsc#1012628).
  - btrfs: fix deadlock when reserving space during defrag
    (bsc#1012628).
  - btrfs: defrag: properly update range->start for autodefrag
    (bsc#1012628).
  - btrfs: defrag: fix wrong number of defragged sectors
    (bsc#1012628).
  - btrfs: allow defrag to be interruptible (bsc#1012628).
  - btrfs: fix too long loop when defragging a 1 byte file
    (bsc#1012628).
  - Bluetooth: refactor malicious adv data check (bsc#1012628).
  - commit 5681efc
* Tue Feb 01 2022 tiwai@suse.de
  - Input: synaptics: retry query upon error (bsc#1194086).
  - commit cfcc1f5
* Tue Feb 01 2022 tiwai@suse.de
  - Input: elan_i2c: Add deny list for Lenovo Yoga Slim 7
    (bsc#1193064).
  - commit 26e60ad
* Mon Jan 31 2022 ludwig.nussel@suse.de
  - kernel-obs-build: include 9p (boo#1195353)
    To be able to share files between host and the qemu vm of the build
    script, the 9p and 9p_virtio kernel modules need to be included in
    the initrd of kernel-obs-build.
  - commit 0cfe67a
* Mon Jan 31 2022 tzimmermann@suse.de
  - config: x86-64: Enable DRM stack for early-boot graphics (boo#1193472)
    Replace fbdev's generic drivers with DRM-based simpledrm. Enables the
    DRM graphics stack for early-boot graphics, recovery and unsupported
    chipsets.
  - commit 89d164b
* Sun Jan 30 2022 mkubecek@suse.cz
  - Update to 5.17-rc2
  - eliminate 3 patches
    - patches.suse/s390-uaccess-fix-compile-error.patch
    - patches.suse/tcp-Add-a-stub-for-sk_defer_free_flush.patch
    - patches.suse/tcp-add-a-missing-sk_defer_free_flush-in-tcp_splice_.patch
  - refresh configs
  - commit e736c55
* Sat Jan 29 2022 jslaby@suse.cz
  - Linux 5.16.4 (bsc#1012628).
  - drm/amd/display: reset dcn31 SMU mailbox on failures
    (bsc#1012628).
  - io_uring: fix not released cached task refs (bsc#1012628).
  - bnx2x: Utilize firmware 7.13.21.0 (bsc#1012628).
  - bnx2x: Invalidate fastpath HSI version for VFs (bsc#1012628).
  - memcg: better bounds on the memcg stats updates (bsc#1012628).
  - rcu: Tighten rcu_advance_cbs_nowake() checks (bsc#1012628).
  - select: Fix indefinitely sleeping task in
    poll_schedule_timeout() (bsc#1012628).
  - arm64/bpf: Remove 128MB limit for BPF JIT programs
    (bsc#1012628).
  - commit b146677
* Sat Jan 29 2022 tiwai@suse.de
  - drm/vmwgfx: Fix stale file descriptors on failed usercopy
    (CVE-2022-22942 bsc#1195065).
  - commit c31491c
* Fri Jan 28 2022 mkubecek@suse.cz
  - tcp: add a missing sk_defer_free_flush() in tcp_splice_read()
    (git-fixes).
  - commit f8aca60
* Fri Jan 28 2022 mkubecek@suse.cz
  - tcp: Add a stub for sk_defer_free_flush().
    Fix another s390x/zfcpdump build failure.
  - commit 235f271
* Fri Jan 28 2022 mkubecek@suse.cz
  - s390/uaccess: fix compile error.
    Fix s390x/zfcpdump build.
  - commit d01fea5
* Fri Jan 28 2022 jslaby@suse.cz
  - Linux 5.16.3 (bsc#1012628).
  - KVM: x86/mmu: Fix write-protection of PTs mapped by the TDP MMU
    (bsc#1012628).
  - KVM: VMX: switch blocked_vcpu_on_cpu_lock to raw spinlock
    (bsc#1012628).
  - HID: Ignore battery for Elan touchscreen on HP Envy X360
    15t-dr100 (bsc#1012628).
  - HID: uhid: Fix worker destroying device without any protection
    (bsc#1012628).
  - ALSA: core: Fix SSID quirk lookup for subvendor=0 (bsc#1012628).
  - cifs: free ntlmsspblob allocated in negotiate (bsc#1012628).
  - f2fs: fix to do sanity check on inode type during garbage
    collection (bsc#1012628).
  - f2fs: fix to do sanity check in is_alive() (bsc#1012628).
  - f2fs: fix to do sanity check on last xattr entry in
    __f2fs_setxattr() (bsc#1012628).
  - f2fs: avoid EINVAL by SBI_NEED_FSCK when pinning a file
    (bsc#1012628).
  - nfc: llcp: fix NULL error pointer dereference on sendmsg()
    after failed bind() (bsc#1012628).
  - mtd: rawnand: gpmi: Add ERR007117 protection for
    nfc_apply_timings (bsc#1012628).
  - mtd: rawnand: gpmi: Remove explicit default gpmi clock setting
    for i.MX6 (bsc#1012628).
  - mtd: Fixed breaking list in __mtd_del_partition (bsc#1012628).
  - mtd: rawnand: davinci: Don't calculate ECC when reading page
    (bsc#1012628).
  - mtd: rawnand: davinci: Avoid duplicated page read (bsc#1012628).
  - mtd: rawnand: davinci: Rewrite function description
    (bsc#1012628).
  - mtd: rawnand: Export nand_read_page_hwecc_oob_first()
    (bsc#1012628).
  - mtd: rawnand: ingenic: JZ4740 needs 'oob_first' read page
    function (bsc#1012628).
  - riscv: Get rid of MAXPHYSMEM configs (bsc#1012628).
  - RISC-V: Use common riscv_cpuid_to_hartid_mask() for both SMP=y
    and SMP=n (bsc#1012628).
  - riscv: try to allocate crashkern region from 32bit addressible
    memory (bsc#1012628).
  - riscv: Don't use va_pa_offset on kdump (bsc#1012628).
  - riscv: use hart id instead of cpu id on machine_kexec
    (bsc#1012628).
  - riscv: mm: fix wrong phys_ram_base value for RV64 (bsc#1012628).
  - x86/gpu: Reserve stolen memory for first integrated Intel GPU
    (bsc#1012628).
  - tools/nolibc: x86-64: Fix startup code bug (bsc#1012628).
  - crypto: x86/aesni - don't require alignment of data
    (bsc#1012628).
  - tools/nolibc: i386: fix initial stack alignment (bsc#1012628).
  - tools/nolibc: fix incorrect truncation of exit code
    (bsc#1012628).
  - rtc: cmos: take rtc_lock while reading from CMOS (bsc#1012628).
  - net: phy: marvell: add Marvell specific PHY loopback
    (bsc#1012628).
  - ksmbd: uninitialized variable in create_socket() (bsc#1012628).
  - ksmbd: fix guest connection failure with nautilus (bsc#1012628).
  - ksmbd: add support for smb2 max credit parameter (bsc#1012628).
  - ksmbd: move credit charge deduction under processing request
    (bsc#1012628).
  - ksmbd: limits exceeding the maximum allowable outstanding
    requests (bsc#1012628).
  - ksmbd: add reserved room in ipc request/response (bsc#1012628).
  - media: cec: fix a deadlock situation (bsc#1012628).
  - media: ov8865: Disable only enabled regulators on error path
    (bsc#1012628).
  - media: v4l2-ioctl.c: readbuffers depends on V4L2_CAP_READWRITE
    (bsc#1012628).
  - media: flexcop-usb: fix control-message timeouts (bsc#1012628).
  - media: mceusb: fix control-message timeouts (bsc#1012628).
  - media: em28xx: fix control-message timeouts (bsc#1012628).
  - media: cpia2: fix control-message timeouts (bsc#1012628).
  - media: s2255: fix control-message timeouts (bsc#1012628).
  - media: dib0700: fix undefined behavior in tuner shutdown
    (bsc#1012628).
  - media: redrat3: fix control-message timeouts (bsc#1012628).
  - media: pvrusb2: fix control-message timeouts (bsc#1012628).
  - media: stk1160: fix control-message timeouts (bsc#1012628).
  - media: cec-pin: fix interrupt en/disable handling (bsc#1012628).
  - can: softing_cs: softingcs_probe(): fix memleak on registration
    failure (bsc#1012628).
  - mei: hbm: fix client dma reply status (bsc#1012628).
  - iio: adc: ti-adc081c: Partial revert of removal of ACPI IDs
    (bsc#1012628).
  - iio: trigger: Fix a scheduling whilst atomic issue seen on
    tsc2046 (bsc#1012628).
  - lkdtm: Fix content of section containing
    lkdtm_rodata_do_nothing() (bsc#1012628).
  - bus: mhi: pci_generic: Graceful shutdown on freeze
    (bsc#1012628).
  - bus: mhi: core: Fix reading wake_capable channel configuration
    (bsc#1012628).
  - bus: mhi: core: Fix race while handling SYS_ERR at power up
    (bsc#1012628).
  - cxl/pmem: Fix reference counting for delayed work (bsc#1012628).
  - cxl/pmem: Fix module reload vs workqueue state (bsc#1012628).
  - thermal/drivers/int340x: Fix RFIM mailbox write commands
    (bsc#1012628).
  - arm64: errata: Fix exec handling in erratum 1418040 workaround
    (bsc#1012628).
  - ARM: dts: at91: update alternate function of signal PD20
    (bsc#1012628).
  - iommu/io-pgtable-arm-v7s: Add error handle for page table
    allocation failure (bsc#1012628).
  - gpu: host1x: Add back arm_iommu_detach_device() (bsc#1012628).
  - drm/tegra: Add back arm_iommu_detach_device() (bsc#1012628).
  - io_uring: fix no lock protection for ctx->cq_extra
    (bsc#1012628).
  - virtio/virtio_mem: handle a possible NULL as a memcpy parameter
    (bsc#1012628).
  - dma_fence_array: Fix PENDING_ERROR leak in
    dma_fence_array_signaled() (bsc#1012628).
  - PCI: Add function 1 DMA alias quirk for Marvell 88SE9125 SATA
    controller (bsc#1012628).
  - mm_zone: add function to check if managed dma zone exists
    (bsc#1012628).
  - dma/pool: create dma atomic pool only if dma zone has managed
    pages (bsc#1012628).
  - mm/page_alloc.c: do not warn allocation failure on zone DMA
    if no managed pages (bsc#1012628).
  - ath11k: add string type to search board data in board-2.bin
    for WCN6855 (bsc#1012628).
  - shmem: fix a race between shmem_unused_huge_shrink and
    shmem_evict_inode (bsc#1012628).
  - drm/rockchip: dsi: Hold pm-runtime across bind/unbind
    (bsc#1012628).
  - drm/rockchip: dsi: Reconfigure hardware on resume()
    (bsc#1012628).
  - drm/ttm: Put BO in its memory manager's lru list (bsc#1012628).
  - Bluetooth: hci_vhci: Fix to set the force_wakeup value
    (bsc#1012628).
  - Bluetooth: mgmt: Fix Experimental Feature Changed event
    (bsc#1012628).
  - Bluetooth: L2CAP: Fix not initializing sk_peer_pid
    (bsc#1012628).
  - drm/bridge: display-connector: fix an uninitialized pointer
    in probe() (bsc#1012628).
  - drm: fix null-ptr-deref in drm_dev_init_release() (bsc#1012628).
  - drm/panel: kingdisplay-kd097d04: Delete panel on attach()
    failure (bsc#1012628).
  - drm/panel: innolux-p079zca: Delete panel on attach() failure
    (bsc#1012628).
  - drm/rockchip: dsi: Fix unbalanced clock on probe error
    (bsc#1012628).
  - drm/rockchip: dsi: Disable PLL clock on bind error
    (bsc#1012628).
  - Bluetooth: virtio_bt: fix memory leak in virtbt_rx_handle()
    (bsc#1012628).
  - Bluetooth: cmtp: fix possible panic when cmtp_init_sockets()
    fails (bsc#1012628).
  - clk: bcm-2835: Pick the closest clock rate (bsc#1012628).
  - clk: bcm-2835: Remove rounding up the dividers (bsc#1012628).
  - drm/vc4: hdmi: Set a default HSM rate (bsc#1012628).
  - drm/vc4: hdmi: Move the HSM clock enable to runtime_pm
    (bsc#1012628).
  - drm/vc4: hdmi: Make sure the controller is powered in detect
    (bsc#1012628).
  - drm/vc4: hdmi: Make sure the controller is powered up during
    bind (bsc#1012628).
  - drm/vc4: hdmi: Rework the pre_crtc_configure error handling
    (bsc#1012628).
  - drm/vc4: crtc: Make sure the HDMI controller is powered when
    disabling (bsc#1012628).
  - drm/bridge: sn65dsi83: Fix bridge removal (bsc#1012628).
  - drm/virtio: fix potential integer overflow on shift of a int
    (bsc#1012628).
  - drm/virtio: fix another potential integer overflow on shift
    of a int (bsc#1012628).
  - wcn36xx: ensure pairing of init_scan/finish_scan and
    start_scan/end_scan (bsc#1012628).
  - wcn36xx: Indicate beacon not connection loss on
    MISSED_BEACON_IND (bsc#1012628).
  - libbpf: Fix section counting logic (bsc#1012628).
  - drm/vc4: hdmi: Enable the scrambler on reconnection
    (bsc#1012628).
  - libbpf: Fix non-C89 loop variable declaration in gen_loader.c
    (bsc#1012628).
  - libbpf: Free up resources used by inner map definition
    (bsc#1012628).
  - wcn36xx: Fix DMA channel enable/disable cycle (bsc#1012628).
  - wcn36xx: Release DMA channel descriptor allocations
    (bsc#1012628).
  - wcn36xx: Put DXE block into reset before freeing memory
    (bsc#1012628).
  - wcn36xx: populate band before determining rate on RX
    (bsc#1012628).
  - wcn36xx: fix RX BD rate mapping for 5GHz legacy rates
    (bsc#1012628).
  - ath11k: Send PPDU_STATS_CFG with proper pdev mask to firmware
    (bsc#1012628).
  - bpftool: Fix memory leak in prog_dump() (bsc#1012628).
  - mtd: hyperbus: rpc-if: Check return value of rpcif_sw_init()
    (bsc#1012628).
  - media: videobuf2: Fix the size printk format (bsc#1012628).
  - media: ipu3-cio2: fix error code in cio2_bridge_connect_sensor()
    (bsc#1012628).
  - media: atomisp: add missing media_device_cleanup() in
    atomisp_unregister_entities() (bsc#1012628).
  - media: atomisp: fix punit_ddr_dvfs_enable() argument for
    mrfld_power up case (bsc#1012628).
  - media: atomisp: fix inverted logic in buffers_needed()
    (bsc#1012628).
  - media: atomisp: do not use err var when checking port validity
    for ISP2400 (bsc#1012628).
  - media: atomisp: fix inverted error check for
    ia_css_mipi_is_source_port_valid() (bsc#1012628).
  - media: atomisp: fix ifdefs in sh_css.c (bsc#1012628).
  - media: atomisp: add NULL check for asd obtained from
    atomisp_video_pipe (bsc#1012628).
  - media: atomisp: fix enum formats logic (bsc#1012628).
  - media: atomisp: fix uninitialized bug in
    gmin_get_pmic_id_and_addr() (bsc#1012628).
  - media: aspeed: fix mode-detect always time out at 2nd run
    (bsc#1012628).
  - media: em28xx: fix memory leak in em28xx_init_dev (bsc#1012628).
  - media: aspeed: Update signal status immediately to ensure sane
    hw state (bsc#1012628).
  - arm64: dts: amlogic: meson-g12: Fix GPU operating point table
    node name (bsc#1012628).
  - arm64: dts: amlogic: Fix SPI NOR flash node name for ODROID
    N2/N2+ (bsc#1012628).
  - arm64: dts: meson-gxbb-wetek: fix HDMI in early boot
    (bsc#1012628).
  - arm64: dts: meson-gxbb-wetek: fix missing GPIO binding
    (bsc#1012628).
  - fs: dlm: don't call kernel_getpeername() in error_report()
    (bsc#1012628).
  - memory: renesas-rpc-if: Return error in case
    devm_ioremap_resource() fails (bsc#1012628).
  - Bluetooth: stop proccessing malicious adv data (bsc#1012628).
  - Bluetooth: fix uninitialized variables notify_evt (bsc#1012628).
  - ath11k: Fix ETSI regd with weather radar overlap (bsc#1012628).
  - ath11k: clear the keys properly via DISABLE_KEY (bsc#1012628).
  - ath11k: reset RSN/WPA present state for open BSS (bsc#1012628).
  - spi: hisi-kunpeng: Fix the debugfs directory name incorrect
    (bsc#1012628).
  - tee: fix put order in teedev_close_context() (bsc#1012628).
  - kernel/locking: Use a pointer in ww_mutex_trylock()
    (bsc#1012628).
  - fs: dlm: fix build with CONFIG_IPV6 disabled (bsc#1012628).
  - drm/dp: Don't read back backlight mode in
    drm_edp_backlight_enable() (bsc#1012628).
  - selftests/bpf: Fix xdpxceiver failures for no hugepages
    (bsc#1012628).
  - mctp/test: Update refcount checking in route fragment tests
    (bsc#1012628).
  - drm/vboxvideo: fix a NULL vs IS_ERR() check (bsc#1012628).
  - ath11k: set correct NL80211_FEATURE_DYNAMIC_SMPS for WCN6855
    (bsc#1012628).
  - ath11k: allocate dst ring descriptors from cacheable memory
    (bsc#1012628).
  - ath11k: add hw_param for wakeup_mhi (bsc#1012628).
  - arm64: dts: renesas: cat875: Add rx/tx delays (bsc#1012628).
  - media: dmxdev: fix UAF when dvb_register_device() fails
    (bsc#1012628).
  - crypto: atmel-aes - Reestablish the correct tfm context at
    dequeue (bsc#1012628).
  - crypto: keembay-ocs-ecc - Fix error return code in
    kmb_ocs_ecc_probe() (bsc#1012628).
  - crypto: qce - fix uaf on qce_aead_register_one (bsc#1012628).
  - crypto: qce - fix uaf on qce_ahash_register_one (bsc#1012628).
  - crypto: qce - fix uaf on qce_skcipher_register_one
    (bsc#1012628).
  - arm64: dts: qcom: sc7280: Fix incorrect clock name
    (bsc#1012628).
  - arm64: dts: qcom: sc7280: Fix 'interrupt-map' parent address
    cells (bsc#1012628).
  - mtd: hyperbus: rpc-if: fix bug in rpcif_hb_remove (bsc#1012628).
  - cpufreq: qcom-cpufreq-hw: Update offline CPUs per-cpu thermal
    pressure (bsc#1012628).
  - soc: imx: gpcv2: keep i.MX8MM VPU-H1 bus clock active
    (bsc#1012628).
  - cpufreq: qcom-hw: Fix probable nested interrupt handling
    (bsc#1012628).
  - ARM: dts: stm32: fix dtbs_check warning on ili9341 dts binding
    on stm32f429 disco (bsc#1012628).
  - libbpf: Load global data maps lazily on legacy kernels
    (bsc#1012628).
  - tools/resolve_btf_ids: Close ELF file on error (bsc#1012628).
  - libbpf: Fix potential misaligned memory access in btf_ext__new()
    (bsc#1012628).
  - libbpf: Fix glob_syms memory leak in bpf_linker (bsc#1012628).
  - libbpf: Fix using invalidated memory in bpf_linker
    (bsc#1012628).
  - crypto: qat - fix undetected PFVF timeout in ACK loop
    (bsc#1012628).
  - ath11k: Use host CE parameters for CE interrupts configuration
    (bsc#1012628).
  - arm64: dts: ti: k3-j721e: correct cache-sets info (bsc#1012628).
  - tty: serial: atmel: Check return code of dmaengine_submit()
    (bsc#1012628).
  - tty: serial: atmel: Call dma_async_issue_pending()
    (bsc#1012628).
  - pinctrl: apple: return an error if pinmux is missing in the DT
    (bsc#1012628).
  - net: dsa: rtl8365mb: set RGMII RX delay in steps of 0.3 ns
    (bsc#1012628).
  - mfd: atmel-flexcom: Remove #ifdef CONFIG_PM_SLEEP (bsc#1012628).
  - mfd: atmel-flexcom: Use .resume_noirq (bsc#1012628).
  - bfq: Do not let waker requests skip proper accounting
    (bsc#1012628).
  - libbpf: Silence uninitialized warning/error in
    btf_dump_dump_type_data (bsc#1012628).
  - media: i2c: imx274: fix s_frame_interval runtime resume not
    requested (bsc#1012628).
  - media: i2c: Re-order runtime pm initialisation (bsc#1012628).
  - media: i2c: ov8865: Fix lockdep error (bsc#1012628).
  - media: rcar-csi2: Correct the selection of hsfreqrange
    (bsc#1012628).
  - media: imx-pxp: Initialize the spinlock prior to using it
    (bsc#1012628).
  - media: si470x-i2c: fix possible memory leak in
    si470x_i2c_probe() (bsc#1012628).
  - media: mtk-vcodec: Fix an error handling path in
    'mtk_vcodec_probe()' (bsc#1012628).
  - media: mtk-vcodec: call v4l2_m2m_ctx_release first when file
    is released (bsc#1012628).
  - media: hantro: Hook up RK3399 JPEG encoder output (bsc#1012628).
  - media: coda: fix CODA960 JPEG encoder buffer overflow
    (bsc#1012628).
  - media: venus: correct low power frequency calculation for
    encoder (bsc#1012628).
  - media: venus: core: Fix a potential NULL pointer dereference
    in an error handling path (bsc#1012628).
  - media: venus: core: Fix a resource leak in the error handling
    path of 'venus_probe()' (bsc#1012628).
  - net: stmmac: Add platform level debug register dump feature
    (bsc#1012628).
  - net: lantiq: fix missing free_netdev() on error in
    ltq_etop_probe() (bsc#1012628).
  - thermal/drivers/imx: Implement runtime PM support (bsc#1012628).
  - igc: AF_XDP zero-copy metadata adjust breaks SKBs on XDP_PASS
    (bsc#1012628).
  - netfilter: bridge: add support for pppoe filtering
    (bsc#1012628).
  - powerpc: Avoid discarding flags in system_call_exception()
    (bsc#1012628).
  - rcu: Avoid alloc_pages() when recording stack (bsc#1012628).
  - arm64: dts: qcom: msm8916: fix MMC controller aliases
    (bsc#1012628).
  - drm/vmwgfx: Remove the deprecated lower mem limit (bsc#1012628).
  - drm/vmwgfx: Fail to initialize on broken configs (bsc#1012628).
  - cgroup: Trace event cgroup id fields should be u64
    (bsc#1012628).
  - ACPI: EC: Rework flushing of EC work while suspended to idle
    (bsc#1012628).
  - pinctrl: mediatek: uninitialized variable in
    mtk_pctrl_show_one_pin() (bsc#1012628).
  - pinctrl: mediatek: add a check for error in
    mtk_pinconf_bias_get_rsel() (bsc#1012628).
  - thermal/drivers/imx8mm: Enable ADC when enabling monitor
    (bsc#1012628).
  - drm/amdgpu: Fix a NULL pointer dereference in
    amdgpu_connector_lcd_native_mode() (bsc#1012628).
  - drm/radeon/radeon_kms: Fix a NULL pointer dereference in
    radeon_driver_open_kms() (bsc#1012628).
  - libbpf: Clean gen_loader's attach kind (bsc#1012628).
  - null_blk: allow zero poll queues (bsc#1012628).
  - crypto: caam - save caam memory to support crypto engine retry
    mechanism (bsc#1012628).
  - arm64: dts: ti: k3-am642: Fix the L2 cache sets (bsc#1012628).
  - arm64: dts: ti: k3-j7200: Fix the L2 cache sets (bsc#1012628).
  - arm64: dts: ti: k3-j721e: Fix the L2 cache sets (bsc#1012628).
  - arm64: dts: ti: k3-j7200: Correct the d-cache-sets info
    (bsc#1012628).
  - tty: serial: uartlite: allow 64 bit address (bsc#1012628).
  - serial: amba-pl011: do not request memory region twice
    (bsc#1012628).
  - mtd: core: provide unique name for nvmem device (bsc#1012628).
  - floppy: Fix hang in watchdog when disk is ejected (bsc#1012628).
  - staging: rtl8192e: return error code from rtllib_softmac_init()
    (bsc#1012628).
  - staging: rtl8192e: rtllib_module: fix error handle case in
    alloc_rtllib() (bsc#1012628).
  - Bluetooth: btmtksdio: fix resume failure (bsc#1012628).
  - bpf: Fix the test_task_vma selftest to support output shorter
    than 1 kB (bsc#1012628).
  - sched/fair: Fix detection of per-CPU kthreads waking a task
    (bsc#1012628).
  - sched/fair: Fix per-CPU kthread and wakee stacking for asym
    CPU capacity (bsc#1012628).
  - bpf: Adjust BTF log size limit (bsc#1012628).
  - bpf: Disallow BPF_LOG_KERNEL log level for bpf(BPF_BTF_LOAD)
    (bsc#1012628).
  - bpf: Remove config check to enable bpf support for branch
    records (bsc#1012628).
  - drm: rcar-du: Add DSI support to rcar_du_output_name
    (bsc#1012628).
  - drm: rcar-du: crtc: Support external DSI dot clock
    (bsc#1012628).
  - arm64: clear_page() shouldn't use DC ZVA when DCZID_EL0.DZP ==
    1 (bsc#1012628).
  - arm64: mte: DC {GVA,GZVA} shouldn't be used when DCZID_EL0.DZP
    == 1 (bsc#1012628).
  - platform/x86: wmi: Replace read_takes_no_args with a flags field
    (bsc#1012628).
  - platform/x86: wmi: Fix driver->notify() vs ->probe() race
    (bsc#1012628).
  - samples/bpf: Clean up samples/bpf build failes (bsc#1012628).
  - samples: bpf: Fix xdp_sample_user.o linking with Clang
    (bsc#1012628).
  - samples: bpf: Fix 'unknown warning group' build warning on Clang
    (bsc#1012628).
  - media: uvcvideo: Fix memory leak of object map on error exit
    path (bsc#1012628).
  - media: uvcvideo: Avoid invalid memory access (bsc#1012628).
  - media: uvcvideo: Avoid returning invalid controls (bsc#1012628).
  - media: dib8000: Fix a memleak in dib8000_init() (bsc#1012628).
  - media: saa7146: mxb: Fix a NULL pointer dereference in
    mxb_attach() (bsc#1012628).
  - media: si2157: Fix "warm" tuner state detection (bsc#1012628).
  - wireless: iwlwifi: Fix a double free in iwl_txq_dyn_alloc_dma
    (bsc#1012628).
  - sched/rt: Try to restart rt period timer when rt runtime
    exceeded (bsc#1012628).
  - mtd: spi-nor: Get rid of nor->page_size (bsc#1012628).
  - mtd: spi-nor: Fix mtd size for s3an flashes (bsc#1012628).
  - ath10k: Fix the MTU size on QCA9377 SDIO (bsc#1012628).
  - ath11k: Fix QMI file type enum value (bsc#1012628).
  - Bluetooth: MGMT: Use hci_dev_test_and_{set,clear}_flag
    (bsc#1012628).
  - Bluetooth: btusb: Handle download_firmware failure cases
    (bsc#1012628).
  - drm/amd/display: Fix bug in debugfs crc_win_update entry
    (bsc#1012628).
  - drm/amd/display: Fix out of bounds access on DNC31 stream
    encoder regs (bsc#1012628).
  - drm/msm/gpu: Don't allow zero fence_id (bsc#1012628).
  - drm/msm/dp: displayPort driver need algorithm rational
    (bsc#1012628).
  - rcu/exp: Mark current CPU as exp-QS in IPI loop second pass
    (bsc#1012628).
  - wcn36xx: Fix max channels retrieval (bsc#1012628).
  - drm/msm/dsi: fix initialization in the bonded DSI case
    (bsc#1012628).
  - mwifiex: Fix possible ABBA deadlock (bsc#1012628).
  - xfrm: fix a small bug in xfrm_sa_len() (bsc#1012628).
  - x86/uaccess: Move variable into switch case statement
    (bsc#1012628).
  - libbpf: Add "bool skipped" to struct bpf_map (bsc#1012628).
  - selftests: clone3: clone3: add case CLONE3_ARGS_NO_TEST
    (bsc#1012628).
  - selftests: harness: avoid false negatives if test has no ASSERTs
    (bsc#1012628).
  - crypto: stm32/cryp - fix CTR counter carry (bsc#1012628).
  - crypto: stm32/cryp - fix xts and race condition in crypto_engine
    requests (bsc#1012628).
  - crypto: stm32/cryp - check early input data (bsc#1012628).
  - crypto: stm32/cryp - fix double pm exit (bsc#1012628).
  - crypto: stm32/cryp - fix lrw chaining mode (bsc#1012628).
  - crypto: stm32/cryp - fix bugs and crash in tests (bsc#1012628).
  - crypto: stm32 - Revert broken pm_runtime_resume_and_get changes
    (bsc#1012628).
  - crypto: hisilicon/qm - fix incorrect return value of
    hisi_qm_resume() (bsc#1012628).
  - libbpf: Fix gen_loader assumption on number of programs
    (bsc#1012628).
  - ath11k: Fix deleting uninitialized kernel timer during fragment
    cache flush (bsc#1012628).
  - spi: Fix incorrect cs_setup delay handling (bsc#1012628).
  - kunit: tool: fix --json output for skipped tests (bsc#1012628).
  - ARM: dts: gemini: NAS4220-B: fis-index-block with 128 KiB
    sectors (bsc#1012628).
  - perf/arm-cmn: Fix CPU hotplug unregistration (bsc#1012628).
  - media: dw2102: Fix use after free (bsc#1012628).
  - media: msi001: fix possible null-ptr-deref in msi001_probe()
    (bsc#1012628).
  - media: coda/imx-vdoa: Handle dma_set_coherent_mask error codes
    (bsc#1012628).
  - ath11k: Fix a NULL pointer dereference in
    ath11k_mac_op_hw_scan() (bsc#1012628).
  - net: dsa: hellcreek: Fix insertion of static FDB entries
    (bsc#1012628).
  - net: dsa: hellcreek: Add STP forwarding rule (bsc#1012628).
  - net: dsa: hellcreek: Allow PTP P2P measurements on blocked ports
    (bsc#1012628).
  - net: dsa: hellcreek: Add missing PTP via UDP rules
    (bsc#1012628).
  - arm64: dts: qcom: c630: Fix soundcard setup (bsc#1012628).
  - arm64: dts: qcom: ipq6018: Fix gpio-ranges property
    (bsc#1012628).
  - drm/msm/dpu: fix safe status debugfs file (bsc#1012628).
  - drm/bridge: ti-sn65dsi86: Set max register for regmap
    (bsc#1012628).
  - gpu: host1x: select CONFIG_DMA_SHARED_BUFFER (bsc#1012628).
  - drm/tegra: gr2d: Explicitly control module reset (bsc#1012628).
  - drm/tegra: vic: Fix DMA API misuse (bsc#1012628).
  - media: hantro: Fix probe func error path (bsc#1012628).
  - xfrm: interface with if_id 0 should return error (bsc#1012628).
  - xfrm: state and policy should fail if XFRMA_IF_ID 0
    (bsc#1012628).
  - ARM: 9159/1: decompressor: Avoid UNPREDICTABLE NOP encoding
    (bsc#1012628).
  - usb: ftdi-elan: fix memory leak on device disconnect
    (bsc#1012628).
  - arm64: dts: marvell: cn9130: add GPIO and SPI aliases
    (bsc#1012628).
  - arm64: dts: marvell: cn9130: enable CP0 GPIO controllers
    (bsc#1012628).
  - ARM: dts: armada-38x: Add generic compatible to UART nodes
    (bsc#1012628).
  - mt76: mt7921: drop offload_flags overwritten (bsc#1012628).
  - mt76: mt7921: fix MT7921E reset failure (bsc#1012628).
  - mt76: debugfs: fix queue reporting for mt76-usb (bsc#1012628).
  - mt76: fix possible OOB issue in mt76_calculate_default_rate
    (bsc#1012628).
  - mt76: mt7921: fix possible NULL pointer dereference in
    mt7921_mac_write_txwi (bsc#1012628).
  - mt76: mt7921: move mt76_connac_mcu_set_hif_suspend to
    bus-related files (bsc#1012628).
  - mt76: mt7921s: fix the device cannot sleep deeply in suspend
    (bsc#1012628).
  - mt76: mt7921: use correct iftype data on 6GHz cap init
    (bsc#1012628).
  - mt76: mt7921s: fix possible kernel crash due to invalid Rx count
    (bsc#1012628).
  - mt76: connac: fix last_chan configuration in
    mt76_connac_mcu_rate_txpower_band (bsc#1012628).
  - mt76: mt7921: fix possible resume failure (bsc#1012628).
  - mt76: connac: introduce MCU_EXT macros (bsc#1012628).
  - mt76: connac: align MCU_EXT definitions with 7915 driver
    (bsc#1012628).
  - mt76: connac: remove MCU_FW_PREFIX bit (bsc#1012628).
  - mt76: connac: introduce MCU_UNI_CMD macro (bsc#1012628).
  - mt76: mt7921s: fix suspend error with enlarging mcu timeout
    value (bsc#1012628).
  - wilc1000: fix double free error in probe() (bsc#1012628).
  - rtw88: add quirk to disable pci caps on HP 250 G7 Notebook PC
    (bsc#1012628).
  - rtw88: Disable PCIe ASPM while doing NAPI poll on 8821CE
    (bsc#1012628).
  - iwlwifi: mvm: fix 32-bit build in FTM (bsc#1012628).
  - iwlwifi: don't pass actual WGDS revision number in
    table_revision (bsc#1012628).
  - iwlwifi: mvm: test roc running status bits before removing
    the sta (bsc#1012628).
  - iwlwifi: mvm: perform 6GHz passive scan after suspend
    (bsc#1012628).
  - iwlwifi: mvm: set protected flag only for NDP ranging
    (bsc#1012628).
  - mmc: meson-mx-sdhc: add IRQ check (bsc#1012628).
  - mmc: meson-mx-sdio: add IRQ check (bsc#1012628).
  - block: fix error unwinding in device_add_disk (bsc#1012628).
  - selinux: fix potential memleak in selinux_add_opt()
    (bsc#1012628).
  - um: fix ndelay/udelay defines (bsc#1012628).
  - um: rename set_signals() to um_set_signals() (bsc#1012628).
  - um: virt-pci: Fix 32-bit compile (bsc#1012628).
  - lib/logic_iomem: Fix 32-bit build (bsc#1012628).
  - lib/logic_iomem: Fix operation on 32-bit (bsc#1012628).
  - um: virtio_uml: Fix time-travel external time propagation
    (bsc#1012628).
  - Bluetooth: L2CAP: Fix using wrong mode (bsc#1012628).
  - bpftool: Enable line buffering for stdout (bsc#1012628).
  - backlight: qcom-wled: Validate enabled string indices in DT
    (bsc#1012628).
  - backlight: qcom-wled: Pass number of elements to read to
    read_u32_array (bsc#1012628).
  - backlight: qcom-wled: Fix off-by-one maximum with default
    num_strings (bsc#1012628).
  - backlight: qcom-wled: Override default length with
    qcom,enabled-strings (bsc#1012628).
  - backlight: qcom-wled: Use cpu_to_le16 macro to perform
    conversion (bsc#1012628).
  - backlight: qcom-wled: Respect enabled-strings in set_brightness
    (bsc#1012628).
  - software node: fix wrong node passed to find nargs_prop
    (bsc#1012628).
  - ath11k: Fix unexpected return buffer manager error for QCA6390
    (bsc#1012628).
  - mt76: mt7921: fix a possible race enabling/disabling runtime-pm
    (bsc#1012628).
  - Bluetooth: hci_qca: Stop IBS timer during BT OFF (bsc#1012628).
  - x86/boot/compressed: Move CLANG_FLAGS to beginning of
    KBUILD_CFLAGS (bsc#1012628).
  - crypto: octeontx2 - prevent underflow in get_cores_bmap()
    (bsc#1012628).
  - block: null_blk: only set set->nr_maps as 3 if active
    poll_queues is > 0 (bsc#1012628).
  - regulator: qcom-labibb: OCP interrupts are not a failure while
    disabled (bsc#1012628).
  - hwmon: (mr75203) fix wrong power-up delay value (bsc#1012628).
  - x86/mce/inject: Avoid out-of-bounds write when setting flags
    (bsc#1012628).
  - io_uring: remove double poll on poll update (bsc#1012628).
  - bpf: Add missing map_get_next_key method to bloom filter map
    (bsc#1012628).
  - serial: 8250_bcm7271: Propagate error codes from
    brcmuart_probe() (bsc#1012628).
  - drm/amd/display: fix dereference before NULL check
    (bsc#1012628).
  - ACPI: scan: Create platform device for BCM4752 and LNV4752
    ACPI nodes (bsc#1012628).
  - pcmcia: rsrc_nonstatic: Fix a NULL pointer dereference in
    __nonstatic_find_io_region() (bsc#1012628).
  - pcmcia: rsrc_nonstatic: Fix a NULL pointer dereference in
    nonstatic_find_mem_region() (bsc#1012628).
  - power: reset: mt6397: Check for null res pointer (bsc#1012628).
  - net/xfrm: IPsec tunnel mode fix inner_ipproto setting in
    sec_path (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix return values and refactor
    MDIO ops (bsc#1012628).
  - net: dsa: fix incorrect function pointer check for MRP ring
    roles (bsc#1012628).
  - netfilter: ipt_CLUSTERIP: fix refcount leak in
    clusterip_tg_check() (bsc#1012628).
  - bpf, arm64: Use emit_addr_mov_i64() for BPF_PSEUDO_FUNC
    (bsc#1012628).
  - bpf, sockmap: Fix return codes from tcp_bpf_recvmsg_parser()
    (bsc#1012628).
  - bpf, sockmap: Fix double bpf_prog_put on error case in map_link
    (bsc#1012628).
  - bpf: Don't promote bogus looking registers after null check
    (bsc#1012628).
  - bpf: Fix verifier support for validation of async callbacks
    (bsc#1012628).
  - bpf: Fix SO_RCVBUF/SO_SNDBUF handling in _bpf_setsockopt()
    (bsc#1012628).
  - libbpf: Use probe_name for legacy kprobe (bsc#1012628).
  - netfilter: nft_payload: do not update layer 4 checksum when
    mangling fragments (bsc#1012628).
  - netfilter: nft_set_pipapo: allocate pcpu scratch maps on clone
    (bsc#1012628).
  - net: fix SOF_TIMESTAMPING_BIND_PHC to work with multiple sockets
    (bsc#1012628).
  - ppp: ensure minimum packet size in ppp_write() (bsc#1012628).
  - rocker: fix a sleeping in atomic bug (bsc#1012628).
  - staging: greybus: audio: Check null pointer (bsc#1012628).
  - fsl/fman: Check for null pointer after calling devm_ioremap
    (bsc#1012628).
  - Bluetooth: hci_bcm: Check for error irq (bsc#1012628).
  - Bluetooth: hci_qca: Fix NULL vs IS_ERR_OR_NULL check in
    qca_serdev_probe (bsc#1012628).
  - net/smc: Reset conn->lgr when link group registration fails
    (bsc#1012628).
  - usb: dwc3: qcom: Fix NULL vs IS_ERR checking in dwc3_qcom_probe
    (bsc#1012628).
  - usb: dwc2: do not gate off the hardware if it does not support
    clock gating (bsc#1012628).
  - usb: dwc2: gadget: initialize max_speed from params
    (bsc#1012628).
  - usb: gadget: u_audio: fix calculations for small bInterval
    (bsc#1012628).
  - usb: gadget: u_audio: Subdevice 0 for capture ctls
    (bsc#1012628).
  - HID: hid-uclogic-params: Invalid parameter check in
    uclogic_params_init (bsc#1012628).
  - HID: hid-uclogic-params: Invalid parameter check in
    uclogic_params_get_str_desc (bsc#1012628).
  - HID: hid-uclogic-params: Invalid parameter check in
    uclogic_params_huion_init (bsc#1012628).
  - HID: hid-uclogic-params: Invalid parameter check in
    uclogic_params_frame_init_v1_buttonpad (bsc#1012628).
  - debugfs: lockdown: Allow reading debugfs files that are not
    world readable (bsc#1012628).
  - drivers/firmware: Add missing platform_device_put() in
    sysfb_create_simplefb (bsc#1012628).
  - serial: liteuart: fix MODULE_ALIAS (bsc#1012628).
  - serial: stm32: move tx dma terminate DMA to shutdown
    (bsc#1012628).
  - spi: qcom: geni: set the error code for gpi transfer
    (bsc#1012628).
  - spi: qcom: geni: handle timeout for gpi mode (bsc#1012628).
  - x86, sched: Fix undefined reference to
    init_freq_invariance_cppc() build error (bsc#1012628).
  - net/mlx5e: Fix page DMA map/unmap attributes (bsc#1012628).
  - net/mlx5e: Fix nullptr on deleting mirroring rule (bsc#1012628).
  - net/mlx5e: Fix wrong usage of fib_info_nh when routes with
    nexthop objects are used (bsc#1012628).
  - net/mlx5e: Don't block routes with nexthop objects in SW
    (bsc#1012628).
  - Revert "net/mlx5e: Block offload of outer header csum for UDP
    tunnels" (bsc#1012628).
  - Revert "net/mlx5e: Block offload of outer header csum for GRE
    tunnel" (bsc#1012628).
  - net/mlx5e: Fix matching on modified inner ip_ecn bits
    (bsc#1012628).
  - net/mlx5: Fix access to sf_dev_table on allocation failure
    (bsc#1012628).
  - net/mlx5e: Sync VXLAN udp ports during uplink representor
    profile change (bsc#1012628).
  - net/mlx5: Set command entry semaphore up once got index free
    (bsc#1012628).
  - lib/mpi: Add the return value check of kcalloc() (bsc#1012628).
  - Bluetooth: L2CAP: uninitialized variables in
    l2cap_sock_setsockopt() (bsc#1012628).
  - Bluetooth: hci_sock: fix endian bug in hci_sock_setsockopt()
    (bsc#1012628).
  - mptcp: fix per socket endpoint accounting (bsc#1012628).
  - mptcp: fix opt size when sending DSS + MP_FAIL (bsc#1012628).
  - mptcp: fix a DSS option writing error (bsc#1012628).
  - mptcp: Check reclaim amount before reducing allocation
    (bsc#1012628).
  - spi: spi-meson-spifc: Add missing pm_runtime_disable() in
    meson_spifc_probe (bsc#1012628).
  - octeontx2-af: Increment ptp refcount before use (bsc#1012628).
  - octeontx2-nicvf: Free VF PTP resources (bsc#1012628).
  - ax25: uninitialized variable in ax25_setsockopt() (bsc#1012628).
  - netrom: fix api breakage in nr_setsockopt() (bsc#1012628).
  - regmap: Call regmap_debugfs_exit() prior to _init()
    (bsc#1012628).
  - net: mscc: ocelot: fix incorrect balancing with down LAG ports
    (bsc#1012628).
  - octeontx2-af: Fix interrupt name strings (bsc#1012628).
  - can: mcp251xfd: add missing newline to printed strings
    (bsc#1012628).
  - tpm: add request_locality before write TPM_INT_ENABLE
    (bsc#1012628).
  - tpm_tis: Fix an error handling path in 'tpm_tis_core_init()'
    (bsc#1012628).
  - can: softing: softing_startstop(): fix set but not used variable
    warning (bsc#1012628).
  - can: xilinx_can: xcan_probe(): check for error irq
    (bsc#1012628).
  - can: rcar_canfd: rcar_canfd_channel_probe(): make sure we free
    CAN network device (bsc#1012628).
  - pcmcia: fix setting of kthread task states (bsc#1012628).
  - netfilter: egress: avoid a lockdep splat (bsc#1012628).
  - net: openvswitch: Fix ct_state nat flags for conns arriving
    from tc (bsc#1012628).
  - iwlwifi: mvm: Use div_s64 instead of do_div in
    iwl_mvm_ftm_rtt_smoothing() (bsc#1012628).
  - bnxt_en: use firmware provided max timeout for messages
    (bsc#1012628).
  - net: mcs7830: handle usb read errors properly (bsc#1012628).
  - amt: fix wrong return type of amt_send_membership_update()
    (bsc#1012628).
  - ext4: avoid trim error on fs with small groups (bsc#1012628).
  - ASoC: Intel: sof_sdw: fix jack detection on HP Spectre x360
    convertible (bsc#1012628).
  - ALSA: jack: Add missing rwsem around snd_ctl_remove() calls
    (bsc#1012628).
  - ALSA: PCM: Add missing rwsem around snd_ctl_remove() calls
    (bsc#1012628).
  - ALSA: hda: Add missing rwsem around snd_ctl_remove() calls
    (bsc#1012628).
  - ALSA: hda: Fix potential deadlock at codec unbinding
    (bsc#1012628).
  - RDMA/bnxt_re: Scan the whole bitmap when checking if "disabling
    RCFW with pending cmd-bit" (bsc#1012628).
  - RDMA/hns: Validate the pkey index (bsc#1012628).
  - scsi: pm80xx: Update WARN_ON check in pm8001_mpi_build_cmd()
    (bsc#1012628).
  - clk: renesas: rzg2l: Check return value of pm_genpd_init()
    (bsc#1012628).
  - clk: renesas: rzg2l: propagate return value
    of_genpd_add_provider_simple() (bsc#1012628).
  - clk: imx8mn: Fix imx8mn_clko1_sels (bsc#1012628).
  - ASoC: cs42l42: Report initial jack state (bsc#1012628).
  - powerpc/prom_init: Fix improper check of prom_getprop()
    (bsc#1012628).
  - ASoC: uniphier: drop selecting non-existing
    SND_SOC_UNIPHIER_AIO_DMA (bsc#1012628).
  - ASoC: codecs: wcd938x: add SND_SOC_WCD938_SDW to codec list
    instead (bsc#1012628).
  - RDMA/rtrs-clt: Fix the initial value of min_latency
    (bsc#1012628).
  - ALSA: hda: Make proper use of timecounter (bsc#1012628).
  - dt-bindings: thermal: Fix definition of cooling-maps
    contribution property (bsc#1012628).
  - powerpc/perf: Fix PMU callbacks to clear pending PMI before
    resetting an overflown PMC (bsc#1012628).
  - powerpc/modules: Don't WARN on first module allocation attempt
    (bsc#1012628).
  - powerpc/32s: Fix shift-out-of-bounds in KASAN init
    (bsc#1012628).
  - clocksource: Avoid accidental unstable marking of clocksources
    (bsc#1012628).
  - ALSA: oss: fix compile error when OSS_DEBUG is enabled
    (bsc#1012628).
  - ALSA: usb-audio: Drop superfluous '0' in Presonus Studio
    1810c's ID (bsc#1012628).
  - ASoC: amd: Fix dependency for SPI master (bsc#1012628).
  - misc: at25: Make driver OF independent again (bsc#1012628).
  - char/mwave: Adjust io port register size (bsc#1012628).
  - binder: fix handling of error during copy (bsc#1012628).
  - binder: avoid potential data leakage when copying txn
    (bsc#1012628).
  - openrisc: Add clone3 ABI wrapper (bsc#1012628).
  - iommu: Extend mutex lock scope in iommu_probe_device()
    (bsc#1012628).
  - iommu/io-pgtable-arm: Fix table descriptor paddr formatting
    (bsc#1012628).
  - ASoC: SOF: Intel: fix build issue related to CODEC_PROBE_ENTRIES
    (bsc#1012628).
  - scsi: core: Fix scsi_device_max_queue_depth() (bsc#1012628).
  - scsi: ufs: Fix race conditions related to driver data
    (bsc#1012628).
  - RDMA/qedr: Fix reporting max_{send/recv}_wr attrs (bsc#1012628).
  - PCI/MSI: Fix pci_irq_vector()/pci_irq_get_affinity()
    (bsc#1012628).
  - powerpc/powermac: Add additional missing lockdep_register_key()
    (bsc#1012628).
  - iommu/arm-smmu-qcom: Fix TTBR0 read (bsc#1012628).
  - RDMA/core: Let ib_find_gid() continue search even after empty
    entry (bsc#1012628).
  - RDMA/cma: Let cma_resolve_ib_dev() continue search even after
    empty entry (bsc#1012628).
  - ASoC: rt5663: Handle device_property_read_u32_array error codes
    (bsc#1012628).
  - of: unittest: fix warning on PowerPC frame size warning
    (bsc#1012628).
  - of: unittest: 64 bit dma address test requires arch support
    (bsc#1012628).
  - clk: stm32: Fix ltdc's clock turn off by clk_disable_unused()
    after system enter shell (bsc#1012628).
  - mips: add SYS_HAS_CPU_MIPS64_R5 config for MIPS Release 5
    support (bsc#1012628).
  - mips: fix Kconfig reference to PHYS_ADDR_T_64BIT (bsc#1012628).
  - dmaengine: pxa/mmp: stop referencing config->slave_id
    (bsc#1012628).
  - iommu/amd: Restore GA log/tail pointer on host resume
    (bsc#1012628).
  - iommu/amd: X2apic mode: re-enable after resume (bsc#1012628).
  - iommu/amd: X2apic mode: setup the INTX registers on mask/unmask
    (bsc#1012628).
  - iommu/amd: X2apic mode: mask/unmask interrupts on suspend/resume
    (bsc#1012628).
  - iommu/amd: Remove useless irq affinity notifier (bsc#1012628).
  - ASoC: Intel: catpt: Test dmaengine_submit() result before
    moving on (bsc#1012628).
  - iommu/iova: Fix race between FQ timeout and teardown
    (bsc#1012628).
  - ASoC: mediatek: mt8195: correct default value (bsc#1012628).
  - counter: 104-quad-8: Fix persistent enabled events bug
    (bsc#1012628).
  - of: fdt: Aggregate the processing of "linux,usable-memory-range"
    (bsc#1012628).
  - efi: apply memblock cap after memblock_add() (bsc#1012628).
  - scsi: block: pm: Always set request queue runtime active in
    blk_post_runtime_resume() (bsc#1012628).
  - phy: uniphier-usb3ss: fix unintended writing zeros to PHY
    register (bsc#1012628).
  - ASoC: mediatek: Check for error clk pointer (bsc#1012628).
  - powerpc/64s: Mask NIP before checking against SRR0
    (bsc#1012628).
  - powerpc/64s: Use EMIT_WARN_ENTRY for SRR debug warnings
    (bsc#1012628).
  - phy: cadence: Sierra: Fix to get correct parent for mux clocks
    (bsc#1012628).
  - iio: chemical: sunrise_co2: set val parameter only on success
    (bsc#1012628).
  - ASoC: samsung: idma: Check of ioremap return value
    (bsc#1012628).
  - misc: lattice-ecp3-config: Fix task hung when firmware load
    failed (bsc#1012628).
  - ASoC: mediatek: mt8195: correct pcmif BE dai control flow
    (bsc#1012628).
  - arm64: tegra: Remove non existent Tegra194 reset (bsc#1012628).
  - mips: lantiq: add support for clk_set_parent() (bsc#1012628).
  - mips: bcm63xx: add support for clk_set_parent() (bsc#1012628).
  - powerpc/xive: Add missing null check after calling kmalloc
    (bsc#1012628).
  - ASoC: fsl_mqs: fix MODULE_ALIAS (bsc#1012628).
  - ALSA: hda/cs8409: Increase delay during jack detection
    (bsc#1012628).
  - ALSA: hda/cs8409: Fix Jack detection after resume (bsc#1012628).
  - cxl/core: Remove cxld_const_init in cxl_decoder_alloc()
    (bsc#1012628).
  - MIPS: fix local_{add,sub}_return on MIPS64 (bsc#1012628).
  - RDMA/cxgb4: Set queue pair state when being queried
    (bsc#1012628).
  - clk: qcom: gcc-sc7280: Mark gcc_cfg_noc_lpass_clk always enabled
    (bsc#1012628).
  - ASoC: imx-card: Need special setting for ak4497 on i.MX8MQ
    (bsc#1012628).
  - ASoC: imx-card: Fix mclk calculation issue for akcodec
    (bsc#1012628).
  - ASoC: imx-card: improve the sound quality for low rate
    (bsc#1012628).
  - ASoC: fsl_asrc: refine the check of available clock divider
    (bsc#1012628).
  - clk: bm1880: remove kfrees on static allocations (bsc#1012628).
  - of: base: Fix phandle argument length mismatch error message
    (bsc#1012628).
  - of/fdt: Don't worry about non-memory region overlap for no-map
    (bsc#1012628).
  - MIPS: compressed: Fix build with ZSTD compression (bsc#1012628).
  - mailbox: fix gce_num of mt8192 driver data (bsc#1012628).
  - mailbox: imx: Fix an IS_ERR() vs NULL bug (bsc#1012628).
  - mailbox: pcc: Avoid using the uninitialized variable 'dev'
    (bsc#1012628).
  - mailbox: pcc: Handle all PCC subtypes correctly in pcc_mbox_irq
    (bsc#1012628).
  - ARM: dts: omap3-n900: Fix lp5523 for multi color (bsc#1012628).
  - leds: lp55xx: initialise output direction from dts
    (bsc#1012628).
  - Bluetooth: hci_sock: purge socket queues in the destruct()
    callback (bsc#1012628).
  - Bluetooth: Fix debugfs entry leak in hci_register_dev()
    (bsc#1012628).
  - Bluetooth: Fix memory leak of hci device (bsc#1012628).
  - drm/panel: Delete panel on mipi_dsi_attach() failure
    (bsc#1012628).
  - Bluetooth: Fix removing adv when processing cmd complete
    (bsc#1012628).
  - drm/sched: Avoid lockdep spalt on killing a processes
    (bsc#1012628).
  - fs: dlm: filter user dlm messages for kernel locks
    (bsc#1012628).
  - libbpf: Detect corrupted ELF symbols section (bsc#1012628).
  - libbpf: Improve sanity checking during BTF fix up (bsc#1012628).
  - drm/lima: fix warning when CONFIG_DEBUG_SG=y &
    CONFIG_DMA_API_DEBUG=y (bsc#1012628).
  - selftests/bpf: Fix memory leaks in btf_type_c_dump() helper
    (bsc#1012628).
  - selftests/bpf: Destroy XDP link correctly (bsc#1012628).
  - selftests/bpf: Fix bpf_object leak in skb_ctx selftest
    (bsc#1012628).
  - ar5523: Fix null-ptr-deref with unexpected WDCMSG_TARGET_START
    reply (bsc#1012628).
  - drm/bridge: dw-hdmi: handle ELD when
    DRM_BRIDGE_ATTACH_NO_CONNECTOR (bsc#1012628).
  - drm/nouveau/pmu/gm200-: avoid touching PMU outside of
    DEVINIT/PREOS/ACR (bsc#1012628).
  - media: atomisp: fix try_fmt logic (bsc#1012628).
  - media: atomisp: set per-device's default mode (bsc#1012628).
  - media: atomisp-ov2680: Fix ov2680_set_fmt() clobbering the
    exposure (bsc#1012628).
  - media: atomisp: check before deference asd variable
    (bsc#1012628).
  - ARM: shmobile: rcar-gen2: Add missing of_node_put()
    (bsc#1012628).
  - ath11k: enable IEEE80211_VHT_EXT_NSS_BW_CAPABLE if NSS ratio
    enabled (bsc#1012628).
  - batman-adv: allow netlink usage in unprivileged containers
    (bsc#1012628).
  - bpf: Change value of MAX_TAIL_CALL_CNT from 32 to 33
    (bsc#1012628).
  - media: atomisp: handle errors at sh_css_create_isp_params()
    (bsc#1012628).
  - ath11k: Fix crash caused by uninitialized TX ring (bsc#1012628).
  - usb: dwc3: meson-g12a: fix shared reset control use
    (bsc#1012628).
  - USB: ehci_brcm_hub_control: Improve port index sanitizing
    (bsc#1012628).
  - usb: gadget: f_fs: Use stream_open() for endpoint files
    (bsc#1012628).
  - psi: Fix PSI_MEM_FULL state when tasks are in memstall and
    doing reclaim (bsc#1012628).
  - drm: panel-orientation-quirks: Add quirk for the Lenovo Yoga
    Book X91F/L (bsc#1012628).
  - HID: magicmouse: Report battery level over USB (bsc#1012628).
  - HID: apple: Do not reset quirks when the Fn key is not found
    (bsc#1012628).
  - media: b2c2: Add missing check in flexcop_pci_isr:
    (bsc#1012628).
  - libbpf: Accommodate DWARF/compiler bug with duplicated structs
    (bsc#1012628).
  - ethernet: renesas: Use div64_ul instead of do_div (bsc#1012628).
  - EDAC/synopsys: Use the quirk for version instead of ddr version
    (bsc#1012628).
  - arm64: dts: qcom: sm[68]350: Use interrupts-extended with pdc
    interrupts (bsc#1012628).
  - arm64: dts: qcom: sm8350: Shorten camera-thermal-bottom name
    (bsc#1012628).
  - soc: imx: gpcv2: Synchronously suspend MIX domains
    (bsc#1012628).
  - ARM: imx: rename DEBUG_IMX21_IMX27_UART to DEBUG_IMX27_UART
    (bsc#1012628).
  - ath11k: Fix mon status ring rx tlv processing (bsc#1012628).
  - drm/amd/display: check top_pipe_to_program pointer
    (bsc#1012628).
  - drm/amdgpu/display: set vblank_disable_immediate for DC
    (bsc#1012628).
  - soc: ti: pruss: fix referenced node in error message
    (bsc#1012628).
  - mlxsw: pci: Add shutdown method in PCI driver (bsc#1012628).
  - drm/amd/display: add else to avoid double destroy clk_mgr
    (bsc#1012628).
  - drm/bridge: megachips: Ensure both bridges are probed before
    registration (bsc#1012628).
  - mxser: keep only !tty test in ISR (bsc#1012628).
  - mxser: don't throttle manually (bsc#1012628).
  - mxser: increase buf_overrun if tty_insert_flip_char() fails
    (bsc#1012628).
  - serial: 8250_dw: Add StarFive JH7100 quirk (bsc#1012628).
  - tty: serial: imx: disable UCR4_OREN in .stop_rx() instead of
    .shutdown() (bsc#1012628).
  - gpiolib: acpi: Do not set the IRQ type if the IRQ is already
    in use (bsc#1012628).
  - HSI: core: Fix return freed object in hsi_new_client
    (bsc#1012628).
  - crypto: jitter - consider 32 LSB for APT (bsc#1012628).
  - rtw89: fix potentially access out of range of RF register array
    (bsc#1012628).
  - rsi: Fix use-after-free in rsi_rx_done_handler() (bsc#1012628).
  - rsi: Fix out-of-bounds read in rsi_read_pkt() (bsc#1012628).
  - ath11k: Avoid NULL ptr access during mgmt tx cleanup
    (bsc#1012628).
  - media: venus: avoid calling core_clk_setrate() concurrently
    during concurrent video sessions (bsc#1012628).
  - regulator: da9121: Prevent current limit change when enabled
    (bsc#1012628).
  - drm/vmwgfx: Release ttm memory if probe fails (bsc#1012628).
  - drm/vmwgfx: Introduce a new placement for MOB page tables
    (bsc#1012628).
  - ACPI / x86: Drop PWM2 device on Lenovo Yoga Book from always
    present table (bsc#1012628).
  - ACPI: Change acpi_device_always_present() into
    acpi_device_override_status() (bsc#1012628).
  - ACPI / x86: Allow specifying acpi_device_override_status()
    quirks by path (bsc#1012628).
  - ACPI / x86: Add not-present quirk for the PCI0.SDHB.BRC1 device
    on the GPD win (bsc#1012628).
  - drm: Return error codes from struct drm_driver.gem_create_object
    (bsc#1012628).
  - drm/amd/display: Use oriented source size when checking cursor
    scaling (bsc#1012628).
  - arm64: dts: ti: j7200-main: Fix 'dtbs_check' serdes_ln_ctrl node
    (bsc#1012628).
  - arm64: dts: ti: j721e-main: Fix 'dtbs_check' in serdes_ln_ctrl
    node (bsc#1012628).
  - usb: uhci: add aspeed ast2600 uhci support (bsc#1012628).
  - floppy: Add max size check for user space request (bsc#1012628).
  - x86/mm: Flush global TLB when switching to trampoline page-table
    (bsc#1012628).
  - drm: rcar-du: Fix CRTC timings when CMM is used (bsc#1012628).
  - media: uvcvideo: Increase UVC_CTRL_CONTROL_TIMEOUT to 5 seconds
    (bsc#1012628).
  - media: rcar-vin: Update format alignment constraints
    (bsc#1012628).
  - media: saa7146: hexium_orion: Fix a NULL pointer dereference
    in hexium_attach() (bsc#1012628).
  - media: atomisp: fix "variable dereferenced before check 'asd'"
    (bsc#1012628).
  - media: m920x: don't use stack on USB reads (bsc#1012628).
  - thunderbolt: Runtime PM activate both ends of the device link
    (bsc#1012628).
  - arm64: dts: renesas: Fix thermal bindings (bsc#1012628).
  - iwlwifi: mvm: synchronize with FW after multicast commands
    (bsc#1012628).
  - iwlwifi: mvm: avoid clearing a just saved session protection id
    (bsc#1012628).
  - iwlwifi: acpi: fix wgds rev 3 size (bsc#1012628).
  - rcutorture: Avoid soft lockup during cpu stall (bsc#1012628).
  - ath11k: avoid deadlock by change ieee80211_queue_work for
    regd_update_work (bsc#1012628).
  - ath10k: Fix tx hanging (bsc#1012628).
  - rtw89: don't kick off TX DMA if failed to write skb
    (bsc#1012628).
  - net-sysfs: update the queue counts in the unregistration path
    (bsc#1012628).
  - ath10k: drop beacon and probe response which leak from other
    channel (bsc#1012628).
  - net: phy: prefer 1000baseT over 1000baseKX (bsc#1012628).
  - gpio: aspeed: Convert aspeed_gpio.lock to raw_spinlock
    (bsc#1012628).
  - gpio: aspeed-sgpio: Convert aspeed_sgpio.lock to raw_spinlock
    (bsc#1012628).
  - selftests/ftrace: make kprobe profile testcase description
    unique (bsc#1012628).
  - arm64: dts: rockchip: Fix Bluetooth on ROCK Pi 4 boards
    (bsc#1012628).
  - ath11k: Avoid false DEADLOCK warning reported by lockdep
    (bsc#1012628).
  - ARM: dts: qcom: sdx55: fix IPA interconnect definitions
    (bsc#1012628).
  - x86/mce: Allow instrumentation during task work queueing
    (bsc#1012628).
  - x86/mce: Prevent severity computation from being instrumented
    (bsc#1012628).
  - x86/mce: Mark mce_panic() noinstr (bsc#1012628).
  - x86/mce: Mark mce_end() noinstr (bsc#1012628).
  - x86/mce: Mark mce_read_aux() noinstr (bsc#1012628).
  - net: bonding: debug: avoid printing debug logs when bond is
    not notifying peers (bsc#1012628).
  - kunit: Don't crash if no parameters are generated (bsc#1012628).
  - bpf: Do not WARN in bpf_warn_invalid_xdp_action() (bsc#1012628).
  - drm/amdkfd: Fix error handling in svm_range_add (bsc#1012628).
  - drm/amdgpu: fix amdgpu_ras_mca_query_error_status scope
    (bsc#1012628).
  - HID: quirks: Allow inverting the absolute X/Y values
    (bsc#1012628).
  - HID: i2c-hid-of: Expose the touchscreen-inverted properties
    (bsc#1012628).
  - media: igorplugusb: receiver overflow should be reported
    (bsc#1012628).
  - media: rockchip: rkisp1: use device name for debugfs subdir name
    (bsc#1012628).
  - media: saa7146: hexium_gemini: Fix a NULL pointer dereference
    in hexium_attach() (bsc#1012628).
  - mmc: tmio: reinit card irqs in reset routine (bsc#1012628).
  - mmc: core: Fixup storing of OCR for MMC_QUIRK_NONSTD_SDIO
    (bsc#1012628).
  - mmc: omap_hsmmc: Revert special init for wl1251 (bsc#1012628).
  - drm/amd/amdgpu: fix psp tmr bo pin count leak in SRIOV
    (bsc#1012628).
  - drm/amd/amdgpu: fix gmc bo pin count leak in SRIOV
    (bsc#1012628).
  - audit: ensure userspace is penalized the same as the kernel
    when under pressure (bsc#1012628).
  - arm64: dts: ls1028a-qds: move rtc node to the correct i2c bus
    (bsc#1012628).
  - arm64: tegra: Adjust length of CCPLEX cluster MMIO region
    (bsc#1012628).
  - crypto: ccp - Move SEV_INIT retry for corrupted data
    (bsc#1012628).
  - crypto: hisilicon/hpre - fix memory leak in
    hpre_curve25519_src_init() (bsc#1012628).
  - crypto: hisilicon/qm - fix deadlock for remove driver
    (bsc#1012628).
  - PM: runtime: Add safety net to supplier device release
    (bsc#1012628).
  - cpufreq: Fix initialization of min and max frequency QoS
    requests (bsc#1012628).
  - usb: hub: Add delay for SuperSpeed hub resume to let links
    transit to U0 (bsc#1012628).
  - mt76: mt7615: fix possible deadlock while
    mt7615_register_ext_phy() (bsc#1012628).
  - mt76: mt7915: fix SMPS operation fail (bsc#1012628).
  - mt76: connac: fix a theoretical NULL pointer dereference in
    mt76_connac_get_phy_mode (bsc#1012628).
  - mt76: do not pass the received frame with decryption error
    (bsc#1012628).
  - mt76: mt7615: improve wmm index allocation (bsc#1012628).
  - mt76: mt7921: fix network buffer leak by txs missing
    (bsc#1012628).
  - ath9k_htc: fix NULL pointer dereference at ath9k_htc_rxep()
    (bsc#1012628).
  - ath9k_htc: fix NULL pointer dereference at
    ath9k_htc_tx_get_packet() (bsc#1012628).
  - ath9k: Fix out-of-bound memcpy in ath9k_hif_usb_rx_stream
    (bsc#1012628).
  - rtw88: 8822c: update rx settings to prevent potential hw
    deadlock (bsc#1012628).
  - PM: AVS: qcom-cpr: Use div64_ul instead of do_div (bsc#1012628).
  - iwlwifi: recognize missing PNVM data and then log filename
    (bsc#1012628).
  - iwlwifi: fix leaks/bad data after failed firmware load
    (bsc#1012628).
  - iwlwifi: remove module loading failure message (bsc#1012628).
  - iwlwifi: mvm: Fix calculation of frame length (bsc#1012628).
  - iwlwifi: mvm: fix AUX ROC removal (bsc#1012628).
  - iwlwifi: pcie: make sure prph_info is set when treating wakeup
    IRQ (bsc#1012628).
  - mmc: sdhci-pci-gli: GL9755: Support for CD/WP inversion on OF
    platforms (bsc#1012628).
  - block: check minor range in device_add_disk() (bsc#1012628).
  - um: registers: Rename function names to avoid conflicts and
    build problems (bsc#1012628).
  - ath11k: Fix napi related hang (bsc#1012628).
  - Bluetooth: btintel: Add missing quirks and msft ext for legacy
    bootloader (bsc#1012628).
  - cpufreq: intel_pstate: Update cpuinfo.max_freq on HWP_CAP
    changes (bsc#1012628).
  - Bluetooth: vhci: Set HCI_QUIRK_VALID_LE_STATES (bsc#1012628).
  - xfrm: rate limit SA mapping change message to user space
    (bsc#1012628).
  - drm/etnaviv: consider completed fence seqno in hang check
    (bsc#1012628).
  - jffs2: GC deadlock reading a page that is used in
    jffs2_write_begin() (bsc#1012628).
  - ACPICA: actypes.h: Expand the ACPI_ACCESS_ definitions
    (bsc#1012628).
  - ACPICA: Utilities: Avoid deleting the same object twice in a
    row (bsc#1012628).
  - ACPICA: Executer: Fix the REFCLASS_REFOF case in
    acpi_ex_opcode_1A_0T_1R() (bsc#1012628).
  - ACPICA: Fix wrong interpretation of PCC address (bsc#1012628).
  - ACPICA: Hardware: Do not flush CPU cache when entering S4 and S5
    (bsc#1012628).
  - mmc: mtk-sd: Use readl_poll_timeout instead of open-coded
    polling (bsc#1012628).
  - drm/amdgpu: fixup bad vram size on gmc v8 (bsc#1012628).
  - mfd: intel_soc_pmic: Use CPU-id check instead of _HRV check
    to differentiate variants (bsc#1012628).
  - amdgpu/pm: Make sysfs pm attributes as read-only for VFs
    (bsc#1012628).
  - ACPI: battery: Add the ThinkPad "Not Charging" quirk
    (bsc#1012628).
  - ACPI: CPPC: Check present CPUs for determining _CPC is valid
    (bsc#1012628).
  - net/mlx5: DR, Fix error flow in creating matcher (bsc#1012628).
  - btrfs: remove BUG_ON() in find_parent_nodes() (bsc#1012628).
  - btrfs: remove BUG_ON(!eie) in find_parent_nodes (bsc#1012628).
  - net: mdio: Demote probed message to debug print (bsc#1012628).
  - dm btree: add a defensive bounds check to insert_at()
    (bsc#1012628).
  - dm space map common: add bounds check to sm_ll_lookup_bitmap()
    (bsc#1012628).
  - can: do not increase rx statistics when generating a CAN rx
    error message frame (bsc#1012628).
  - bpf/selftests: Fix namespace mount setup in tc_redirect
    (bsc#1012628).
  - mlxsw: pci: Avoid flow control for EMAD packets (bsc#1012628).
  - net: phy: marvell: configure RGMII delays for 88E1118
    (bsc#1012628).
  - net: gemini: allow any RGMII interface mode (bsc#1012628).
  - regulator: qcom_smd: Align probe function with rpmh-regulator
    (bsc#1012628).
  - serial: pl010: Drop CR register reset on set_termios
    (bsc#1012628).
  - serial: pl011: Drop CR register reset on set_termios
    (bsc#1012628).
  - serial: core: Keep mctrl register state and cached copy in sync
    (bsc#1012628).
  - random: do not throw away excess input to crng_fast_load
    (bsc#1012628).
  - net/mlx5: Update log_max_qp value to FW max capability
    (bsc#1012628).
  - net/mlx5e: Unblock setting vid 0 for VF in case PF isn't
    eswitch manager (bsc#1012628).
  - parisc: Avoid calling faulthandler_disabled() twice
    (bsc#1012628).
  - can: flexcan: allow to change quirks at runtime (bsc#1012628).
  - can: flexcan: rename RX modes (bsc#1012628).
  - can: flexcan: add more quirks to describe RX path capabilities
    (bsc#1012628).
  - x86/kbuild: Enable CONFIG_KALLSYMS_ALL=y in the defconfigs
    (bsc#1012628).
  - clk: samsung: exynos850: Register clocks early (bsc#1012628).
  - powerpc/6xx: add missing of_node_put (bsc#1012628).
  - powerpc/powernv: add missing of_node_put (bsc#1012628).
  - powerpc/cell: add missing of_node_put (bsc#1012628).
  - powerpc/btext: add missing of_node_put (bsc#1012628).
  - powerpc/watchdog: Fix missed watchdog reset due to memory
    ordering race (bsc#1012628).
  - ASoC: imx-hdmi: add put_device() after of_find_device_by_node()
    (bsc#1012628).
  - i2c: i801: Don't silently correct invalid transfer size
    (bsc#1012628).
  - powerpc/smp: Move setup_profiling_timer() under CONFIG_PROFILING
    (bsc#1012628).
  - i2c: mpc: Correct I2C reset procedure (bsc#1012628).
  - clk: meson: gxbb: Fix the SDM_EN bit for MPLL0 on GXBB
    (bsc#1012628).
  - powerpc/powermac: Add missing lockdep_register_key()
    (bsc#1012628).
  - KVM: PPC: Book3S: Suppress warnings when allocating too big
    memory slots (bsc#1012628).
  - KVM: PPC: Book3S: Suppress failed alloc warning in
    H_COPY_TOFROM_GUEST (bsc#1012628).
  - w1: Misuse of get_user()/put_user() reported by sparse
    (bsc#1012628).
  - nvmem: core: set size for sysfs bin file (bsc#1012628).
  - dm: fix alloc_dax error handling in alloc_dev (bsc#1012628).
  - dm: make the DAX support depend on CONFIG_FS_DAX (bsc#1012628).
  - ASoC: test-component: fix null pointer dereference
    (bsc#1012628).
  - interconnect: qcom: rpm: Prevent integer overflow in rate
    (bsc#1012628).
  - scsi: ufs: Fix a kernel crash during shutdown (bsc#1012628).
  - scsi: lpfc: Fix leaked lpfc_dmabuf mbox allocations with NPIV
    (bsc#1012628).
  - scsi: lpfc: Trigger SLI4 firmware dump before doing driver
    cleanup (bsc#1012628).
  - ALSA: seq: Set upper limit of processed events (bsc#1012628).
  - MIPS: Loongson64: Use three arguments for slti (bsc#1012628).
  - powerpc/40x: Map 32Mbytes of memory at startup (bsc#1012628).
  - selftests/powerpc/spectre_v2: Return skip code when miss_percent
    is high (bsc#1012628).
  - powerpc: handle kdump appropriately with
    crash_kexec_post_notifiers option (bsc#1012628).
  - powerpc/fadump: Fix inaccurate CPU state info in vmcore
    generated with panic (bsc#1012628).
  - ASoC: SOF: Intel: hda: add quirks for HDAudio DMA position
    information (bsc#1012628).
  - udf: Fix error handling in udf_new_inode() (bsc#1012628).
  - MIPS: OCTEON: add put_device() after of_find_device_by_node()
    (bsc#1012628).
  - irqchip/gic-v4: Disable redistributors' view of the VPE table
    at boot time (bsc#1012628).
  - i2c: designware-pci: Fix to change data types of hcnt and lcnt
    parameters (bsc#1012628).
  - scsi: hisi_sas: Prevent parallel FLR and controller reset
    (bsc#1012628).
  - ASoC: SOF: ipc: Add null pointer check for substream->runtime
    (bsc#1012628).
  - selftests/powerpc: Add a test of sigreturning to the kernel
    (bsc#1012628).
  - MIPS: Octeon: Fix build errors using clang (bsc#1012628).
  - scsi: sr: Don't use GFP_DMA (bsc#1012628).
  - scsi: mpi3mr: Fixes around reply request queues (bsc#1012628).
  - ASoC: mediatek: mt8192-mt6359: fix device_node leak
    (bsc#1012628).
  - phy: phy-mtk-tphy: add support efuse setting (bsc#1012628).
  - ASoC: mediatek: mt8173: fix device_node leak (bsc#1012628).
  - ASoC: mediatek: mt8183: fix device_node leak (bsc#1012628).
  - habanalabs: change wait for interrupt timeout to 64 bit
    (bsc#1012628).
  - habanalabs: skip read fw errors if dynamic descriptor invalid
    (bsc#1012628).
  - phy: mediatek: Fix missing check in mtk_mipi_tx_probe
    (bsc#1012628).
  - mailbox: change mailbox-mpfs compatible string (bsc#1012628).
  - signal: In get_signal test for signal_group_exit every time
    through the loop (bsc#1012628).
  - PCI: mediatek-gen3: Disable DVFSRC voltage request
    (bsc#1012628).
  - PCI: qcom: Fix an error handling path in 'qcom_pcie_probe()'
    (bsc#1012628).
  - PCI: rcar: Check if device is runtime suspended instead of
    __clk_is_enabled() (bsc#1012628).
  - PCI: dwc: Do not remap invalid res (bsc#1012628).
  - PCI: aardvark: Fix checking for MEM resource type (bsc#1012628).
  - PCI: apple: Fix REFCLK1 enable/poll logic (bsc#1012628).
  - KVM: VMX: Don't unblock vCPU w/ Posted IRQ if IRQs are disabled
    in guest (bsc#1012628).
  - KVM: s390: Ensure kvm_arch_no_poll() is read once when blocking
    vCPU (bsc#1012628).
  - KVM: VMX: Read Posted Interrupt "control" exactly once per
    loop iteration (bsc#1012628).
  - KVM: X86: Ensure that dirty PDPTRs are loaded (bsc#1012628).
  - KVM: x86: Handle 32-bit wrap of EIP for EMULTYPE_SKIP with
    flat code seg (bsc#1012628).
  - KVM: x86: Exit to userspace if emulation prepared a completion
    callback (bsc#1012628).
  - i3c: fix incorrect address slot lookup on 64-bit (bsc#1012628).
  - i3c/master/mipi-i3c-hci: Fix a potentially infinite loop in
    'hci_dat_v1_get_index()' (bsc#1012628).
  - tracing: Do not let synth_events block other dyn_event systems
    during create (bsc#1012628).
  - Input: ti_am335x_tsc - set ADCREFM for X configuration
    (bsc#1012628).
  - Input: ti_am335x_tsc - fix STEPCONFIG setup for Z2
    (bsc#1012628).
  - PCI: mvebu: Check for errors from pci_bridge_emul_init() call
    (bsc#1012628).
  - PCI: mvebu: Do not modify PCI IO type bits in conf_write
    (bsc#1012628).
  - PCI: mvebu: Fix support for bus mastering and PCI_COMMAND on
    emulated bridge (bsc#1012628).
  - PCI: mvebu: Fix configuring secondary bus of PCIe Root Port
    via emulated bridge (bsc#1012628).
  - PCI: mvebu: Setup PCIe controller to Root Complex mode
    (bsc#1012628).
  - PCI: mvebu: Fix support for PCI_BRIDGE_CTL_BUS_RESET on emulated
    bridge (bsc#1012628).
  - PCI: mvebu: Fix support for PCI_EXP_DEVCTL on emulated bridge
    (bsc#1012628).
  - PCI: mvebu: Fix support for PCI_EXP_RTSTA on emulated bridge
    (bsc#1012628).
  - PCI: mvebu: Fix support for DEVCAP2, DEVCTL2 and LNKCTL2
    registers on emulated bridge (bsc#1012628).
  - KVM: RISC-V: Avoid spurious virtual interrupts after clearing
    hideleg CSR (bsc#1012628).
  - NFSD: Fix verifier returned in stable WRITEs (bsc#1012628).
  - Revert "nfsd: skip some unnecessary stats in the v4 case"
    (bsc#1012628).
  - nfsd: fix crash on COPY_NOTIFY with special stateid
    (bsc#1012628).
  - x86/hyperv: Properly deal with empty cpumasks in
    hyperv_flush_tlb_multi() (bsc#1012628).
  - drm/i915/pxp: Hold RPM wakelock during PXP unbind (bsc#1012628).
  - drm/i915: don't call free_mmap_offset when purging
    (bsc#1012628).
  - SUNRPC: Fix sockaddr handling in the svc_xprt_create_error
    trace point (bsc#1012628).
  - SUNRPC: Fix sockaddr handling in svcsock_accept_class trace
    points (bsc#1012628).
  - drm/sun4i: dw-hdmi: Fix missing put_device() call in
    sun8i_hdmi_phy_get (bsc#1012628).
  - drm/atomic: Check new_crtc_state->active to determine if CRTC
    needs disable in self refresh mode (bsc#1012628).
  - ntb_hw_switchtec: Fix pff ioread to read into mmio_part_cfg_all
    (bsc#1012628).
  - ntb_hw_switchtec: Fix bug with more than 32 partitions
    (bsc#1012628).
  - drm/amd/display: invalid parameter check in dmub_hpd_callback
    (bsc#1012628).
  - drm/amdkfd: Check for null pointer after calling kmemdup
    (bsc#1012628).
  - drm/amdgpu: use spin_lock_irqsave to avoid deadlock by local
    interrupt (bsc#1012628).
  - PCI: mt7621: Add missing MODULE_LICENSE() (bsc#1012628).
  - i3c: master: dw: check return of dw_i3c_master_get_free_pos()
    (bsc#1012628).
  - dma-buf: cma_heap: Fix mutex locking section (bsc#1012628).
  - tracing/uprobes: Check the return value of kstrdup() for
    tu->filename (bsc#1012628).
  - tracing/probes: check the return value of kstrndup() for pbuf
    (bsc#1012628).
  - mm: defer kmemleak object creation of module_alloc()
    (bsc#1012628).
  - kasan: fix quarantine conflicting with init_on_free
    (bsc#1012628).
  - selftests/vm: make charge_reserved_hugetlb.sh work with existing
    cgroup setting (bsc#1012628).
  - hugetlbfs: fix off-by-one error in hugetlb_vmdelete_list()
    (bsc#1012628).
  - rpmsg: core: Clean up resources on announce_create failure
    (bsc#1012628).
  - ifcvf/vDPA: fix misuse virtio-net device config size for blk
    dev (bsc#1012628).
  - crypto: omap-aes - Fix broken pm_runtime_and_get() usage
    (bsc#1012628).
  - crypto: stm32/crc32 - Fix kernel BUG triggered in probe()
    (bsc#1012628).
  - crypto: caam - replace this_cpu_ptr with raw_cpu_ptr
    (bsc#1012628).
  - ubifs: Error path in ubifs_remount_rw() seems to wrongly free
    write buffers (bsc#1012628).
  - tpm: fix potential NULL pointer access in tpm_del_char_device
    (bsc#1012628).
  - tpm: fix NPE on probe for missing device (bsc#1012628).
  - mfd: tps65910: Set PWR_OFF bit during driver probe
    (bsc#1012628).
  - spi: uniphier: Fix a bug that doesn't point to private data
    correctly (bsc#1012628).
  - xen/gntdev: fix unmap notification order (bsc#1012628).
  - md: Move alloc/free acct bioset in to personality (bsc#1012628).
  - HID: magicmouse: Fix an error handling path in
    magicmouse_probe() (bsc#1012628).
  - x86/mce: Check regs before accessing it (bsc#1012628).
  - fuse: Pass correct lend value to filemap_write_and_wait_range()
    (bsc#1012628).
  - serial: Fix incorrect rs485 polarity on uart open (bsc#1012628).
  - cputime, cpuacct: Include guest time in user time in
    cpuacct.stat (bsc#1012628).
  - sched/cpuacct: Fix user/system in shown cpuacct.usage*
    (bsc#1012628).
  - tracing/osnoise: Properly unhook events if
    start_per_cpu_kthreads() fails (bsc#1012628).
  - tracing/kprobes: 'nmissed' not showed correctly for kretprobe
    (bsc#1012628).
  - tracing: Have syscall trace events use
    trace_event_buffer_lock_reserve() (bsc#1012628).
  - remoteproc: imx_rproc: Fix a resource leak in the remove
    function (bsc#1012628).
  - iwlwifi: mvm: Increase the scan timeout guard to 30 seconds
    (bsc#1012628).
  - device property: Fix fwnode_graph_devcon_match() fwnode leak
    (bsc#1012628).
  - drm/tegra: submit: Add missing pm_runtime_mark_last_busy()
    (bsc#1012628).
  - drm/etnaviv: limit submit sizes (bsc#1012628).
  - drm/amd/display: Fix the uninitialized variable in
    enable_stream_features() (bsc#1012628).
  - drm/nouveau/kms/nv04: use vzalloc for nv04_display
    (bsc#1012628).
  - drm/bridge: analogix_dp: Make PSR-exit block less (bsc#1012628).
  - parisc: Fix lpa and lpa_user defines (bsc#1012628).
  - powerpc/64s/radix: Fix huge vmap false positive (bsc#1012628).
  - scsi: lpfc: Fix lpfc_force_rscn ndlp kref imbalance
    (bsc#1012628).
  - drm/amdgpu: Use correct VIEWPORT_DIMENSION for DCN2
    (bsc#1012628).
  - drm/amdgpu: don't do resets on APUs which don't support it
    (bsc#1012628).
  - drm/amd/display: Revert W/A for hard hangs on DCN20/DCN21
    (bsc#1012628).
  - drm/i915/display/ehl: Update voltage swing table (bsc#1012628).
  - PCI: xgene: Fix IB window setup (bsc#1012628).
  - PCI: pciehp: Use down_read/write_nested(reset_lock) to fix
    lockdep errors (bsc#1012628).
  - PCI: pci-bridge-emul: Make expansion ROM Base Address register
    read-only (bsc#1012628).
  - PCI: pci-bridge-emul: Properly mark reserved PCIe bits in PCI
    config space (bsc#1012628).
  - PCI: pci-bridge-emul: Fix definitions of reserved bits
    (bsc#1012628).
  - PCI: pci-bridge-emul: Correctly set PCIe capabilities
    (bsc#1012628).
  - PCI: pci-bridge-emul: Set PCI_STATUS_CAP_LIST for PCIe device
    (bsc#1012628).
  - xfrm: fix policy lookup for ipv6 gre packets (bsc#1012628).
  - xfrm: fix dflt policy check when there is no policy configured
    (bsc#1012628).
  - btrfs: fix deadlock between quota enable and other quota
    operations (bsc#1012628).
  - btrfs: zoned: cache reported zone during mount (bsc#1012628).
  - btrfs: check the root node for uptodate before returning it
    (bsc#1012628).
  - btrfs: add extent allocator hook to decide to allocate chunk
    or not (bsc#1012628).
  - btrfs: zoned: unset dedicated block group on allocation failure
    (bsc#1012628).
  - btrfs: zoned: fix chunk allocation condition for zoned allocator
    (bsc#1012628).
  - btrfs: respect the max size in the header when activating swap
    file (bsc#1012628).
  - ext4: make sure to reset inode lockdep class when quota enabling
    fails (bsc#1012628).
  - ext4: make sure quota gets properly shutdown on error
    (bsc#1012628).
  - ext4: fix a possible ABBA deadlock due to busy PA (bsc#1012628).
  - ext4: initialize err_blk before calling __ext4_get_inode_loc
    (bsc#1012628).
  - ext4: fix fast commit may miss tracking range for
    FALLOC_FL_ZERO_RANGE (bsc#1012628).
  - ext4: set csum seed in tmp inode while migrating to extents
    (bsc#1012628).
  - ext4: Fix BUG_ON in ext4_bread when write quota data
    (bsc#1012628).
  - ext4: use ext4_ext_remove_space() for fast commit replay delete
    range (bsc#1012628).
  - ext4: fast commit may miss tracking unwritten range during
    ftruncate (bsc#1012628).
  - ext4: destroy ext4_fc_dentry_cachep kmemcache on module removal
    (bsc#1012628).
  - ext4: fix null-ptr-deref in '__ext4_journal_ensure_credits'
    (bsc#1012628).
  - ext4: fix an use-after-free issue about data=journal writeback
    mode (bsc#1012628).
  - ext4: don't use the orphan list when migrating an inode
    (bsc#1012628).
  - drm/radeon: fix error handling in radeon_driver_open_kms
    (bsc#1012628).
  - drm/amdgpu/display: Only set vblank_disable_immediate when
    PSR is not enabled (bsc#1012628).
  - firmware: Update Kconfig help text for Google firmware
    (bsc#1012628).
  - can: mcp251xfd: mcp251xfd_tef_obj_read(): fix typo in error
    message (bsc#1012628).
  - media: rcar-csi2: Optimize the selection PHTW register
    (bsc#1012628).
  - drm/vc4: hdmi: Make sure the device is powered with CEC
    (bsc#1012628).
  - media: correct MEDIA_TEST_SUPPORT help text (bsc#1012628).
  - Documentation: coresight: Fix documentation issue (bsc#1012628).
  - Documentation: dmaengine: Correctly describe dmatest with
    channel unset (bsc#1012628).
  - Documentation: ACPI: Fix data node reference documentation
    (bsc#1012628).
  - Documentation, arch: Remove leftovers from raw device
    (bsc#1012628).
  - Documentation, arch: Remove leftovers from CIFS_WEAK_PW_HASH
    (bsc#1012628).
  - Documentation: refer to config RANDOMIZE_BASE for kernel
    address-space randomization (bsc#1012628).
  - Documentation: fix firewire.rst ABI file path error
    (bsc#1012628).
  - Bluetooth: btusb: Return error code when getting patch status
    failed (bsc#1012628).
  - net: usb: Correct reset handling of smsc95xx (bsc#1012628).
  - Bluetooth: hci_sync: Fix not setting adv set duration
    (bsc#1012628).
  - scsi: core: Show SCMD_LAST in text form (bsc#1012628).
  - of: base: Improve argument length mismatch error (bsc#1012628).
  - scsi: ufs: ufs-mediatek: Fix error checking in
    ufs_mtk_init_va09_pwr_ctrl() (bsc#1012628).
  - dmaengine: uniphier-xdmac: Fix type of address variables
    (bsc#1012628).
  - dmaengine: idxd: fix wq settings post wq disable (bsc#1012628).
  - RDMA/hns: Modify the mapping attribute of doorbell to device
    (bsc#1012628).
  - RDMA/rxe: Fix a typo in opcode name (bsc#1012628).
  - dmaengine: stm32-mdma: fix STM32_MDMA_CTBR_TSEL_MASK
    (bsc#1012628).
  - Revert "net/mlx5: Add retry mechanism to the command entry
    index allocation" (bsc#1012628).
  - powerpc/cell: Fix clang -Wimplicit-fallthrough warning
    (bsc#1012628).
  - powerpc/fsl/dts: Enable WA for erratum A-009885 on fman3l MDIO
    buses (bsc#1012628).
  - block: fix async_depth sysfs interface for mq-deadline
    (bsc#1012628).
  - block: Fix fsync always failed if once failed (bsc#1012628).
  - drm/vc4: crtc: Drop feed_txp from state (bsc#1012628).
  - drm/vc4: Fix non-blocking commit getting stuck forever
    (bsc#1012628).
  - drm/vc4: crtc: Copy assigned channel to the CRTC (bsc#1012628).
  - libbpf: Remove deprecation attribute from struct
    bpf_prog_prep_result (bsc#1012628).
  - bpftool: Remove inclusion of utilities.mak from Makefiles
    (bsc#1012628).
  - bpftool: Fix indent in option lists in the documentation
    (bsc#1012628).
  - xdp: check prog type before updating BPF link (bsc#1012628).
  - bpf: Fix mount source show for bpffs (bsc#1012628).
  - bpf: Mark PTR_TO_FUNC register initially with zero offset
    (bsc#1012628).
  - perf evsel: Override attr->sample_period for non-libpfm4 events
    (bsc#1012628).
  - ipv4: update fib_info_cnt under spinlock protection
    (bsc#1012628).
  - ipv4: avoid quadratic behavior in netns dismantle (bsc#1012628).
  - mlx5: Don't accidentally set RTO_ONLINK before
    mlx5e_route_lookup_ipv4_get() (bsc#1012628).
  - net/fsl: xgmac_mdio: Add workaround for erratum A-009885
    (bsc#1012628).
  - net/fsl: xgmac_mdio: Fix incorrect iounmap when removing module
    (bsc#1012628).
  - parisc: pdc_stable: Fix memory leak in pdcs_register_pathentries
    (bsc#1012628).
  - RISC-V: defconfigs: Set CONFIG_FB=y, for FB console
    (bsc#1012628).
  - riscv: dts: microchip: mpfs: Drop empty chosen node
    (bsc#1012628).
  - drm/vmwgfx: Remove explicit transparent hugepages support
    (bsc#1012628).
  - drm/vmwgfx: Remove unused compile options (bsc#1012628).
  - f2fs: fix remove page failed in invalidate compress pages
    (bsc#1012628).
  - f2fs: fix to avoid panic in is_alive() if metadata is
    inconsistent (bsc#1012628).
  - f2fs: compress: fix potential deadlock of compress file
    (bsc#1012628).
  - f2fs: fix to reserve space for IO align feature (bsc#1012628).
  - f2fs: fix to check available space of CP area correctly in
    update_ckpt_flags() (bsc#1012628).
  - crypto: octeontx2 - uninitialized variable in kvf_limits_store()
    (bsc#1012628).
  - af_unix: annote lockless accesses to unix_tot_inflight &
    gc_in_progress (bsc#1012628).
  - clk: Emit a stern warning with writable debugfs enabled
    (bsc#1012628).
  - clk: si5341: Fix clock HW provider cleanup (bsc#1012628).
  - pinctrl/rockchip: fix gpio device creation (bsc#1012628).
  - gpio: mpc8xxx: Fix IRQ check in mpc8xxx_probe (bsc#1012628).
  - gpio: idt3243x: Fix IRQ check in idt_gpio_probe (bsc#1012628).
  - net/smc: Fix hung_task when removing SMC-R devices
    (bsc#1012628).
  - net: axienet: increase reset timeout (bsc#1012628).
  - net: axienet: Wait for PhyRstCmplt after core reset
    (bsc#1012628).
  - net: axienet: reset core on initialization prior to MDIO access
    (bsc#1012628).
  - net: axienet: add missing memory barriers (bsc#1012628).
  - net: axienet: limit minimum TX ring size (bsc#1012628).
  - net: axienet: Fix TX ring slot available check (bsc#1012628).
  - net: axienet: fix number of TX ring slots for available check
    (bsc#1012628).
  - net: axienet: fix for TX busy handling (bsc#1012628).
  - net: axienet: increase default TX ring size to 128
    (bsc#1012628).
  - bitops: protect find_first_{,zero}_bit properly (bsc#1012628).
  - um: gitignore: Add kernel/capflags.c (bsc#1012628).
  - HID: vivaldi: fix handling devices not using numbered reports
    (bsc#1012628).
  - mctp: test: zero out sockaddr (bsc#1012628).
  - rtc: Move variable into switch case statement (bsc#1012628).
  - rtc: pxa: fix null pointer dereference (bsc#1012628).
  - vdpa/mlx5: Fix wrong configuration of virtio_version_1_0
    (bsc#1012628).
  - virtio_ring: mark ring unused on error (bsc#1012628).
  - taskstats: Cleanup the use of task->exit_code (bsc#1012628).
  - inet: frags: annotate races around fqdir->dead and
    fqdir->high_thresh (bsc#1012628).
  - netns: add schedule point in ops_exit_list() (bsc#1012628).
  - iwlwifi: fix Bz NMI behaviour (bsc#1012628).
  - xfrm: Don't accidentally set RTO_ONLINK in decode_session4()
    (bsc#1012628).
  - vdpa/mlx5: Fix config_attr_mask assignment (bsc#1012628).
  - vdpa/mlx5: Restore cur_num_vqs in case of failure in
    change_num_qps() (bsc#1012628).
  - gre: Don't accidentally set RTO_ONLINK in
    gre_fill_metadata_dst() (bsc#1012628).
  - libcxgb: Don't accidentally set RTO_ONLINK in cxgb_find_route()
    (bsc#1012628).
  - perf script: Fix hex dump character output (bsc#1012628).
  - dmaengine: at_xdmac: Don't start transactions at tx_submit level
    (bsc#1012628).
  - dmaengine: at_xdmac: Start transfer for cyclic channels in
    issue_pending (bsc#1012628).
  - dmaengine: at_xdmac: Print debug message after realeasing the
    lock (bsc#1012628).
  - dmaengine: at_xdmac: Fix concurrency over xfers_list
    (bsc#1012628).
  - dmaengine: at_xdmac: Fix lld view setting (bsc#1012628).
  - dmaengine: at_xdmac: Fix at_xdmac_lld struct definition
    (bsc#1012628).
  - perf cputopo: Fix CPU topology reading on s/390 (bsc#1012628).
  - perf tools: Drop requirement for libstdc++.so for libopencsd
    check (bsc#1012628).
  - perf metricgroup: Fix use after free in metric__new()
    (bsc#1012628).
  - perf test: Enable system wide for metricgroups test
    (bsc#1012628).
  - perf probe: Fix ppc64 'perf probe add events failed' case
    (bsc#1012628).
  - perf metric: Fix metric_leader (bsc#1012628).
  - devlink: Remove misleading internal_flags from health reporter
    dump (bsc#1012628).
  - arm64: dts: qcom: msm8996: drop not documented adreno properties
    (bsc#1012628).
  - net: fix sock_timestamping_bind_phc() to release device
    (bsc#1012628).
  - net: bonding: fix bond_xmit_broadcast return value error bug
    (bsc#1012628).
  - net: ipa: fix atomic update in ipa_endpoint_replenish()
    (bsc#1012628).
  - net_sched: restore "mpu xxx" handling (bsc#1012628).
  - net: mscc: ocelot: don't let phylink re-enable TX PAUSE on
    the NPI port (bsc#1012628).
  - bcmgenet: add WOL IRQ check (bsc#1012628).
  - net: mscc: ocelot: don't dereference NULL pointers with shared
    tc filters (bsc#1012628).
  - net: wwan: Fix MRU mismatch issue which may lead to data
    connection lost (bsc#1012628).
  - net: ethernet: mtk_eth_soc: fix error checking in
    mtk_mac_config() (bsc#1012628).
  - net: ocelot: Fix the call to switchdev_bridge_port_offload
    (bsc#1012628).
  - net: sfp: fix high power modules without diagnostic monitoring
    (bsc#1012628).
  - net: cpsw: avoid alignment faults by taking NET_IP_ALIGN into
    account (bsc#1012628).
  - net: phy: micrel: use kszphy_suspend()/kszphy_resume for irq
    aware devices (bsc#1012628).
  - net: mscc: ocelot: fix using match before it is set
    (bsc#1012628).
  - dt-bindings: display: meson-dw-hdmi: add missing
    sound-name-prefix property (bsc#1012628).
  - dt-bindings: display: meson-vpu: Add missing amlogic,canvas
    property (bsc#1012628).
  - dt-bindings: watchdog: Require samsung,syscon-phandle for
    Exynos7 (bsc#1012628).
  - sch_api: Don't skip qdisc attach on ingress (bsc#1012628).
  - scripts/dtc: dtx_diff: remove broken example from help text
    (bsc#1012628).
  - lib82596: Fix IRQ check in sni_82596_probe (bsc#1012628).
  - mm/hmm.c: allow VM_MIXEDMAP to work with hmm_range_fault
    (bsc#1012628).
  - bonding: Fix extraction of ports from the packet headers
    (bsc#1012628).
  - lib/test_meminit: destroy cache in kmem_cache_alloc_bulk()
    test (bsc#1012628).
  - KVM: x86: Do runtime CPUID update before updating
    vcpu->arch.cpuid_entries (bsc#1012628).
  - KVM: x86: Partially allow KVM_SET_CPUID{,2} after KVM_RUN
    (bsc#1012628).
  - KVM: selftests: Rename 'get_cpuid_test' to 'cpuid_test'
    (bsc#1012628).
  - KVM: selftests: Test KVM_SET_CPUID2 after KVM_RUN (bsc#1012628).
  - ASoC: SOF: topology: remove sof_load_pipeline_ipc()
    (bsc#1012628).
  - ASoC: SOF: free widgets in sof_tear_down_pipelines() for static
    pipelines (bsc#1012628).
  - ASoC: SOF: sof-audio: setup sched widgets during pipeline
    complete step (bsc#1012628).
  - ASoC: SOF: handle paused streams during system suspend
    (bsc#1012628).
  - scripts: sphinx-pre-install: add required ctex dependency
    (bsc#1012628).
  - scripts: sphinx-pre-install: Fix ctex support on Debian
    (bsc#1012628).
  - commit c7377e3
* Fri Jan 28 2022 mkubecek@suse.cz
  - config: disable REGULATOR_MAX20086 on s390x
    This driver seems to make little sense on s390x and it also fails to build
    due to disabled CONFIG_GPIOLIB.
  - commit 5152409
* Thu Jan 27 2022 tiwai@suse.de
  - mac80211: allow non-standard VHT MCS-10/11 (bsc#1192891).
  - commit f7171e6
* Thu Jan 27 2022 tiwai@suse.de
  - Delete patches.suse/Bluetooth-Apply-initial-command-workaround-for-more-.patch
    The upstream had already the fix
  - commit 59dcb9d
* Wed Jan 26 2022 tiwai@suse.de
  - drm/i915: Flush TLBs before releasing backing store
    (CVE-2022-0330 bsc#1194880).
  - commit 32e5616
* Wed Jan 26 2022 tiwai@suse.de
  - Update config files: disable CONFIG_INTEL_IDXD_COMPAT (bsc#1194858)
    The compat support is rather unwanted, and this allows us to build
    idxd bus as module, too.
  - commit 527268a
* Tue Jan 25 2022 mrostecki@suse.de
  - config: Enable BPF LSM
    This LSM might get more adoption both in core system projects and
    container/k8s works and it would be good to be ready to support them.
    BPF LSM is a feature available since kernel 5.7 which allows to write
    BPF programs attached to LSM hooks and allowing/denying a particular
    event.
    BPF LSM is already adopted in a (not yet default) restrict-fs feature in
    systemd[0].
    BPF LSM is also used in the lockc[1] project which we develop at SUSE.
    There should be no functional or performance changes for users who don't
    load any BPF LSM programs. BPF LSM works only if some BPF programs is
    explicitly loaded.
    [0] https://github.com/systemd/systemd/blob/main/src/core/bpf/restrict_fs/restrict-fs.bpf.c
    [1] https://github.com/rancher-sandbox/lockc
  - commit c2c25b1
* Tue Jan 25 2022 tiwai@suse.de
  - drm/amdgpu: Fix rejecting Tahiti GPUs (bsc#1194906).
  - commit 8a4cb35
* Mon Jan 24 2022 jslaby@suse.cz
  - Update
    patches.kernel.org/5.16.2-005-vfs-fs_context-fix-up-param-length-parsing-in-.patch
    (bsc#1012628 CVE-2022-0185 bsc#1194517).
    Add CVE reference.
  - commit 0d710a8
* Mon Jan 24 2022 msuchanek@suse.de
  - kernel-binary.spec.in: Move 20-kernel-default-extra.conf to the correctr
    directory (bsc#1195051).
  - commit c80b5de
* Mon Jan 24 2022 tiwai@suse.de
  - s390/mm: fix 2KB pgtable release race (bsc#1188896).
  - commit 6f62d73
* Mon Jan 24 2022 mkubecek@suse.cz
  - Update to 5.17-rc1
  - eliminated 73 patches (67 stable, 6 mainline)
    - patches.kernel.org/*
    - patches.suse/0001-usb-Add-Xen-pvUSB-protocol-description.patch
    - patches.suse/0002-usb-Introduce-Xen-pvUSB-frontend-xen-hcd.patch
    - patches.suse/ALSA-usb-audio-Add-minimal-mute-notion-in-dB-mapping.patch
    - patches.suse/ALSA-usb-audio-Fix-dB-level-of-Bose-Revolve-SoundLin.patch
    - patches.suse/ALSA-usb-audio-Use-int-for-dB-map-values.patch
    - patches.suse/mwifiex-Fix-skb_over_panic-in-mwifiex_usb_recv.patch
  - refresh
    - patches.rpmify/powerpc-64-BE-option-to-use-ELFv2-ABI-for-big-endian.patch
    - patches.suse/iwlwifi-module-firmware-ucode-fix.patch
    - patches.suse/vfs-add-super_operations-get_inode_dev
    - patches.suse/kernel-add-product-identifying-information-to-kernel-build.patch
  - disable ARM architectures (need config update)
  - new config options
    - Power management and ACPI options
    - ACPI_PFRUT=m
    - ACPI_PCC=y
    - X86_AMD_PSTATE=m
    - Memory Management options
    - ANON_VMA_NAME=y
    - Networking support
    - NET_9P_FD=m
    - File systems
    - CACHEFILES_ERROR_INJECTION=n
    - UNICODE_UTF8_DATA=y
    - Kernel hacking
    - NET_DEV_REFCNT_TRACKER=n
    - NET_NS_REFCNT_TRACKER=n
    - PAGE_TABLE_CHECK=y
    - PAGE_TABLE_CHECK_ENFORCED=n
    - FTRACE_SORT_STARTUP_TEST=n
    - TEST_REF_TRACKER=n
    - TEST_SIPHASH=n
    - Generic Driver Options
    - DEVTMPFS_SAFE=n
    - Network device support
    - NET_VENDOR_ENGLEDER=y
    - TSNEP=m
    - TSNEP_SELFTESTS=n
    - ICE_HWTS=y
    - NET_VENDOR_VERTEXCOM=y
    - MSE102X=m
    - MCTP_SERIAL=m
    - IWLMEI=m
    - WWAN_DEBUGFS=n
    - Hardware Monitoring support
    - SENSORS_NZXT_SMART2=m
    - SENSORS_DELTA_AHE50DC_FAN=m
    - SENSORS_IR38064_REGULATOR=y
    - SENSORS_MP5023=m
    - SENSORS_INA238=m
    - SENSORS_ASUS_WMI=m
    - SENSORS_ASUS_WMI_EC=m
    - Voltage and Current Regulator Support
    - REGULATOR_MAX20086=m
    - REGULATOR_TPS68470=m
    - Graphics support
    - TINYDRM_ILI9163=n
    - Sound card support
    - SND_HDA_SCODEC_CS35L41_I2C=m
    - SND_HDA_SCODEC_CS35L41_SPI=m
    - SND_SOC_INTEL_SOF_NAU8825_MACH=m
    - SND_SOC_SOF_AMD_TOPLEVEL=m
    - SND_SOC_SOF_AMD_RENOIR=m
    - SND_SOC_AK4375=n
    - SND_SOC_TLV320ADC3XXX=n
    - X86 Platform Specific Device Drivers
    - YOGABOOK_WMI=m
    - ASUS_TF103C_DOCK=m
    - INTEL_VSEC=m
    - X86_ANDROID_TABLETS=m
    - SIEMENS_SIMATIC_IPC=m
    - SIEMENS_SIMATIC_IPC_WDT=m
    - Common Clock Framework
    - COMMON_CLK_TPS68470=n
    - COMMON_CLK_LAN966X=n
    - Industrial I/O support
    - TI_ADS8344=n
    - TI_ADS8688=n
    - TI_ADS124S08=n
    - AD74413R=n
    - AD3552R=n
    - AD7293=n
    - MAX5821=n
    - ADMV8818=n
    - ADMV1013=n
    - Misc drivers
    - GNSS_USB=m
    - SERIAL_8250_PERICOM=y
    - GPIO_SIM=m
    - CHARGER_MAX77976=m
    - VIDEO_OV5693=m
    - HID_LETSKETCH=m
    - LEDS_SIEMENS_SIMATIC_IPC=m
    - OF dependent (i386, ppc64/ppc64le, riscv64)
    - DRM_RCAR_USE_LVDS=n
    - DRM_RCAR_MIPI_DSI=n
    - DRM_PANEL_BOE_BF060Y8M_AJ0=n
    - DRM_PANEL_JDI_R63452=n
    - DRM_PANEL_NOVATEK_NT35950=n
    - DRM_PANEL_SONY_TULIP_TRULY_NT35521=n
    - VIDEO_MAX96712=m
    - PHY_FSL_IMX8M_PCIE=m
    - x86_64
    - SLS=y
    - i386
    - PHY_LAN966X_SERDES=m
    - ppc64 / ppc64le
    - KVM_BOOK3S_HV_NESTED_PMU_WORKAROUND=n
    - SURFACE_PLATFORMS=n
    - s390x
    - SURFACE_PLATFORMS=n
    - CRYPTO_CHACHA_S390=m
    - riscv64
    - SOC_STARFIVE=y
    - RISCV_BOOT_SPINWAIT=y
    - PINCTRL_STARFIVE=m
    - SND_AMD_ACP_CONFIG=m
    - CLK_STARFIVE_JH7100=y
    - RESET_STARFIVE_JH7100=y
    - PHY_LAN966X_SERDES=m
  - commit 8751a94
* Fri Jan 21 2022 tiwai@suse.de
  - HID: wacom: Avoid using stale array indicies to read contact
    count (bsc#1194667).
  - HID: wacom: Ignore the confidence flag when a touch is removed
    (bsc#1194667).
  - HID: wacom: Reset expected and received contact counts at the
    same time (bsc#1194667).
  - commit 07a970c
* Fri Jan 21 2022 jslaby@suse.cz
  - Linux 5.16.2 (bsc#1012628).
  - ALSA: hda/realtek: Re-order quirk entries for Lenovo
    (bsc#1012628).
  - ALSA: hda/realtek: Add quirk for Legion Y9000X 2020
    (bsc#1012628).
  - ALSA: hda/tegra: Fix Tegra194 HDA reset failure (bsc#1012628).
  - ALSA: hda: ALC287: Add Lenovo IdeaPad Slim 9i 14ITL5 speaker
    quirk (bsc#1012628).
  - ALSA: hda/realtek - Fix silent output on Gigabyte X570 Aorus
    Master after reboot from Windows (bsc#1012628).
  - ALSA: hda/realtek: Use ALC285_FIXUP_HP_GPIO_LED on another HP
    laptop (bsc#1012628).
  - ALSA: hda/realtek: Add speaker fixup for some Yoga 15ITL5
    devices (bsc#1012628).
  - perf annotate: Avoid TUI crash when navigating in the annotation
    of recursive functions (bsc#1012628).
  - firmware: qemu_fw_cfg: fix kobject leak in probe error path
    (bsc#1012628).
  - firmware: qemu_fw_cfg: fix NULL-pointer deref on duplicate
    entries (bsc#1012628).
  - firmware: qemu_fw_cfg: fix sysfs information leak (bsc#1012628).
  - rtlwifi: rtl8192cu: Fix WARNING when calling local_irq_restore()
    with interrupts enabled (bsc#1012628).
  - media: uvcvideo: fix division by zero at stream start
    (bsc#1012628).
  - video: vga16fb: Only probe for EGA and VGA 16 color graphic
    cards (bsc#1012628).
  - 9p: fix enodata when reading growing file (bsc#1012628).
  - 9p: only copy valid iattrs in 9P2000.L setattr implementation
    (bsc#1012628).
  - NFSD: Fix zero-length NFSv3 WRITEs (bsc#1012628).
  - remoteproc: qcom: pas: Add missing power-domain "mxc" for CDSP
    (bsc#1012628).
  - KVM: s390: Clarify SIGP orders versus STOP/RESTART
    (bsc#1012628).
  - KVM: x86: don't print when fail to read/write pv eoi memory
    (bsc#1012628).
  - KVM: x86: Register Processor Trace interrupt hook iff PT
    enabled in guest (bsc#1012628).
  - KVM: x86: Register perf callbacks after calling vendor's
    hardware_setup() (bsc#1012628).
  - perf: Protect perf_guest_cbs with RCU (bsc#1012628).
  - vfs: fs_context: fix up param length parsing in
    legacy_parse_param (bsc#1012628).
  - remoteproc: qcom: pil_info: Don't memcpy_toio more than is
    provided (bsc#1012628).
  - orangefs: Fix the size of a memory allocation in
    orangefs_bufmap_alloc() (bsc#1012628).
  - drm/amd/display: explicitly set is_dsc_supported to false
    before use (bsc#1012628).
  - devtmpfs regression fix: reconfigure on each mount
    (bsc#1012628).
  - commit 6fa29ec
* Thu Jan 20 2022 msuchanek@suse.de
  - kernel-binary.spec: Do not use the default certificate path (bsc#1194943).
    Using the the default path is broken since Linux 5.17
  - commit 68b36f0
* Thu Jan 20 2022 jslaby@suse.cz
  - disable the Bluetooth patch again
    The kernel is currently tested whether the patch is needed at all. As
    95655456e7ce in upstream might fix the issue too (but differently).
  - commit c3bbaae
* Wed Jan 19 2022 mkubecek@suse.cz
  - series.conf: cleanup
  - move mainline patches into sorted section:
    - patches.suse/mwifiex-Fix-skb_over_panic-in-mwifiex_usb_recv.patch
    - patches.suse/0001-usb-Add-Xen-pvUSB-protocol-description.patch
    - patches.suse/0002-usb-Introduce-Xen-pvUSB-frontend-xen-hcd.patch
  - update upstream references and move into sorted section:
    - patches.suse/ALSA-usb-audio-Add-minimal-mute-notion-in-dB-mapping.patch
    - patches.suse/ALSA-usb-audio-Fix-dB-level-of-Bose-Revolve-SoundLin.patch
    - patches.suse/ALSA-usb-audio-Use-int-for-dB-map-values.patch
    No effect on expanded tree.
  - commit 607f978
* Wed Jan 19 2022 mkubecek@suse.cz
  - Refresh and reenable
    patches.suse/Bluetooth-Apply-initial-command-workaround-for-more-.patch.
  - commit a7b7c0d
* Tue Jan 18 2022 dmueller@suse.com
  - lib/raid6: skip benchmark of non-chosen xor_syndrome (bsc#1195037)
  - lib/raid6: Use strict priority ranking for pq gen()
    benchmarking (bsc#1195037).
  - commit 3ce1e9c
* Mon Jan 17 2022 jeffm@suse.com
  - series.conf: Add sorted section header/footer
    Even though we don't carry many patches in the stable or master
    branches, having the sorted section header/footer allows the automated
    tools to work.
  - commit 05f8150
* Sun Jan 16 2022 jslaby@suse.cz
  - Linux 5.16.1 (bsc#1012628).
  - workqueue: Fix unbind_workers() VS wq_worker_running() race
    (bsc#1012628).
  - workqueue: Fix unbind_workers() VS wq_worker_sleeping() race
    (bsc#1012628).
  - staging: r8188eu: switch the led off during deinit
    (bsc#1012628).
  - bpf: Fix out of bounds access from invalid *_or_null type
    verification (bsc#1012628).
  - Bluetooth: btusb: Add one more Bluetooth part for the Realtek
    RTL8852AE (bsc#1012628).
  - Bluetooth: btusb: Fix application of sizeof to pointer
    (bsc#1012628).
  - Bluetooth: btusb: fix memory leak in
    btusb_mtk_submit_wmt_recv_urb() (bsc#1012628).
  - Bluetooth: btusb: enable Mediatek to support AOSP extension
    (bsc#1012628).
  - Bluetooth: btusb: Add the new support IDs for WCN6855
    (bsc#1012628).
  - Bluetooth: btusb: Add one more Bluetooth part for WCN6855
    (bsc#1012628).
  - Bluetooth: btusb: Add two more Bluetooth parts for WCN6855
    (bsc#1012628).
  - Bluetooth: btusb: Add support for Foxconn MT7922A (bsc#1012628).
  - Bluetooth: btintel: Fix broken LED quirk for legacy ROM devices
    (bsc#1012628).
  - Bluetooth: btusb: Add support for Foxconn QCA 0xe0d0
    (bsc#1012628).
  - Bluetooth: bfusb: fix division by zero in send path
    (bsc#1012628).
  - ARM: dts: exynos: Fix BCM4330 Bluetooth reset polarity in I9100
    (bsc#1012628).
  - USB: core: Fix bug in resuming hub's handling of wakeup requests
    (bsc#1012628).
  - USB: Fix "slab-out-of-bounds Write" bug in
    usb_hcd_poll_rh_status (bsc#1012628).
  - ath11k: Fix buffer overflow when scanning with extraie
    (bsc#1012628).
  - mmc: sdhci-pci: Add PCI ID for Intel ADL (bsc#1012628).
  - Bluetooth: add quirk disabling LE Read Transmit Power
    (bsc#1012628).
  - Bluetooth: btbcm: disable read tx power for some Macs with
    the T2 Security chip (bsc#1012628).
  - Bluetooth: btbcm: disable read tx power for MacBook Air 8,1
    and 8,2 (bsc#1012628).
  - veth: Do not record rx queue hint in veth_xmit (bsc#1012628).
  - mfd: intel-lpss: Fix too early PM enablement in the ACPI
    - >probe() (bsc#1012628).
  - mfd: intel-lpss-pci: Fix clock speed for 38a8 UART
    (bsc#1012628).
  - can: gs_usb: fix use of uninitialized variable, detach device
    on reception of invalid USB data (bsc#1012628).
  - can: isotp: convert struct tpcon::{idx,len} to unsigned int
    (bsc#1012628).
  - can: gs_usb: gs_can_start_xmit(): zero-initialize
    hf->{flags,reserved} (bsc#1012628).
  - random: fix data race on crng_node_pool (bsc#1012628).
  - random: fix data race on crng init time (bsc#1012628).
  - platform/x86/intel: hid: add quirk to support Surface Go 3
    (bsc#1012628).
  - drm/i915: Avoid bitwise vs logical OR warning in
    snb_wm_latency_quirk() (bsc#1012628).
  - staging: greybus: fix stack size warning with UBSAN
    (bsc#1012628).
  - parisc: Fix pdc_toc_pim_11 and pdc_toc_pim_20 definitions
    (bsc#1012628).
    Disabled:
    patches.suse/Bluetooth-Apply-initial-command-workaround-for-more-.patch
    as it conflicts with 95655456e7ce. Asked in bsc#1193124.
  - commit 13f032a
* Thu Jan 13 2022 tiwai@suse.de
  - Refresh patches.suse/iwlwifi-module-firmware-ucode-fix.patch.
    Adapt the uapi version for the latest kernel-firmware-20220111.
  - commit 2f088f6
* Thu Jan 13 2022 mkubecek@suse.cz
  - Update patches.suse/vfs-add-super_operations-get_inode_dev
    Copy an updated version from SLE15-SP4 with one minor refresh.
  - commit c02e2ab
* Thu Jan 13 2022 jgross@suse.com
  - Refresh
    patches.suse/0001-usb-Add-Xen-pvUSB-protocol-description.patch.
  - Refresh
    patches.suse/0002-usb-Introduce-Xen-pvUSB-frontend-xen-hcd.patch.
  - commit 8950040
* Wed Jan 12 2022 mkubecek@suse.cz
  - update patches metadata
  - update upstream references
    - patches.suse/media-Revert-media-uvcvideo-Set-unique-vdev-name-bas.patch
    - patches.suse/mwifiex-Fix-skb_over_panic-in-mwifiex_usb_recv.patch
    - patches.suse/random-fix-crash-on-multiple-early-calls-to-add_bootloader_randomness.patch
  - commit 949bbaa
* Mon Jan 10 2022 jslaby@suse.cz
  - Refresh
    patches.suse/random-fix-crash-on-multiple-early-calls-to-add_bootloader_randomness.patch.
    * Update upstream status
    * Update to the latest (upstream) version
    * Move it within series to upstream-soon patches
  - commit c4ca5fd
* Mon Jan 10 2022 jslaby@suse.cz
  - Refresh
    patches.suse/rtw89-update-partition-size-of-firmware-header-on-sk.patch.
    Update upstream status.
  - commit a6f5d1b
* Mon Jan 10 2022 mkubecek@suse.cz
  - Update to 5.16 final
  - refresh configs (headers only)
  - commit b8251b4
* Fri Jan 07 2022 tiwai@suse.de
  - rpm/kernel-binary.spec.in: Add Provides of kernel-preempt (jsc#SLE-18857)
    For smooth migration with the former kernel-preempt user, kernel-default
    provides kernel-preempt now when CONFIG_PREEMPT_DYNAMIC is defined.
    [js] this fixes mismerge of this commit (d292a8131079) via 99c510061314.
    It lost this one hunk in there.
  - rpm/kernel-binary.spec.in: Add Provides of kernel-preempt (jsc#SLE-18857)
    For smooth migration with the former kernel-preempt user, kernel-default
    provides kernel-preempt now when CONFIG_PREEMPT_DYNAMIC is defined.
  - commit 85ebeb0
* Fri Jan 07 2022 tiwai@suse.de
  - Refresh BT workaround patch (bsc#1193124)
    Fix yet another broken device 8086:0aa7
  - commit 163b552
* Wed Jan 05 2022 jslaby@suse.cz
  - Linux 5.15.13 (bsc#1012628).
  - Input: i8042 - enable deferred probe quirk for ASUS UM325UA
    (bsc#1012628).
  - tomoyo: Check exceeded quota early in
    tomoyo_domain_quota_is_ok() (bsc#1012628).
  - tomoyo: use hwight16() in tomoyo_domain_quota_is_ok()
    (bsc#1012628).
  - net/sched: Extend qdisc control block with tc control block
    (bsc#1012628).
  - parisc: Clear stale IIR value on instruction access rights trap
    (bsc#1012628).
  - platform/mellanox: mlxbf-pmc: Fix an IS_ERR() vs NULL bug in
    mlxbf_pmc_map_counters (bsc#1012628).
  - platform/x86: apple-gmux: use resource_size() with res
    (bsc#1012628).
  - memblock: fix memblock_phys_alloc() section mismatch error
    (bsc#1012628).
  - ALSA: hda: intel-sdw-acpi: harden detection of controller
    (bsc#1012628).
  - ALSA: hda: intel-sdw-acpi: go through HDAS ACPI at max depth
    of 2 (bsc#1012628).
  - recordmcount.pl: fix typo in s390 mcount regex (bsc#1012628).
  - powerpc/ptdump: Fix DEBUG_WX since generic ptdump conversion
    (bsc#1012628).
  - efi: Move efifb_setup_from_dmi() prototype from arch headers
    (bsc#1012628).
  - selinux: initialize proto variable in
    selinux_ip_postroute_compat() (bsc#1012628).
  - scsi: lpfc: Terminate string in lpfc_debugfs_nvmeio_trc_write()
    (bsc#1012628).
  - net/mlx5: DR, Fix NULL vs IS_ERR checking in
    dr_domain_init_resources (bsc#1012628).
  - net/mlx5: Fix error print in case of IRQ request failed
    (bsc#1012628).
  - net/mlx5: Fix SF health recovery flow (bsc#1012628).
  - net/mlx5: Fix tc max supported prio for nic mode (bsc#1012628).
  - net/mlx5e: Wrap the tx reporter dump callback to extract the sq
    (bsc#1012628).
  - net/mlx5e: Fix interoperability between XSK and ICOSQ recovery
    flow (bsc#1012628).
  - net/mlx5e: Fix ICOSQ recovery flow for XSK (bsc#1012628).
  - net/mlx5e: Use tc sample stubs instead of ifdefs in source file
    (bsc#1012628).
  - net/mlx5e: Delete forward rule for ct or sample action
    (bsc#1012628).
  - udp: using datalen to cap ipv6 udp max gso segments
    (bsc#1012628).
  - selftests: Calculate udpgso segment count without header
    adjustment (bsc#1012628).
  - sctp: use call_rcu to free endpoint (bsc#1012628).
  - net/smc: fix using of uninitialized completions (bsc#1012628).
  - net: usb: pegasus: Do not drop long Ethernet frames
    (bsc#1012628).
  - net: ag71xx: Fix a potential double free in error handling paths
    (bsc#1012628).
  - net: lantiq_xrx200: fix statistics of received bytes
    (bsc#1012628).
  - NFC: st21nfca: Fix memory leak in device probe and remove
    (bsc#1012628).
  - net/smc: don't send CDC/LLC message if link not ready
    (bsc#1012628).
  - net/smc: fix kernel panic caused by race of smc_sock
    (bsc#1012628).
  - igc: Fix TX timestamp support for non-MSI-X platforms
    (bsc#1012628).
  - drm/amd/display: Send s0i2_rdy in stream_count == 0 optimization
    (bsc#1012628).
  - drm/amd/display: Set optimize_pwr_state for DCN31 (bsc#1012628).
  - ionic: Initialize the 'lif->dbid_inuse' bitmap (bsc#1012628).
  - net/mlx5e: Fix wrong features assignment in case of error
    (bsc#1012628).
  - net: bridge: mcast: add and enforce query interval minimum
    (bsc#1012628).
  - net: bridge: mcast: add and enforce startup query interval
    minimum (bsc#1012628).
  - selftests/net: udpgso_bench_tx: fix dst ip argument
    (bsc#1012628).
  - selftests: net: Fix a typo in udpgro_fwd.sh (bsc#1012628).
  - net: bridge: mcast: fix br_multicast_ctx_vlan_global_disabled
    helper (bsc#1012628).
  - net/ncsi: check for error return from call to nla_put_u32
    (bsc#1012628).
  - selftests: net: using ping6 for IPv6 in udpgro_fwd.sh
    (bsc#1012628).
  - fsl/fman: Fix missing put_device() call in fman_port_probe
    (bsc#1012628).
  - i2c: validate user data in compat ioctl (bsc#1012628).
  - nfc: uapi: use kernel size_t to fix user-space builds
    (bsc#1012628).
  - uapi: fix linux/nfc.h userspace compilation errors
    (bsc#1012628).
  - drm/nouveau: wait for the exclusive fence after the shared
    ones v2 (bsc#1012628).
  - drm/amdgpu: When the VCN(1.0) block is suspended, powergating
    is explicitly enabled (bsc#1012628).
  - drm/amdgpu: add support for IP discovery gc_info table v2
    (bsc#1012628).
  - drm/amd/display: Changed pipe split policy to allow for
    multi-display pipe split (bsc#1012628).
  - xhci: Fresco FL1100 controller should not have BROKEN_MSI
    quirk set (bsc#1012628).
  - usb: gadget: f_fs: Clear ffs_eventfd in ffs_data_clear
    (bsc#1012628).
  - usb: mtu3: add memory barrier before set GPD's HWO
    (bsc#1012628).
  - usb: mtu3: fix list_head check warning (bsc#1012628).
  - usb: mtu3: set interval of FS intr and isoc endpoint
    (bsc#1012628).
  - nitro_enclaves: Use get_user_pages_unlocked() call to handle
    mmap assert (bsc#1012628).
  - binder: fix async_free_space accounting for empty parcels
    (bsc#1012628).
  - scsi: vmw_pvscsi: Set residual data length conditionally
    (bsc#1012628).
  - Input: appletouch - initialize work before device registration
    (bsc#1012628).
  - Input: spaceball - fix parsing of movement data packets
    (bsc#1012628).
  - mm/damon/dbgfs: fix 'struct pid' leaks in
    'dbgfs_target_ids_write()' (bsc#1012628).
  - net: fix use-after-free in tw_timer_handler (bsc#1012628).
  - fs/mount_setattr: always cleanup mount_kattr (bsc#1012628).
  - perf intel-pt: Fix parsing of VM time correlation arguments
    (bsc#1012628).
  - perf script: Fix CPU filtering of a script's switch events
    (bsc#1012628).
  - perf scripts python: intel-pt-events.py: Fix printing of switch
    events (bsc#1012628).
  - commit 01786ae
* Mon Jan 03 2022 dmueller@suse.com
  - Revert "config: disable BTRFS_ASSERT in default kernels"
    This was pushed without enough review, reverting.
  - commit e86c2a0
* Mon Jan 03 2022 dmueller@suse.com
  - Revert "config: disable BTRFS_ASSERT in default kernels"
    This was pushed without enough review, reverting.
  - commit 4fb1cfd
* Mon Jan 03 2022 dsterba@suse.com
  - Revert "config: disable BTRFS_ASSERT in default kernels"
    This reverts commit 81985a674cf03fa1ef7c290050be04e57f8490dc.
    This is a change affecting correctness, trading it for some performance.
    This was done without prior discussion with btrfs people, so revert it
    to previous state.
  - commit 55f2c08
* Mon Jan 03 2022 tiwai@suse.de
  - media: Revert "media: uvcvideo: Set unique vdev name based in
    type" (bsc#1193255).
  - commit b3f1eb0
* Mon Jan 03 2022 mkubecek@suse.cz
  - Update to 5.16-rc8
  - commit b59b474

Files

/usr/share/doc/packages/kernel-docs
/usr/share/doc/packages/kernel-docs/CREDITS
/usr/share/doc/packages/kernel-docs/MAINTAINERS
/usr/share/doc/packages/kernel-docs/README
/usr/share/doc/packages/kernel-docs/old_changelog.txt
/usr/share/licenses/kernel-docs
/usr/share/licenses/kernel-docs/COPYING


Generated by rpm2html 1.8.1

Fabrice Bellet, Thu Oct 23 22:37:43 2025